TW200839038A - Device and method with improved plating film thickness uniformity - Google Patents

Device and method with improved plating film thickness uniformity Download PDF

Info

Publication number
TW200839038A
TW200839038A TW96110398A TW96110398A TW200839038A TW 200839038 A TW200839038 A TW 200839038A TW 96110398 A TW96110398 A TW 96110398A TW 96110398 A TW96110398 A TW 96110398A TW 200839038 A TW200839038 A TW 200839038A
Authority
TW
Taiwan
Prior art keywords
anode
plating
electroplating
cathode
workpiece
Prior art date
Application number
TW96110398A
Other languages
Chinese (zh)
Inventor
Chen-Fu Chu
Fu-Hsien Wang
Original Assignee
Semi Photonics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semi Photonics Co Ltd filed Critical Semi Photonics Co Ltd
Priority to TW96110398A priority Critical patent/TW200839038A/en
Publication of TW200839038A publication Critical patent/TW200839038A/en

Links

Abstract

A plating device capable of producing a coating with thickness uniformity of 95% or more, comprising a plating bath within which plating solution is contained; an anode immersed in the plating solution; a cathode clamp for holding a workpiece and transmitting the power; a diversion plate with a hollow disk shape located between the cathode clamp and the anode for directing the flow of the plating solution, provided with a plurality of holes thereon; and auxillary cathodes located at the left and right side of the workpiece, spaced more than 1 μm from the workpiece. The plating device is particularly suitable for the process in which the desired thickness of the coating ranges from 50 to 200 μm. It also provides a plating method applying the plating device.

Description

200839038 九、發明說明: 【發明所屬之技術領域】 沉積技術’尤其關於能夠產生均句厚層 【先前技術】 電鏡‘程已廣泛地運用於各種領域中,除了僂缔卜μi S之方法外’亦應胁製作電路板、半導體面 ===等方面。電鍍乃是將雜 耗性)ΐίί、她使電源與電鍍槽内之陰極及陽極(消耗性或非消 im錢紐财在碰轉絲硫積—金屬薄ϋ 路為目ϊίΐ電ΐ,技術的進步’製造〇·25微米以下尺寸之曰線 、二? i屬化袅程的主流。隨著線路尺寸日益 押穴二200839038 IX. Description of the invention: [Technical field to which the invention pertains] Deposition technology 'especially with the ability to produce uniform thickness layers [Prior Art] Electron microscopy has been widely used in various fields, except for the method of 偻 卜μμ S It should also be used to make circuit boards, semiconductor surfaces === and so on. Electroplating is to make the miscellaneous) ΐίί, she makes the power supply and the cathode and anode in the plating tank (consumptive or non-imposing money, the new wealth in the touch of silk sulphur accumulation - metal thin ϋ road for the purpose of ΐ ΐ, technical Progressive 'manufacturing 〇 · 25 micron and below the size of the 曰 line, the second? i is the main stream of the process. With the line size increasingly acupoint two

傳統上用來填充元件中之内連線路❸CVD 充於且有電鑛技術卻可有效率地達成將導電物質填 而古:、電铲枯V:J路之基板的任務。因此,目前就半導體製程 塑厚(u)向來為評估魏效能的重要指標。影 可分為物理性及化學性兩類,前者包 電極及表面積、電鑛液溫度、電鍍液混合性、 含電使用遮蔽件(shield)、電極材料等;後者包 陰劑種類等。特別地是,由於電鍍係以 為ΐ介之電化學反應,電鍍液之流場分佈 ^/;iLtu又、υ為重要。貫際上,待鑛工件在凸部區域電流密集 凹部區域電赫散,如此極㈣成厚度不均勻的鑛層。 實為ίίΐ避:iJi導f產業之製程經濟效益’增大晶圓尺寸 膜厚“ ΐίΐΐί勢’然而對於更大尺寸之晶圓或基板,沉積 、运予又句勻性更加難以控制。為解決較大尺寸基板之鍍層均 200839038 勻性不佳的問題,1998年12月4日提出ΐ請之美國專利ϊκ 6,1/03,—085即揭露了一種改良噴流型(f〇untain-type)電鍍浐 其係藉由在陰極與陽極之間置放具有整流作用之擴 (diffuser member),其上包含特殊排列設計之開口’',用 電解質之通道效應(Channelingeffect),因而獲得均勻 ,外,2002年11月26日提出申請之美國專利us 6 8〇 揭鉻了一種可控制電鍍均勻性之方法與設備,其中係萨由 極1¼極之間δ又置上述之絕緣遮蔽件來改變電時产八& " 積速率與電場之特性有關,故金屬鍍層“^:可 由C敝件之尺寸及其開口尺寸兩方面進行調整。 半導體製程所用之電鍍設備通常所費不皆,此 ϊΓίίίί程中之電鍍膜層一般為厚度在5° _以下以 =之匕制,因而無可避免地增加了設備所包含之硬體數 ^ 。對於某些要求較大鑛層厚度之製程而言 ^ =專為半導體製程關發設計的魏設備,耗費成本勢必^ 勾性容易擴充及安裝、具成本效鍍層均 如微機電f ^ Yit鑛層厚度在約50⑽〜咖μηι之範圍(例 程之經濟效益。本發明藉由1以滿足製 文所稱之她),峨及麵(亦即下 【發明内容】 上;生㈣以 與該陽極之間,其上設有複數個孔二,’且設置於該陰極 鑛液之方向;陰極夾具,用以固定待導引該電 助陰極,位於待鍍玉件之左右側,並續=^電力,以及輔 根據本發批—紐,_祕上之 200839038 r=r圍尺寸’各自以不同半徑'等間隔 本發明之另-目的在於提供一種能夠 礙,該方法包含下麻提;:ti k供包含下顺件之魏裝置:電鋪,内含電鍍液牛守 電2=有導,呈中ί圓盤狀且設置於該陰極與該i ;、 5又有硬數個孔洞;輔助陰極,設置於待鑛工件之卢 壯二’十、待鑛工件保持—適當距離;將待鑛卫件固定於該二 衣置之該陰極夾具上;適當地調整待鍍助=門= 離,俾使其均大於1 >;連接電源開始i = 待鑛工件上軸-實壯均狄㈣。 阳了賴以在 【實施方式】 置及照ΐ,式,說明根據本發明較佳實施例之電鑛襄 it 1 ’?相同的兀件以相同之參照符號加以表示。 刪本發明之電鍍裝置包含紐槽1謝、陰極夾星 :接iit祕、陽極臓、導流板⑽4 (亦稱為遮蔽件r、 圖示)。電賴麵主要個 ίΓϋ底除了具有輸送電鑛液之通道外:上麵並設ΐ =(孔徑為d2) ’以使電鍍液流入槽内。電鑛免經果浦自槽外= Ϊί二Ϊ,座麵之通道而自其上表面之開口進入槽内往陰 ,方向抓動。須注意:底座上之開口的分佈情形、尺寸、及數^ 陰極夾具1003之主體結構係由導電材料所製成,例如欽、不 200839038 $丙=、金屬,·外圍則以耐酸驗之非導電材料包覆之,例 以是板=在於固定並傳遞電力至 可依需要而_,,陰極央具誦 !00* ^ lt::^lm m 7 1〇03 較资鑛設備,通η因為晶片邊緣部分之電力線 車Π木(見圖8(A)),而使得鍍層在邊緣處隆 ^*05 圖8(B)所示),藉此減fe邊緣***之效_。Traditionally used to fill the interconnects in the component, CVD is filled with and there is an electric ore technology that can efficiently accomplish the task of filling the conductive material with the substrate: the circuit of the V:J circuit. Therefore, the current semiconductor process thickness (u) has always been an important indicator for evaluating Wei performance. Shadows can be divided into two types: physical and chemical. The former includes electrode and surface area, electro-mineral temperature, plating solution mixing, shielding using electricity, electrode materials, etc.; In particular, since the electroplating is based on the electrochemical reaction, the flow field distribution of the electroplating solution ^/; iLtu is further important. In a continuous manner, the workpiece to be mined is electrically scattered in the region of the concave portion of the current in the convex region, so that the pole (4) is a mineral layer with uneven thickness.实 ΐ : : : : i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i i 产业 产业 产业 产业 产业The coating of the larger-sized substrate has the problem of poor uniformity of 200839038. The US patent ϊκ 6,1/03,-085, which was filed on December 4, 1998, discloses an improved spray type (f〇untain-type). Electroplating is achieved by placing a differ member between the cathode and the anode, which includes a specially arranged opening '', which is uniformed by the channeling effect of the electrolyte. U.S. Patent No. 6,8, filed on Nov. 26, 2002, discloses a method and apparatus for controlling the uniformity of electroplating, in which a δ is placed between the poles of the poles and the insulating shields described above are used to change the electrical time. The production rate of &"" is related to the characteristics of the electric field, so the metal coating "^: can be adjusted by the size of the C element and its opening size. Electroplating equipment used in semiconductor manufacturing is often inconsistent. The plating layer in this process is generally 5° _ or less in thickness, which inevitably increases the number of hardware included in the device. For some processes that require a large thickness of the ore layer, ^ = Wei equipment designed for semiconductor process, the cost is bound to be easy to expand and install, cost-effective coatings such as micro-electromechanical f ^ Yit deposit The thickness is in the range of about 50 (10) to the coffee μηι (the economic benefit of the routine. The invention is claimed by 1 to satisfy her in the essay), the 峨 面 ( 亦 亦 ( ( ( ( ( ( ( ( ( 生 生 生 生 生 生 生 生 生 生 生 生 生 生 生 生Between the plurality of holes 2, and disposed in the direction of the cathode mineral liquid; a cathode clamp for fixing the electric auxiliary cathode to be guided, located on the left and right sides of the jade to be plated, and continued = ^ The power, and the supplement according to the present invention - New Zealand, _ secret on the 200839038 r = r circumference size 'each with a different radius' equally spaced apart from the present invention - the purpose is to provide a hindrance, the method includes the underlying; k for the Wei device containing the next part: electric shop, containing the plating solution cattle conservation 2 = guided, in the middle of the disc and set in the cathode and the i;, 5 has a hard number of holes; Cathode, set in the workpiece to be mined, Lu Zhuang 2 '10, the workpiece to be mined - appropriate distance Fixing the mineral guard to the cathode fixture of the second garment; appropriately adjusting the plating to be plated = door = away, so that they are all greater than 1 >; connecting the power supply to start i = the shaft on the workpiece to be mined - real壮 均 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 The electroplating device of the invention comprises a new tank, a cathode clip, a cathode clip, an iit, an anode, and a baffle (10) 4 (also referred to as a shield r, a figure). The main surface of the electric surface is in addition to the transported electric ore. Outside the channel of the liquid: 上面 = (pore diameter d2) is set on the top to make the plating solution flow into the tank. The electric ore is exempted from the outside of the tank by the fruit pump = Ϊί 二Ϊ, the passage of the seat surface and the opening from the upper surface Enter the groove to the shade and move in the direction. Note: the distribution of the opening on the base, the size, and the number ^ The main structure of the cathode clamp 1003 is made of conductive materials, such as Qin, not 200839038 $ C =, metal , the periphery is covered with a non-conductive material that is resistant to acid, for example, the board = fixed and transmitted The force can be as needed _,, the cathode device 诵! 00* ^ lt:: ^lm m 7 1〇03 More mining equipment, through η because of the edge of the wafer power line car raft (see Figure 8 (A) ), so that the coating is at the edge of the ^ ^ 05 Figure 8 (B)), thereby reducing the edge edge effect _.

極1_位於倾工件⑻)_之顺,其可g於 Θ (如圖i所示)或者可獨立外接電源,、至於立^則可 ΞΐΪί片之鍍層均勻性為目的加以設計,例如為圓ΐ、矩形薄 板或其他對稱形狀。 姐办溽 構成極1002之材料較佳為純鈦或鑛銘之鈦金屬,然並不限 ^ ’可考量整體電齡狀需要純 應=,形狀,例如為三角形、矩形、五邊形(=:了5 斤不i〇07a〜1〇〇7c),亦可為其他規則或不規則形狀。通當陽極 多孔狀11方式呈現,以便於在籃值怂置 一圖3顯示簡易型導流板1 〇 〇 4 a (亦即僅具有中空部份)之 示意圖。導流板為中空狀之功用在於導引電鍍液之喷流方向至 極處,進而影響鍍層均勻性,故其位置在陽極·2與陰極^ 1003之間。圖4為其他形式之導流板的範例。如圖4所示, 形導流板1004b及1004c上設有複數個孔洞,孔洞之尺寸、八;^ 位置、及數目均為影響鍍液噴流方向之重要因子。中空部份二直 徑並無特殊限制,一般而言須配合晶片之尺寸,在本發明$,中 空部份之直徑d為35〜55 mm。在本發明之一實施例中,係將導流 板設計成如圖4之1004b所示,亦即孔洞皆具有相同尺寸,但= 排列成鋸齒狀而環繞於中空部份周圍;在本發明之另一實施^例 中,係將導流板設計成如l〇〇4c所示,亦即兩不同直徑之孔洞以 200839038 鋸齒狀交錯排列而環繞在中空部份周圍。 較佳之狀況為導流板_栓等零件固定於電鍍槽 上開ΐ陰極之晶片夕_“膜= 達到電鍍&點# #里日日片上、/σ直徑之任兩正交贫 度,並依下式計算厚度均勻性UOO : 職)子 U(°/〇) = 1 - !大值-最小隻 2χ平均值 在本實施例中,晶片尺寸D為2”,測量厚度時的量 於圖6⑷中編號卜9處,且結果如圖6(B)〜(D)所示 係顯示在使賴Μ導流板_a時的厚度分佈^圖^) 6(C)〜〇))則分麟使用其他兩導流板丨⑽4b及聰e時的开乂 為顯示電鍍結果的再現性並確認厚度均勻性的計曾 ^ ^ 土 性’此處預先以如_a關易型導流板安裝於本^The pole 1_ is located in the tilting workpiece (8)), which can be g-shaped (as shown in Figure i) or can be externally connected to the power supply, and can be designed for the purpose of uniformity of the plating of the sheet, for example, a circle ΐ, rectangular sheet or other symmetrical shape. The material of the sister 100, which is composed of the pole 1002, is preferably pure titanium or the metal of the mine, but it is not limited to 'can be considered as the overall electrical age needs pure, = shape, for example, triangle, rectangle, pentagon (= : 5 kg not i〇07a~1〇〇7c), can also be other rules or irregular shapes. The anode is formed in a porous manner 11 to facilitate the basket setting. Figure 3 shows a schematic diagram of the simple baffle 1 〇 a 4 a (i.e., having only a hollow portion). The function of the baffle in the hollow shape is to guide the flow direction of the plating solution to the pole, thereby affecting the uniformity of the plating layer, so that the position is between the anode 2 and the cathode ^ 1003. Figure 4 is an illustration of other forms of baffles. As shown in FIG. 4, the shape deflectors 1004b and 1004c are provided with a plurality of holes, and the size, the position, and the number of the holes are all important factors affecting the direction of the jet flow. The diameter of the hollow portion is not particularly limited. Generally, it is required to match the size of the wafer. In the present invention, the diameter d of the hollow portion is 35 to 55 mm. In an embodiment of the invention, the baffle is designed as shown in FIG. 4, 1004b, that is, the holes have the same size, but are arranged in a zigzag shape and surround the hollow portion; in the present invention In another embodiment, the baffle is designed to be as shown in FIG. 4c, that is, the holes of two different diameters are arranged in a zigzag pattern of 200839038 around the hollow portion. The preferred condition is that the baffle plate _ bolt and the like are fixed on the plating tank to open the cathode of the cathode _ "film = reach the plating & point # #里日日, / σ diameter of any two orthogonal poverty, and The thickness uniformity UOO is calculated according to the following formula: U) U(°/〇) = 1 - ! Large value - minimum only 2 χ average value In this embodiment, the wafer size D is 2", and the amount when measuring thickness is shown in the figure. In the 6(4), the number is 9 and the result is shown in Fig. 6(B) to (D). The thickness distribution is shown in the case of the Lai baffle _a. ^) 6(C)~〇)) Lin uses the other two deflectors 10(10)4b and the opening of Cong e to show the reproducibility of the plating results and confirm the thickness uniformity of the soil. The soil is here pre-installed as a _a Guanyi type baffle In this ^

置内’進行四次相同操作條件之電鑛實驗,接並 = J點處之鑛層厚度,之後依上式計算各次實驗的厚:弋 ^^ 97.92%, 98.23%, 98.22%, 97.96% (^® 6(B))〇*T J貫施例可知:本發明之導流板關麟麵者之組合 :能鍍層之厚度均勻性控制在95%以地 Ϊ,ίΐϊΐίϊ式極為簡單,並不需要額外加裝昂貴的硬 ,式原有的裝置仍可_使用,實為—具有經濟效益之設計 易且率實:,教示之概念’提供簡 輔助降描之鈿人Η从芯鍍方法。換§之,主要藉由導流板及 離ώ、導再適當地調整陰極爽具與導流板之間的距 孔_目、_ ===大於95%之目的。導流板上之 鍍之效能。 以了依#要而設計或調整,以期更提升電 說明以之=實施例對本發明之技術特徵進行具體 …不而非限制;換言之,熟悉此項技藝者在不 200839038 ,當可對本發明進行 圍所界定之範疇中。及欠化皆應涵蓋於如下申請專利範 【圖式簡單說明】 ====具有導流板及輔助陰極之電鑛裝置。 圖3顯干莫、^斤不之電鐘裝置之電鍍液流動情形。 様式。 ® 1(ahd^ °Conducting an electric ore experiment with four identical operating conditions, joining = the thickness of the ore layer at point J, and then calculating the thickness of each experiment according to the above formula: 弋^^ 97.92%, 98.23%, 98.22%, 97.96% (^® 6(B))〇*TJ According to the example, the combination of the baffle of the invention is the combination of the thickness of the coating layer: the thickness uniformity of the coating layer is controlled at 95% to the mantle, and the ίΐϊΐίϊ type is extremely simple, and Need to install extra expensive hard, the original device can still be used, it is - economical design is easy and practical: the concept of teaching 'provides a simple and auxiliary method to remove the man-made from the core plating method. In other words, the distance between the cathode cooler and the baffle is adjusted by the deflector and the weir and the guide, and the distance between the cathode and the baffle is more than 95%. Plating performance on the deflector. Designed or adjusted in accordance with the requirements of the present invention, in order to improve the technical description of the present invention. The embodiment is not limited to the technical features of the present invention; in other words, those skilled in the art are not able to use the present invention in 200839038. Among the defined categories. Both underwriting and underwriting should be covered in the following patent application form [Simplified illustration] ====Electrical mining device with deflector and auxiliary cathode. Figure 3 shows the flow of plating solution for the electric clock device.様 style. ® 1 (ahd^ °

,之導流 具的形狀及其與辅助 辅助陰極時之電力線 圖7顯示根據本發明之實施例之陰極夾 陰極、晶片之間的位置關係。 圖8(A)〜(B)分別顯示無輔助陰極及加裝 分佈示意圖。 【主要元件符號說明】 1001電鑛槽 1002陽極The shape of the flow guide and its power line with the auxiliary auxiliary cathode Fig. 7 shows the positional relationship between the cathode clip cathode and the wafer according to an embodiment of the present invention. Fig. 8 (A) to (B) are schematic views showing the absence of the auxiliary cathode and the attachment distribution, respectively. [Main component symbol description] 1001 electric ore tank 1002 anode

1003陰極夾具 1004導流板 1004a簡易型導流板 1004b,1004c圓盤型導流板 1005輔助陰極 1006基板(晶片) 1007a〜1007c陽極截面形狀 1008底座 101003 cathode clamp 1004 deflector 1004a simple baffle 1004b, 1004c disc-shaped baffle 1005 auxiliary cathode 1006 substrate (wafer) 1007a~1007c anode cross-section shape 1008 base 10

Claims (1)

200839038 十、申請專利範圍: 1. 一種電鍍裝置,包含: 電鍍槽,内含電鍍液; 陽極,浸沒於該電鍍液内; 陰極夾具,用以固定待鍍工件及傳遞電力; 導流板,呈中空圓盤狀且設置於該陰極夾具與該陽極之間, 其上設有複數個孔洞’該導流板係用以導引該電鏡液之方向;以 辅助陰極,設置於该待鍛工件之左右侧,且與該待鑛工件保 持大於1 μπι之適當距離。200839038 X. Patent application scope: 1. An electroplating device comprising: an electroplating bath containing a plating solution; an anode immersed in the electroplating solution; a cathode fixture for fixing the workpiece to be plated and transmitting electric power; a deflector a hollow disk shape and disposed between the cathode fixture and the anode, wherein a plurality of holes are formed thereon. The deflector is used for guiding the direction of the electron mirror liquid; and the auxiliary cathode is disposed on the workpiece to be forged. The left and right sides are maintained at an appropriate distance greater than 1 μm from the workpiece to be mined. 2·如申請專利範圍第1項之電鍍裝置,其中該陽極之截面形 狀係對應於待鏡工件之形狀。 、3·如申請專利範圍第!項之電鍍裝置,其中該陽極為由網狀 或多孔型純鈦或鑛鉑之鈦金屬所製成之鈦籃(titaniumbasket)。 4·如申睛專利範圍3項之電鑛裝置,其中該鈇藍係供放置電 鍍所需之金屬。 、 5·如申請專利範圍第1項之電鍍裝置,其中該輔助陰極係由 導電材料所製成。 ” 6·如申請專利範圍第}項之電鍍裝置,其中該陰極夹具能夠 旋轉。 ^ t/·如申請專利範圍第i項之電鍍裝置,其中該陰極夾具係以 &電材料為主體,外圍則包覆具穩定化學性質之非導電材料。 &如申請專利範圍第7項之電鍍裝置,其中該導電材料包含 ί氟Ϊ j鎳、不銹鋼,而該非導電材料包含聚丙烯、聚氯乙烯、 如申睛專利範圍第丨項之電鍍裝置,其中該陰 導流板之間保持大於1 μηΐ之適當距離。 〜、人 陽極ΊΙΐί:利範圍第1項之電鍍裝置,其中該導流板與該 闲往I間保持大於1 μπι之適當距離。 11 200839038 Π·如申請專利範圍第1項之電鍍裝置,其中該導流板上之 中空圓盤的直徑介於35〜55麵,且該複數個孔洞可具有相同或不 同孔徑,其孔徑皆大於i μιη。 12. —種電鍍方法’能夠改良鍍層之厚度均勻性,該方法包 含下列步驟: 提供一待鍍工件; 提供包含下列組件之電鍍裝置:電鍍槽,内含電鍍液;電極, 包含陰極與陽極,其巾該陽極浸沒於該電鍍軸,·導反, ίΞίΞ且巧ίΐϊ極與該陽極之間,其上設有複數個孔洞; : 工件之左右側,且與該待鑛工件保持一 將該待鍍工件固定於_鍍裝置之該陰極夾且上· 適當地調整該陰極夾具與該導流板 該陽極之_距離,俾使其均大於1⑽.娜&離及料k板與 句之ΪΓ關始進行魏,以在鱗虹件上形成-實質上均 13. 如申請專利範圍第12項之電鍍 該待鑛工狀間所鱗找射雜大;^ 1、巾_助陰極與 14·如申請專利範圍第12項之電 形狀係對應於待鍍工件之形狀。 去,其中该陽極之截面 15·如申請專利範圍第12項之電鍍 t孔、H、侧分佈、及細數目至&其導流 122. The electroplating apparatus of claim 1, wherein the cross-sectional shape of the anode corresponds to a shape of the workpiece to be mirrored. 3) If you apply for a patent range! The electroplating apparatus of the present invention, wherein the anode is a titanium basket made of a mesh or porous titanium or a platinum-plated titanium metal. 4. The electrominening device of claim 3, wherein the indigo system is used for placing the metal required for electroplating. 5. The electroplating apparatus of claim 1, wherein the auxiliary cathode is made of a conductive material. 6. The electroplating apparatus according to claim 5, wherein the cathode jig is rotatable. ^ t/· The electroplating apparatus of claim i, wherein the cathode jig is mainly composed of & And a non-conductive material having a stable chemical property. The electroplating device of claim 7, wherein the conductive material comprises nickel, stainless steel, and the non-conductive material comprises polypropylene, polyvinyl chloride, For example, in the electroplating device of the scope of the patent application, wherein the negative baffles are maintained at an appropriate distance greater than 1 μηΐ. 〜, human anode ΊΙΐί: the electroplating device of the first item, wherein the baffle plate and the For example, the electroplating apparatus of the first aspect of the patent application, wherein the diameter of the hollow disc on the deflector is between 35 and 55, and the plurality of the discs are more than 1 μm. The pores may have the same or different pore diameters, and the pore diameters thereof are all larger than i μιη. 12. The plating method 'can improve the thickness uniformity of the plating layer, and the method comprises the following steps: providing a plating to be plated A workpiece is provided with a plating apparatus comprising: a plating bath containing a plating solution; an electrode comprising a cathode and an anode, the substrate being immersed in the plating shaft, and the anode is immersed, and the anode and the anode are interposed between the anode and the anode. a plurality of holes are disposed thereon: a left and right sides of the workpiece, and the workpiece to be plated is fixed to the cathode clip of the plating device and the cathode clamp and the deflector are appropriately adjusted The distance between the anodes and the 俾 is greater than 1 (10). Na & and the k-plate and the sentence begin to carry out Wei, to form on the scales of the rainbow - substantially 13. As claimed in the 12th article Electroplating of the scales to be found in the miners; ^ 1, towel _ booster and 14 · The electrical shape of the 12th item of the patent application corresponds to the shape of the workpiece to be plated. Section 15 · Electroplated t-hole, H, side distribution, and fine number to the <12>
TW96110398A 2007-03-26 2007-03-26 Device and method with improved plating film thickness uniformity TW200839038A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW96110398A TW200839038A (en) 2007-03-26 2007-03-26 Device and method with improved plating film thickness uniformity

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW96110398A TW200839038A (en) 2007-03-26 2007-03-26 Device and method with improved plating film thickness uniformity

Publications (1)

Publication Number Publication Date
TW200839038A true TW200839038A (en) 2008-10-01

Family

ID=44820693

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96110398A TW200839038A (en) 2007-03-26 2007-03-26 Device and method with improved plating film thickness uniformity

Country Status (1)

Country Link
TW (1) TW200839038A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111326477A (en) * 2018-12-14 2020-06-23 中芯集成电路(宁波)有限公司 Electroplating method
CN114108048A (en) * 2021-11-19 2022-03-01 南京航空航天大学 Method for improving electroforming thickness uniformity of wafer-level array microstructure
CN114729467A (en) * 2021-06-17 2022-07-08 株式会社荏原制作所 Resistor and plating device
CN115142104A (en) * 2022-07-28 2022-10-04 福州大学 Electroplating device, multi-channel electroplating device set and electroplating reaction system
CN115142104B (en) * 2022-07-28 2024-04-26 福州一策仪器有限公司 Electroplating device, multichannel electroplating device group and electroplating reaction system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111326477A (en) * 2018-12-14 2020-06-23 中芯集成电路(宁波)有限公司 Electroplating method
CN111326477B (en) * 2018-12-14 2022-12-09 中芯集成电路(宁波)有限公司 Electroplating method
CN114729467A (en) * 2021-06-17 2022-07-08 株式会社荏原制作所 Resistor and plating device
CN114108048A (en) * 2021-11-19 2022-03-01 南京航空航天大学 Method for improving electroforming thickness uniformity of wafer-level array microstructure
CN115142104A (en) * 2022-07-28 2022-10-04 福州大学 Electroplating device, multi-channel electroplating device set and electroplating reaction system
CN115142104B (en) * 2022-07-28 2024-04-26 福州一策仪器有限公司 Electroplating device, multichannel electroplating device group and electroplating reaction system

Similar Documents

Publication Publication Date Title
CN101302644B (en) Method and system for plating workpieces
KR101613406B1 (en) Device for vertical galvanic metal deposition on a substrate
CN101109094A (en) Method of horizontally plating, electrodepositing or electrodeless plating processing on substrate
CN108396347A (en) Block piece and preparation method thereof and graphic plating method is electroplated
CN102560586A (en) Electroplating method
EP2746433B1 (en) Device for vertical galvanic metal, preferably copper, deposition on a substrate and a container suitable for receiving such a device
JP7161445B2 (en) Distribution system for chemical and/or electrolytic surface treatment
JP2006249450A (en) Plating method and plating device
JP2018095903A (en) Diamond electrode, method for producing diamond electrode, and electrolyzed water generator
TW200839038A (en) Device and method with improved plating film thickness uniformity
CN202492595U (en) Electroplating device
KR100729973B1 (en) Method and device for the electrolytic treatment of electrically conducting surfaces of mutually isolated sheet and foil material pieces
TWI826385B (en) Substrate locking system for chemical and/or electrolytic surface treatment
Oulladj et al. Preparation and properties of electroless Ni–Zn–P alloy films
JP2019528378A (en) Equipment for vertical electrical metal deposition on substrates
US20040099532A1 (en) Apparatus and method for controlling plating uniformity
CN202509152U (en) Anode subassembly for electroplating and electroplating device
TWI221862B (en) Apparatus and method for plating a substrate, and method and apparatus for electrolytic treatment
US11270870B2 (en) Processing equipment component plating
KR20110067277A (en) Wafer plating apparatus
US20220025540A1 (en) Plating apparatus and method for electroplating wafer
CN102560587A (en) Electroplating device
Balucani et al. Dynamic Liquid Drop/Meniscus: A New Route to Electrodeposition
Ortiz-Ibarra et al. Uniform thin film electrodeposition onto large circular wafer substrates
KR20110071288A (en) Wafer plating apparatus