TW200802587A - Treating apparatus, method of treating and plasma source - Google Patents

Treating apparatus, method of treating and plasma source

Info

Publication number
TW200802587A
TW200802587A TW096118763A TW96118763A TW200802587A TW 200802587 A TW200802587 A TW 200802587A TW 096118763 A TW096118763 A TW 096118763A TW 96118763 A TW96118763 A TW 96118763A TW 200802587 A TW200802587 A TW 200802587A
Authority
TW
Taiwan
Prior art keywords
treating
chamber
chemical
feeding means
feeding
Prior art date
Application number
TW096118763A
Other languages
English (en)
Inventor
Masatomo Kanegae
Akitoshi Okino
Hidekazu Miyahara
Original Assignee
River Bell Co Ltd
Tokyo Inst Tech
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2006196931A external-priority patent/JP2008027657A/ja
Application filed by River Bell Co Ltd, Tokyo Inst Tech filed Critical River Bell Co Ltd
Publication of TW200802587A publication Critical patent/TW200802587A/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Treatment Of Fiber Materials (AREA)
TW096118763A 2006-06-22 2007-05-25 Treating apparatus, method of treating and plasma source TW200802587A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006172388 2006-06-22
JP2006196931A JP2008027657A (ja) 2006-07-19 2006-07-19 プラズマ源、処理装置及び処理方法

Publications (1)

Publication Number Publication Date
TW200802587A true TW200802587A (en) 2008-01-01

Family

ID=38833213

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096118763A TW200802587A (en) 2006-06-22 2007-05-25 Treating apparatus, method of treating and plasma source

Country Status (6)

Country Link
US (1) US20100055915A1 (zh)
EP (1) EP2031646A4 (zh)
KR (1) KR100932053B1 (zh)
CN (1) CN101331594B (zh)
TW (1) TW200802587A (zh)
WO (1) WO2007148470A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777323B (zh) * 2020-01-31 2022-09-11 日商斯庫林集團股份有限公司 電漿產生裝置及基板處理裝置

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8888919B2 (en) * 2010-03-03 2014-11-18 Veeco Instruments Inc. Wafer carrier with sloped edge
WO2011116991A1 (de) * 2010-03-26 2011-09-29 Hq-Dielectrics Gmbh Vorrichtung und verfahren zum behandeln von substraten
KR20120064364A (ko) * 2010-12-09 2012-06-19 삼성전자주식회사 태양 전지의 제조 방법
DE102011100057A1 (de) * 2011-04-29 2012-10-31 Centrotherm Thermal Solutions Gmbh & Co. Kg Vorrichtung und verfahren zum behandeln von substraten mit einem plasma
JP6199155B2 (ja) * 2013-10-30 2017-09-20 株式会社Screenホールディングス 犠牲膜除去方法および基板処理装置
CN104779136A (zh) * 2014-01-10 2015-07-15 上海和辉光电有限公司 一种去除光致抗蚀剂的方法和设备
CN105514023B (zh) * 2014-09-22 2018-07-24 上海和辉光电有限公司 一种接触孔界面处理方法
CN109308987A (zh) * 2017-07-26 2019-02-05 东芝存储器株式会社 等离子体处理装置、半导体制造装置及半导体装置的制造方法
KR102355875B1 (ko) * 2017-12-18 2022-02-08 세키스이가가쿠 고교가부시키가이샤 표면 처리 방법 및 장치
KR102619877B1 (ko) * 2019-09-11 2024-01-03 삼성전자주식회사 기판 처리 장치
JP7340396B2 (ja) * 2019-09-24 2023-09-07 株式会社Screenホールディングス 基板処理方法および基板処理装置
US20240203758A1 (en) 2022-03-30 2024-06-20 Yamaha Robotics Holdings Co., Ltd. Wafer cleaning apparatus and bonding system
CN115747802A (zh) * 2022-06-16 2023-03-07 广州医科大学 一种复合化学蚀刻加工装置及加工方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS571998A (en) * 1980-06-06 1982-01-07 Hitachi Ltd Method of processing surface contaminated metal
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5851828A (en) * 1991-03-07 1998-12-22 The General Hospital Corporation Targeted cytolysis of HIV-infected cells by chimeric CD4 receptor-bearing cells
JPH05235520A (ja) * 1992-02-20 1993-09-10 Matsushita Electric Works Ltd 回路用基板のプラズマ処理方法
JPH06190269A (ja) * 1992-12-25 1994-07-12 Seiko Epson Corp ドライ洗浄方法およびその装置
DE19713352A1 (de) * 1997-03-29 1998-10-01 Deutsch Zentr Luft & Raumfahrt Plasmabrennersystem
JPH10284454A (ja) * 1997-04-01 1998-10-23 Komatsu Electron Kk 流体加熱装置の空炊き検出方法
JP3767839B2 (ja) * 1998-04-07 2006-04-19 大日本スクリーン製造株式会社 基板処理方法及び装置
JP3322853B2 (ja) * 1999-08-10 2002-09-09 株式会社プレテック 基板の乾燥装置および洗浄装置並びに乾燥方法および洗浄方法
JP2001176833A (ja) * 1999-12-14 2001-06-29 Tokyo Electron Ltd 基板処理装置
JP2001237212A (ja) * 2000-02-22 2001-08-31 Nissin High Voltage Co Ltd 電子線処理方法および電子線処理装置
JP2001332399A (ja) * 2000-05-25 2001-11-30 Mitsubishi Heavy Ind Ltd プラズマ発生装置及びこれを用いた表面清掃方法
JP4016598B2 (ja) * 2001-01-16 2007-12-05 株式会社日立製作所 半導体装置の製造方法
US6546938B2 (en) * 2001-03-12 2003-04-15 The Regents Of The University Of California Combined plasma/liquid cleaning of substrates
JP2003266030A (ja) * 2002-03-15 2003-09-24 Seiko Epson Corp 被処理物の洗浄方法および装置並びにデバイスの製造方法およびデバイス
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
JP2004119899A (ja) * 2002-09-27 2004-04-15 Toshiba Corp 半導体装置の製造方法および半導体装置
US7323080B2 (en) * 2004-05-04 2008-01-29 Semes Co., Ltd. Apparatus for treating substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777323B (zh) * 2020-01-31 2022-09-11 日商斯庫林集團股份有限公司 電漿產生裝置及基板處理裝置

Also Published As

Publication number Publication date
EP2031646A1 (en) 2009-03-04
EP2031646A4 (en) 2012-05-30
WO2007148470A1 (ja) 2007-12-27
US20100055915A1 (en) 2010-03-04
KR20080027457A (ko) 2008-03-27
CN101331594B (zh) 2012-03-28
CN101331594A (zh) 2008-12-24
KR100932053B1 (ko) 2009-12-15

Similar Documents

Publication Publication Date Title
TW200802587A (en) Treating apparatus, method of treating and plasma source
TW200621095A (en) Plasma processing system for treating a substrate
WO2009091189A3 (en) Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
TW200610836A (en) Apparatus and process for surface treatment of substrate using an activated reactive gas
MY148631A (en) Apparatus and methods for transporting and processing substrates
TW200507445A (en) Lithographic apparatus and device manufacturing method
WO2009020321A3 (en) Clothes treating apparatus
WO2005104186A3 (en) Method and processing system for plasma-enhanced cleaning of system components
TN2009000477A1 (en) Treatment system for flat substrates
WO2009020312A3 (en) Clothes treating apparatus
TW200802549A (en) Vertical plasma processing apparatus for semiconductor process
WO2008139653A1 (ja) 洗浄方法およびそれに用いる装置
WO2009155446A3 (en) Ion source cleaning method and apparatus
TW200629453A (en) Vacuum processing apparatus
EA201171459A1 (ru) Способ обработки белья и прибор для обработки белья для осуществления указанного способа
TW200746285A (en) Device and method for wet treating plate-like substrates
WO2009038168A1 (ja) 成膜装置および成膜方法
EA201200156A1 (ru) Устройство и способ для обработки табака
TW200511430A (en) Plasma processing apparatus and plasma processing method
PH12018501553A1 (en) Calcined gypsum treatment device and calcined gypsum treatment method
SG148967A1 (en) Process for cleaning a semiconductor wafer using a cleaning solution
DE60015270D1 (de) Verfahren und gerät zur stabilisierung eines plasmas
TW200633036A (en) Technique for reducing backside particles
JP2009094115A5 (zh)
MY146880A (en) Method for drying a substrate