TW200416874A - Plasma processing apparatus and method - Google Patents

Plasma processing apparatus and method Download PDF

Info

Publication number
TW200416874A
TW200416874A TW092133237A TW92133237A TW200416874A TW 200416874 A TW200416874 A TW 200416874A TW 092133237 A TW092133237 A TW 092133237A TW 92133237 A TW92133237 A TW 92133237A TW 200416874 A TW200416874 A TW 200416874A
Authority
TW
Taiwan
Prior art keywords
upper electrode
electrode
item
patent application
plasma
Prior art date
Application number
TW092133237A
Other languages
English (en)
Other versions
TWI321814B (zh
Inventor
Akira Koshiishi
Jun Hirose
Masahiro Ogasawara
Taichi Hirano
Hiromitsu Sasaki
Tetsuo Yoshida
Michishige Saito
Hiroyuki Ishihara
Jun Ooyabu
Kohji Numata
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200416874A publication Critical patent/TW200416874A/zh
Application granted granted Critical
Publication of TWI321814B publication Critical patent/TWI321814B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Description

200416874 (1) 玖、發明說明 【發明所屬之技術領域】 本發明是關於對被處理基板施予電漿處理的技術,尤 其是關於將高頻供給至電極而生成電漿之方式的電漿處理 技術。本發明特別是關於在製造半導體裝置之半導體處理 中所被利用之電漿處理技術。在此,半導體處理是藉由以 規定圖案在半導體晶圓或 LCD (Li quid crystal display)或 FPD(Flat Panel Display)用之玻璃基板等之被處理基板上 形成半導體層、絕緣層、導電層等,意味著爲了在該被處 理基板上製造半導體裝置或包含有連接於半導體裝置之配 線、電極等之構造物而所實施之各種處理。 【先前技術】 半導體裝置或FPD之製程中之蝕刻、堆疊、濺鍍等 之處理爲了使處理氣體在比較低溫執行良好反應大多利用 電發。葉片式之電漿處理中是以容量結合型之平行平板電 漿處理裝置爲主流。 一般而言’容量結合型之平行平板電漿處理裝置是在 可減壓之處理容器或反應室內平行配置上部電極和下部電 極。下部電極是被接地,其上方被載置被處理基板(半導 體晶圓、玻璃基板等)。經由整合器高頻電壓是被供給至 上邰電極及/或下部電極。與此同時,依據被設置在上部 電極之族射頭噴射處理氣體。依據被形成在上部電極和下 部電極之間的電場而加速電子,依據電子和處理氣體之衝 -6- (2) (2)200416874 突電離而發生電漿。然後,依據電漿中之中性基或離子對 基板表面施予規定之細微加工。並且,在此,兩電極是當 作電容器而發揮作用。 最近隨著製程中之設計法則的細微化,對於電漿處理 則被要求在低壓下生呈高密度電漿。因此,如上述般之電 容結合型之平行平板電漿處理裝置中,對上部電極則供給 比以往(一般而言27MHz以下)還更高之高頻率區域(例如 5 0 MHz)之高頻。但是,當供給於上部電極之高頻之頻率 變高時,自高頻電源通過供電棒而供給至電極背面之高頻 是依據趨膚效應傳播電極表面而集中於電極下面(電漿接 觸面)之中心部。因此,電極下面之中心部之電場強度比 外圍部之電場強度還高,並且對於所生成之電漿之密度, 電極中心部比也電極外圍部高。並且,電漿因是在半徑方 向從高密度空間擴散至低密度空間,故電漿密度是成爲越 在電極中心部相對性爲高,在電極外圍部相對性爲低的分 佈。 爲了解決該問題,所知的有以高電阻構件構成上部電 極之下面中心部(例如日本特開2 0 0 0 - 3 2 3 4 5 6號公報)。該 技術方法是以高電阻構件構成上部電極之下面中央_部,在 此,更多之高頻電力被當作焦耳熱而被消耗。依此,使上 部電極之下面(電漿接觸面)之電場強度在電極中心部比電 極外圍部相對性降低,來修正如上述般之電漿密度不均勻 性。 但是,如上述般,再以高電阻構件構成上部電極之下 -7- (3) 200416874 面中心部者中,因焦耳熱所引起之高頻電力之消耗(能源 損失)有可能變多。再者,構成對上部電極之供電線的供 電棒等之感應性電抗成分之影響是越提高RF頻率則越大 。因此,在供電線至上部電極之不定處產生共振點,在該 共振點附近可能出現流動異常大的電流。
再者,最近之電漿處理裝置是以上部電極具有多數氣 體通氣孔,兼具從該些通氣孔朝向下部電極側噴射處理氣 體的所謂簇射頭爲多。如此之簇射頭兼用型之上部電極因 接受來自電漿之離子侵襲而被濺射,故當作消耗品而予以 使用。尤其,因氣體通氣孔之噴出口(角部)集中電場,故 容易被濺射。當氣體噴出口被刪減時,氣體則呈喇叭狀變 寬,無法安定生成電漿。因此,氣體噴出口之濺射行進情 行(變寬狀態)則成爲電極壽命之指標。對於如此之簇射頭 構造之上部電極,當企圖達成如上述般之電漿高密度化時 ,電極壽命則可能更縮短。
【發明內容】 本發明之目的是提供使供給於上部電極之高頻傳送效 率予以提升之電漿處理裝置及方法。 本發明之另外目的是提供可以容易實現電漿密度之均 勻化之電漿處理裝置及方法。 本發明之第1觀點是一種電漿處理裝置,具備有 可設定成具有真空環境的處理容器; 被配置成可與被配置在上述處理容器內規定位置上之 -8- (4) (4)200416874 被處理基板相向的上部電極; 供給處理氣體至上述處理容器內的處理氣體供給部; 輸出第1高頻的第1高頻電源;和 實質上被連續性連接於上述上部電極周圍方向的第1 筒狀導電構件, 並具有將來自上述第1高頻電源之上述第1高頻供給 至上述上部電極的供電部。 本發明之第2觀點是一種電漿處理方法,其具備有 在可設定成具有真空環境的處理容器內配置基板於規 定位置之工程; 將來自高頻電源之高頻,經由實質上被連續性連接於 上述上部電極周圍方向的第1筒狀導電構件,而供給至在 上述處理容器內被配置成可與上述基板相向的上部電極之 工程; 將上述高頻供給至上述上部電極,同時供給規定處理 氣體至上述處理容器內,並在上述上部電極之正下面附近 ,將上述處理氣體予以電漿化之工程;和 一面使上述電漿予以擴散,一面依據上述電漿對是上 述基板施予規定之電漿處理的工程。 若依據上述第1及第2觀點,來自高頻電源之高頻, 是經由第1筒狀導電構件而從周圍方向之全區域被供給至 上部電極,在上部電極之正下方生成電漿。所生成之電漿 主要是擴散於內側或中心側,依此,電漿之密度在半徑方 向被均勻化。依據如此所取得之電漿,對與上部電極相向 -9- (5) (5)200416874 之基板的被處理面施予規定之處理。依據適當調整對基板 之上部電極的尺寸及距離間隔,電漿生成率等,則可以使 電漿密度更均勻化。 上部電極之材質是以對來自高頻電源之高頻電壓電壓 下降或電力損失小之低電阻的導電體或半導體爲最佳°胃 者,上部電極之構造是可以由被配置成環形狀之1個或多 數電極所構成,尤其以1個環形電極所構成爲最佳。 如上述般將上部電極構成環形之時,則可以將處理氣 體從環形內側送入至電漿生成空間內。是可以配置將處理 氣體自多數之氣體噴出孔均勻噴出的簇射頭爲最佳。即使 以電極構成該簇射頭,並將來自高頻電源之高頻分割供給 至該內側之電極亦可。 可以在第1筒狀導電構件之半徑方向外側上,配置被 連接於接地電位之第2筒狀導電構件。此時,依據第1及 第2筒狀導電構件,形成將前者當作波導路的同軸線路。 於該同軸線路中,使從高頻電源朝向上部電極之電力傳送 效率極大化,並且將相對於第1筒狀導電構件之半徑的第 2筒狀導電構件之半徑比設定於1 .2〜2.0之範圍內爲佳, 設定在1 · 5〜I . 7之範圍內則更佳。並且,第2筒狀導電 構件是可以與處理容器一體構成。 上部電極是可以包圍供給處理氣體之簇射頭,並且具 備比簾射頭之下面還突出於下方的突出部。依據該上部電 極之突出部’藉由給予從周邊側朝向半徑方向內之電場, 對電漿生成空間封閉電漿,而可以效果性地實現電漿密度 -10- (6) (6)200416874 之提升和均勻化。尤其是上部電極中之突出部之突出量和 半徑方向之基板的相對位置關係爲左右電漿密度之空間分 布特性的重要因素。最佳是可以將相對於簇射頭之下面的 突出部下方之突出量設定成2 5 mm以下。再者,突出部之 內徑部分是以被配置在比基板外圍端還向半徑方向外側離 開2 4 m m〜3 0 m m的位置上爲最佳。 可以在上部電極之下方設置密封構件。如上述般,於 上部電極上設置突出部之時,是可以配置密封構件而予以 覆蓋該突出部之下面。若依據該密封構件,因在電漿生成 空間之外側,遮蔽或封印第1上部電極附近之高頻放電路 ,而可抑制該正下方所發生之電漿生成。依此,可更提高 將電漿關閉於基板正上方的效果,同時可以效果性地防止 對處理器不寄望的聚合膜之堆疊。 若依據上述之第1及第2觀點,則可以提升供給於上 部電極之電漿生成用高頻之傳送效率。若依據另外之態樣 則可以減少高頻能量之損失,而可容易實現電漿密度之均 句化。 【實施方式】 以下針對本發明之實施形態參照圖面予以說明。並且 ,在以下之說明中,針對具有略相同之機能及構成之構成 要素,賦予相同符號,重複說明僅在必須之情形執行。 (第1實施形態) -11 - (7) (7)200416874 第1圖是本發明之第1實施形態所涉及之電漿蝕刻裝 置之縱剖面圖。該電漿蝕刻裝置是以電容結合型之平行平 板電漿蝕刻裝置而所構成。該裝置是具有由例如表面被防 蝕鋁處理(陽極氧化處理)之鋁所構成之圓筒形之處理室( 處理容器)1 〇。處理1 〇是被保安接地。 處理室1 0之底部是透過陶瓷等之絕緣板1 2而配置圓 柱狀之感應器支撐台14。感應器16是構成下部電極,並 於其上面載置有作爲被處理基板例如半導體晶圓W。 感應器1 6之上面是配置有以靜電吸附力保持半導體 晶圓W之靜電夾1 8。靜電夾1 8是將由導電膜所構成之電 極2 0夾入於一對絕緣層或是絕緣薄板之間。電極2 0是電 性連接直流電源2 2。依據來自直流電源2 2之直流電壓, 以庫倫力將半導體晶圓吸附保持於靜電夾1 8上。在靜電 夾1 8之周圍感應器1 6之上面,爲了使蝕刻之均勻性更加 向上,配置有由例如矽所構成之聚焦環2 4。在感應器16 及感應器支撐台1 4之側面上,貼有例如由石英所構成之 圓筒狀之內壁構件2 6。 感應支撐台I 4之內部是配置有例如延伸於圓周方向 之冷煤室2 8。冷煤室2 8是依據外裝之致冷元件(無圖示) 經過配管30a、30b循環供給規定溫度之冷煤例如冷煤水 。依據冷煤之溫度可以控制感應器1 6上之半導體晶圓W 之處理溫度。並且,來自傳熱氣體供給機構(無圖示)之傳 熱氣體例如H e氣體,是透過氣體供給線3 2而被供給至靜 電夾1 8之上面和半導體晶圓W之背面之間。 -12- (8) (8)200416874 感應器]6之上方是配置有與該感應器平行相向之上 部電極3 4。兩電極1 6、3 4之間的空間爲電漿生成空間。 上部電極3 4是與感應器(下部電極)1 6上之半導體晶圓W 相向而形成與電漿生成空間接觸之面即是對向面。上部電 極3 4是由隔著規定間隔而與感應器1 6相向配置之環狀或 是圈狀之外側(〇 u t e 1·)上部電極3 6,和與外側上部電極3 6 之半徑方向內側絕緣而配置之圓板形狀之內側(inner)上部 電極3 8所構成。該些外側上部電極3 6和內側上部電極 3 8關於電漿生成,前者(3 6 0具有主要關係,後者(3 8 )具 有輔助之關係。 第2圖是表示第1圖示之電漿蝕刻裝置之重要部分之 部分擴大剖面圖。如第2圖明顯所示般,在外側上部電極 36和內側上部電極38之間形成有0.25〜2.0mm之環狀間 隙,在該間隙設置例如由石英所構成之介電體4 0。在該 間隙亦可設置陶瓷9 6。夾著介電體4 0在兩電極3 6、3 8 之間形成電容器。該電容器之電容C4G是因應間隙之尺寸 和介電體4 0之介電率而被選定或被調整成規定之値。在 外側上部電極3 6和處理室1 〇之側壁之間,氣密性地安裝 有例如由氧化鋁(AI2〇 3)所構成之環狀絕緣性遮蔽構件42 〇 外側上部電極3 6是以焦耳熱少之低電阻或半導體例 如矽所構成爲最佳。在外側上部電極3 6是透過整合器4 4 、上部供電棒4 6、連接器4 8及供電筒5 0而被電性連接 於第1高頻電源5 2。第]高頻電源5 2是輸出1 3 · 5 Μ Η z以 -13- (9) (9)200416874 上之頻率例如60MHz之高頻電壓。整合器44是用以使負 荷阻抗整合於高頻電源5 2之內部(或者輸出)阻抗者。整 合器44是在處理器1〇內生成電漿時,發揮使高頻電源 5 2之輸出阻抗和負荷阻抗外觀上爲一致之機能。整合器 44之輸出端子是被連接於上部供電棒46之上端。 供電筒5 0是由圓筒狀或是圓錐狀或接近於此形狀的 導電板例如鋁或是銅板所構成。供電筒5 0之下端是周圍 方向連續性地被連接於外側上部電極36上。供電筒50之 下端是依據連接器4 8而被電性連接於上部供電棒4 6之下 端部。供電筒5 0之外側是延伸於處理室1 〇之側壁比上部 電極34之高度位置還上方而構成圓筒狀之接地導體l〇a 。圓筒狀接地導體1 〇a之上端部是依據筒狀之絕緣構件 5 4而自上部供電棒被電性絕緣。於所涉及構成中,在自 連接器4 8所看到的負荷電路中,以供電筒5 0及外側上部 電極3 6和圓筒狀接地導體】〇 a,形成將前者(3 6、5 0 )當作 波導路之同軸線路。 再者,於第1圖中,內側上部電極3 8是具有擁有多 數氣體通氣孔5 6 A之電極板5 6和可拆裝支撐電極板5 6 之電極支撐體5 8。電極板5 6是由例如S i、S i C等之半導 體材料所構成,電極支撐體5 8是由導電材料例如表面被 防蝕鋁處理之鋁所構成。電極支撐體5 8之內部是配置有 由以例如由氧環所構成之環狀隔板構件6 0所分割出之兩 個氣體導入室,即是中心氣體導入室62和周邊氣體導入 室6 4。以中心氣體導入室6 2和被設置在該下面之多數氣 .1Δ - (10) (10)200416874 體噴出孔5 6 a構成中心簇射頭。以周邊氣體導入室64和 被設置在該下面之多數氣體噴出孔5 6 a構成周邊簇射頭。 氣體導入室6 2、6 4是以規定之流量比供給來自共同 處理氣體供給源6 6之處理氣體。更詳細而言’來自處理 氣體供給圓6 6之氣體供給管6 8在途中被分歧兩個而被連 接於氣體導入室62、64,各個分歧管68a、68b上配置有 流量控制閥7 0 a、7 0 b。因從處理氣體供給源6 6至氣體導 入室6 2、6 4爲止之流路的電導爲相等’故依據流量控制 閥7 0 a、7 0 b之調整,可以任意調整供給於兩氣體導入室 62、64之處理氣體之流量比。並且’於氣體供給管68是 配置有質量流控制器(MFC)72及開關閥74。 如此調整導入於中心氣體導入室62和周邊氣體導入 室 64之處理氣體之流量比。依此,可以任意調整對應於 中心氣體導入室62之電極中心部之氣體通氣孔56a即是 藉由中心簇射頭所噴出之氣體流量Fc,和對應於周邊氣 體導入室 64之電極周邊部之氣體通氣孔56a,即是藉由 周邊簇射頭所噴出之氣體流量FE之比率(FC/FE )。並且 ,亦可以使藉由中心簇射頭及周邊簇射頭各所噴出之處理 氣體之每單位面積之流量不同。並且,亦可獨立或個別選 定藉由中心簇射頭及周邊簇射頭各所噴出之處理氣體之氣 體種或氣體混合比。 在內側上部電極3 8之電極支撐體5 8上,透過整合器 44、上部供電棒46、連接器48及下部供電筒而被電性連 接第1高頻電源5 2。在下部供電筒7 6之途中配置有可以 -15- (11) (11)200416874 可變調整電容之可變電容器78。 雖然省略圖示,但是即使在外側上部電極3 6及內側 上部電極38上設置適當之冷煤室或是冷卻殼(無圖示)亦 可。依據自外部之致冷元件供給冷煤至該冷煤室或冷卻殼 上,則可以控制電極之溫度。 在處理室1 〇之底部設置排氣口 8 0,透過排氣管8 2 將排氣裝置8 4連接於排氣口 8 0。排氣裝置8 4是具有渦 輪分子幫浦等之真空幫浦,可以將處理室1 〇內之電漿處 理空間減壓至規定之真空度。再者,處理室1 〇之側壁上 安裝有開關半導體晶圓W之搬入出口之閘閥8 6。 該實施形態之電漿蝕刻裝置是透過當作下部電極之感 應器1 6而被電性連接於第2高頻電源90。第2高頻電源 90是輸出20〜27MHz範圍內之頻率,例如2MHz之高頻 電壓。整合器8 8是用以將負荷阻抗整合成高頻電源90之 內部(或輸出)阻抗者。整合器8 8是於處理室1 0內生成電 漿時,發揮使高頻電源9 0之內部阻抗和負荷阻抗在外觀 上爲一致之機能。 內側上部電極3 8是不通過來自第1高頻電源5 2之高 頻(6 0MHz),被電性連接於用以將來自第2高頻電源98 之高頻(2 MHz)通往地面之低通濾波器(LPF) 92。低通濾波 器(LPF)92最佳是由LR濾波器或是LC濾波器所構成。但 是,即使1條導線因對來自第1高頻電源5 2之高頻 (6 0MHz)可以給予相當大之電抗,故可以僅以此而完成。 另外,感應器1 6是被電性連接於用以將來自第1高頻電 -16- (12) (12)200416874 源52之高頻(60MHz)通至地面之高通濾波器(HPF)94。 於該電漿蝕刻裝置中,爲了執行蝕刻,首先使閘閥 8 6呈開狀態,將加工對象之半導體晶圓W搬入至處理室 1 〇內,而載置於感應器1 6之上。然後,依據處理氣體供 給源以規定之流量及流量比將蝕刻氣體(一般爲混合氣體) 導入至氣體導入室62、64,並依據排氣裝置84將處理室 1 〇內之壓力並且蝕刻壓力設爲設定値(例如’數m τ 0 r r〜 lniTorr之範圍內)。並且’藉由第1局頻電源52以規疋 之功率將電漿生成用之高頻(60MHz)供給至上部電極 34(36、38),並且’依據第2局頻電源90以規定功率將 高頻(2 Μ Η z)供給至感應器1 6。再者’依據直流2 2將直流 電壓供給至靜電夾1 8之電極20 ’並將半導體晶圓W固定 於感應器1 6上。依據內側上部電極3 8之氣體通氣孔5 6 a 而所吐出之蝕刻氣體是在上部電極3 4 ( 3 6、3 8)和感應器 1 6間之輝光放電中被電漿化。依據以該電漿所生成之基 或離子餒1刻半導體晶圓W之被處理面。 該電漿蝕刻裝置是對是上部電極3 4供給高頻率區域( 離子不能動之5〜1 〇 Μ Η z以上)之高頻。依此’可以以合 乎理想之解離狀態將電漿予以高密度化,並且即使在低壓 之條件下,亦可以形成高密度電漿。 再者,在上部電極3 4中,與半導體晶圓W和真正面 相向之內側上部電極3 8是構成簇射頭兼用型’可以利用 中心簇射頭(62、5 6a)和周邊簇射頭(64、5 6a)任意調整氣 體噴出流量之比率。因此,可以在半徑方向控制氣體分子 -17- (13) (13)200416874 或基密度之空間分布,並可任意控制依據基底座之蝕刻特 性的空間性分布特性。 另外,在對於上部電極3 6是如後述般,作爲電漿生 成用之高頻電極,是以外側上部電極3 6爲主,以內側上 部電極3 8爲副,可依據兩高頻電極3 6、3 8調整給予至電 子正下方之電場強度之比率。因此,可以在半徑方向控制 電漿密度之空間分布,並任意且精 地控制反應性離子蝕 刻之空間性特性。 在此,重要的是電漿密度空間分布之控制是不實質影 響基密度空間分布之控制。電漿密度空間分布之控制是依 據在外側上部電極3 6和內側上部電極3 8之間可以改變電 場強度或投入電力之比率而所執行。基密度空間分部之控 制是依據在中心簇射頭(62、5 6a)和周邊簇射頭(64、56a) 之間可以改變處理氣體之流量或氣體密度或是氣體混合比 之比率而所執行。 即是,依據中心簇射頭(62、5 6a)和周邊簇射頭(64、 5 6 a)所噴出之處理氣體之解離是在內側上部電極3 8正下 方之區域內被執行。因此,即使在內側上部電極3 8和外 側上部電極36之間改變電場強度之均衡,亦不影響內側 上部電極38內(相同區域內)之中心簇射頭(62、56a)和周 邊簇射頭(64、5 6a)之間的基生成量或密度之均衡。如此 一來,可以實質上獨立地控制電漿密度之空間分布和基密 度之空間分布。 再者,該電漿蝕刻裝置是構成在外側上部電極3 6之 -18- (14) (14)200416874 正下方生成電漿大部分或過半,而使擴散於內側上部電極 3 8之正下方的方式。當依據該方式時,兼作簇射頭之內 側上部電極3 8所接受之來自電漿離子之衝擊變少。因此 ,可以效果性地控制屬於交換零件之電極板5 6之氣體噴 出口 56a之濺射進行度,並可以大幅度地延長電極板56 之壽命。另外,外側上部電極3 6是不具有電場集中之氣 體噴出口。因此,離子之衝擊較少,取代內側上部電極 38電極壽命不會變短。 第2圖是如先前所述般,表示該電漿蝕刻裝置之重要 部位(尤其,構成電漿生成手段之重要部位)之構成。於第 2圖中,省略內側上部電極3 8之簇射頭部(5 6 a、6 2、6 4) 之構造。第3圖是表示第1實施形態中之電漿生成手段之 重要部位之等效電路的電路圖。於該等效電路是省略各部 之電阻。 於該實施形態是如上述般,在自連接器4 8所看到的 負荷電路中,以外側上部電極3 6及供電筒5 〇和圓筒狀接 地導體l〇a形成將前者(36、50)當作波導路·u之同軸線路 。在此,當將供電筒5 0之半徑(外徑)當作aG,將圓筒狀 接地導體I 0 a之半徑當作b之時,該同軸線路之特性阻抗 或電感則可以近似於下述之式(1 )。
Lo^K· In(b/a〇)...... (1) 但是,K是由導電路之移動度及介電率所取決之定數 (15) (15)200416874 另外,在從連接器4 8所看到之負荷電路中,即使在 下邰供電棒7 6和圓筒狀接地導體〗〇 a之間,亦形成將前 者(76)當作波導路凡之同軸線路。雖然內側上部電極38 也延長於下部供電棒7 6,但是,直徑爲大不相同,下部 供電棒76之電感成爲支配性。在此,當將下部供電棒76 之半徑(外徑)當作a i時,該同軸線路之特性阻抗或電感L i 可以近似下述式(2)。 L 丨=K · I n (b / a i)...... (2) 藉由上述之式(1)、(2)可理解,將高頻傳達於內側上 部電極3 8之內側波導路j i是給予與以往一般之RF系統 相同之電感L i。另外,傳達高頻至外側上部電極3 6之外 側波導路·Τ〇是給予僅有直徑部分明顯較小之電感L〇。依 此,自整合器44看時比連接器4 8之前的負荷電路是在低 電感之外側波導路Jo容易傳播高頻(電壓下降小)。因此, 較多之局頻電力P g供給至外側上部電極3 6,可以在外側 上部電極36之下面(電漿接觸面)取得較強電場E〇。另外 ,高電感之內側波導路是不容易傳達高頻(電壓下降大) 。因此,可以供給比被供給至外側上部電極3 6之高頻電 力Po小之高頻電力P,至內側上部電極3 8,將在內側上部 電極38之下面(電漿接觸面)所取得之電場強度Ei縮小成 比外側上邰電極3 6側之電場強度E 〇還小。 -20- (16) (16)200416874 如此一來,上部電極3 4是在外側上部電極之正下方 以相對性較強之電場E〇加速電子,同時在內側上部電極 3 8之正下方以相對性較弱之電場E,加速電子。依此,在 外側上部電極3 6之正下方生成電漿P之大部分或過半, 在內側上部電極3 8之正下方輔助性地生成電漿P之一部 分。然後,依據在外側上部電極3 6之正下方所生成之高 密度電漿擴散於半徑方向之內側和外側,在上部電極34 和感應器1 6之間的電漿處理空間,於半徑方向平均電漿 密度。 但是,以外側上部電極3 6和供電筒5 0和圓筒狀接地 導體1 0 a所形成之同軸線路之最大傳送電力P m ax是依存 於供電筒50之半徑aG和圓筒狀接地導體l〇a之半徑b, 並以下述之式(3)表示。
Pmax/E〇2max = a〇2 [ In(b/a〇) ) 2/2Z〇...... (3) 在此,Z〇是自整合器44側看到的該同軸線路之輸入 電感,E〇m ax是RF傳送系統之最大電場強度。 於上述式(3)中,最大傳送電力 Pmax是以(b/a〇)4 1 .6 5爲極大値。即是,對於供電筒5 0之半徑,圓筒狀接 地導體10a之半徑比(b/a 〇)約爲1.65時,外側波導路Jo之 電力傳送效率爲最良好。自所涉及之觀點來看’爲了使外 側波導路】〇之電力傳送效率予以提升’構成以選定供電 筒50及/或圓筒狀接地導體10A之半徑’將比(b/aG)至少 -21 - (17) (17)200416874 可進入至1.2〜2_0之範圍內爲最佳’更好是構成可進入 1 . 5〜1 . 7之範圍內。 該實施形態因任意且精細控制電漿密度之空間分布’ 故作爲用以調整外側上部電極3 6正下方之外側電場強度 E〇(或是向外側上部電極36側之投入電力PG)和內側上部 電極3 8正下方之內側電場強度E i (或者向內側上部電極 3 8側之投入電力Pi)之比率即是均衡的手段’是在下部供 電棒76之途中***可變電容器78。依據改變可變電容器 7 8之電容C 7 8,使內側波導路J i之阻抗或電感予以增減’ 改變外側波導路h之電壓下降和內側波導路J,之電壓下 降的相對比率。依此,可以調整外側電場強度E 〇 (外側投 入電力P〇)和內側電場強度Ei(內側投入電力Pi)之比率。 並且,供給電漿之電位下降之離子覆蓋之阻抗一般爲 電容性。於第3圖之等效電路中,是將外側上部電極36 正下方之覆蓋阻抗之電容假設爲Cpo,將內側上部電極3 8 正下方之覆蓋阻抗之電容假設爲Cpi。再者,形成於外側 上部電極3 6和內側上部電極3 8之間的電容器之電容C 4 〇 是組合可變電容器7 8之電容C 7 8而左右上述般之外側電 場強度E〇(外側投入電力pG)和內側電場強度Ei(內側投入 電力Pi)的均衡。電容C4G是可以選定或調整成如可以將 可變電容器7 8之電場強度(投入電力)均衡調整機能予以 最適合化的値。 第4圖及第5圖是表示該實施形態之可變電容器7 8 之電場強度均衡調整機能之檢証例(模擬資料)。第4圖是 -22- (18) (18)200416874 以可變電容器78之電容C78當作參數,而表示電極之半 徑方向中之電場強度(相對値)之分布特性。第5圖是表示 改變電容器78之電容C78時之外側電場強度E〇和內側電 場強度E,之相對比率。 並且,該模擬是將半導體晶圓W之口徑當作200mm ,將圓板形狀之內側上部電極3 8之半徑當作1 〇 〇mm,將 環狀之外側上部電極3 6之內側半徑及外側半徑各選定爲 1 0 1 m m、1 4 1 m m。此時,對於半導體晶圓 W 之面積 (3 1 4cm2),內側上部電極3 8之面積爲3 1 4cm2,與晶圓W 相同,外側上部電極36之面積爲3 04cm2比晶圓W小一 些。在大槪與晶圓W相向之面上,外側上部電極3 6之平 面積是被選定爲內側上部電極3 8之平面積的約1 /4倍〜 約1倍爲最佳。 如第4圖所示般,外側上部電極3 6正下方之外側電 場強度E0是比內側上部電極3 8正下方之內側電場強度 Ei大,在兩電極36、38之境界附近產生電場強度較大之 段差。尤其,外側上部電極3 6正下方之外側電場強度E0 是在與內側上部電極3 8之境界附近爲最大,越往半徑方 向之外側則有越低之傾向。該例是如第5圖所示般,當在 1 80〜3 5 0 pF之範圍內改變可變電容器78之電容C78時, 則可以在約〗〇%〜40%之範圍內連續控制電場強度Ei、E〇 之比率Ei/E〇。並且,C7S=125〜180pF是負荷電路爲共振 之區域,成爲不能控制。基本上,在安定區域是越增大可 變電容器78之電容CD,則可以越使內側導波路h之電 -23- (19) 200416874 抗減少,使內側上部電極3 8正下方之內側‘ 對性地增大,可控制在提高外側電場強度: 強度Ei之比率Ei/Eo之方向上。 於該實施形態中,因可以將藉由供電筒 導路J 〇之電抗顯著縮小,故可以使自整合暑 子所看到之負荷電路之阻抗的電抗成爲電容 是意味著從整合器4 4之輸出端子至電容性 止之波導路中,不存在電抗從介電性之正値 値般之共振點。以迴避共振點之發生,可以 之發生,並降低高頻能量之損失,同時確保 控制之安定性。 第6圖A (偏壓開啓)、第6圖B (偏 7圖A(X方向)、第7圖B(Y方向)是表示該 漿蝕刻裝置中所取得之電子密度分布特性及 特性之一例(實驗資料)。該實驗是與第4圖 場強度分布特性相同,將可變電容器7 8之 參數。然後,使用電漿吸收探針(PAP)測定 位置的電子密度。再者,蝕刻半導體晶圓上 測定半徑方向之各晶圓位置中之蝕刻速度。 ,也選定內上部電極38之半徑爲100mm ’ 3 6之內側半徑及外側半徑各爲1 〇 1 mm、P 蝕刻條件則爲下述般。 晶圓口徑二2 0 0 m m 處理室內之壓力=1 5ηιΤοι·ι· ®場強度E,相 E 〇和內側電場 5 0之外側波 i 44之輸出端 性之負値。該 之離子覆蓋爲 極性反轉成負 迴避共振電流 電漿密度分布 壓關閉)及第 實施形態之電 蝕刻速率分布 及第5圖之電 電容C 7 8當作 半徑方向之各 之砂氧化膜而 即使於該實驗 外側上部電極 [1 m m。主要之 -24- (20) (20)200416874 溫度(上部電極/處理室側壁/下部電極)二60/5 0/2 0 °C 傳熱氣體(H e氣體)供給壓力(中心部/端部)= 1 5/25Torr 上部及下部電極間距離二5 0 m m 製程氣體(C5F8/Ar/02 )与流量 20/3 8 0/2 0sccm 高頻電力(60MHz/2MHz) ^ 22 00W/ 1 5 00W(C78 = 5 0 0pF、lOOOPf),1 8 00 W(C 7 8 = 1 2 0pF) 於第6圖A及第6圖B中,將可變電容器78之電容 C78選定成120PF之時,則是提高選定外側電場強度E〇和 內側電場強度Ei之比率Ei/Eo的情形。此時,電極中心附 近爲最大,可以取得越向半徑方向外側越單調減少之電子 密度,即是電漿密度之分布特性。此時,可欲想電漿之擴 散率操過屬於主電漿生成部之外側上部電極36之正下方 的電漿生成率,和屬於副電漿生成部之內側上部電極38 之正下方的電漿生成率之差,自全方向聚集的中心部之電 漿密度相對性比周圍還高。 另外,將電容C 7 8選定成]0 0 0 p F之時,則爲降低選 定外側電場強度E〇和內側電場強度Ei之比率Ε"Ε〇的情 形。此時,在半徑方向比晶圓內側還外側的位置(離中心 1 4 0mm之位置附近)使電子密度予以極大化’則可在晶圓 之內側(〇〜100mm)取得均勻之電子密度分布。該可想像 是因依據在內側上部電極3 8之正下方的電漿生成率之增 大而增強對半徑方向外側之擴散。無論哪一個依據在適胃 範圍內精細可變調整可變電容器7 8之電容C7 s ’則可以 -25 (21)200416874 自在且精細控制電子密度及電漿密度之空間分布特性 再者,將高頻偏壓(2MHz)供給至下部電極16之 6圖A)是與不供給之情形(第6圖B)相比,各位置之 密度爲某種程度增大,和分布類別幾乎不變。 若依據第7圖A及第7圖B之實驗資料時,以 調整可變電容器7 8之電容C 7 8,則可取得對應於第6 及第6圖B之電子密度空間分布特性之類別之蝕刻速 間分布特性。即是,依據在適當範圍內精細可變調整 電容器7 8之電容C 7 8,則亦可自在且精細地控制晶 內之蝕刻速率空間分布特性。 再者,該實施形態之電漿蝕刻裝置是在如上述般 上部電極3 6之簇射頭機構中,可以利用中心部和外 來可變調整氣體噴出流量之比率。依據該機能,亦可 底座一起執行蝕刻速率空間分布特性之控制。 (第2實施形態) 第8圖是表不本發明之第2貫施形態所涉及之電 置的剖面圖。於第8圖中,對於具有與第1實施形態 置(第1圖)中相同構成或機能之部分賦了相同符號。 第2實施形態中之特徵之一是用以將來自高頻 5 2之高頻傳送至外側上部電極3 6之傳送路即是供電 使用鑄物的構成。該鑄物之材質是以導電性和加工性 之金屬爲最佳,例如鋁即可。鑄物之優點是成本低, 比板材製品低1 / 7以下之成本。力外之優點則是一體 時(第 電子 可變 圖A 率空
圓面 內側 圍部 在基
漿裝 之裝 電源 筒5 〇 優良 可以 化容 -26 - (22) (22)200416874 易,且因可以降低RF接面,故可減少RF損失。 並且,即使以鑄物構成供電筒5 0,亦不會降低高頻 傳送效率。即是,如第9圖A(鑄物)、第9圖B(板)及第 1 〇圖B (板)之實驗資料所示般,可確認出無論以板材.或鑄 物構成供電筒50,蝕刻速率幾乎無差異。並且,第9圖 A及第9圖B是表示矽氧化膜(Si 02)所涉及之蝕刻速率之 空間分布特性,第10圖A及第1〇圖B是表示光阻(PR) 所涉及之蝕刻速率之空間分布特性。該驗證例之主要蝕刻 條件如下記所示。 晶圓口徑=3 0 0 m m 處理室內之壓力=2 5mTorr 溫度(上部電極/處理室側壁/下部電極)=60/60/2〇°C 傳熱氣體(H e氣體)供給壓力(中央部/端部)= 1 5/40Torr 上部及下部電極間距離=4 5 mm 製程氣體(C5F8/Ar/〇2)# 流量 30/750/50sccm
高頻電力(60MHz/2MHz)与 3300W/3800W 測定時間=1 2 0秒 第2實施形態中之第2特徵是在供電筒50內在供電 棒7 6周圍設置環狀之導體構件1 0 0的構成。導體構件 1 0 0之主要任務是如以下所述’縮小供電棒7 6周圍之電 感,並改善可變電容器7 8之外側/內側投入電力平衡調整 機能之範圍。 該電漿處理裝置是如上述般’以改變可變電容器78 -27- (23) (23)200416874 之電容C 7 s,可以任意調節對外側上部電極3 6之投入電 力P 〇和對內側上部電極3 8之投入電力P i的比率。一般 而曰’可變電谷§§ 78之電容C78之可變調整是使用歩進 馬達等而歩進性地被執行。該電容可變調整是必須避開如 上述般之不能控制之共振區域(第5圖是125pF<c78< 1 8 0PF之區域)。因此,上述第1實施形態中之實驗驗證 例(弟6圖A、桌6圖B、第7圖A、第7圖B)主要是使 用比共振區域右側之安定區域(C 7 8 g 1 8 0 p F )。但是,右個j 安定區域在提升內側投入電力Pi之比率則有界限,有電 力損失較大之負面。此點從第4圖及第5圖可明顯得知, 比共振區域左側之區域(C 7 8 S 1 2 5 p F)在提升內側投入電力 P i之比率則較有利,有電力損失較少之優點。但是,比共 振區域左側之區域因越提升內側投入電力P i之比率,越 接近於共振區域,故則有在第1 1圖之特性曲線A般之變 化率(傾斜)之大特性曲線下非常難以執行靠近共振區域之 微調整。 爲了解決此,在第1 1圖之特性曲線B所示般,如第 1 1圖之特性曲線B所示般,在電容內側投入電力比率特 性曲線中,縮小比共振區域左側之區域的變化率(傾斜)而 變寬調整範圍則爲有效。然後,爲了取得第Π圖之特性 曲線B般之傾斜緩和之寬頻帶響應的特性曲線’以如下述 般,縮小供電棒76周圍之電感川則爲有效。 第1 2圖是表示第2實施形態中之電漿生成用之高頻 供電電路之等效電路的電路圖。供電棒7 6之電抗ω L i因 -28- (24) (24)200416874 是取平常比電谷益1 7 8之電抗1 / 0 C 7 s還大之絕對値,故 內側波導路J i之合成電抗X平常爲感應性,可以X二 ω La。該外表上之電感La和電容C4〇所形成之並列電路 爲共振狀態之時,電感L a之電納1 / ω L a和電容C 4 〇之電 納6JC4G相抵成爲零日寸’即是’ — I/6JC78 = ω C4G成立之時。在此,越縮小Li時,使上述共振條件成 立之C78之値則越大’在如第1 1圖之特性曲線B所示般 之靠近共振區域取得傾斜緩和之寬頻帶響應之特性曲線。 並且,爲了簡單說明’第1 2圖之等效電路是省略外側波 導路Jo之電感L〇 °即使電感L〇進入該等效電路原理亦爲 相同。 第1 3圖是表示該實施形態中之導體構件1 0 0之作用 。當時間性變化之電流1流入供電棒7 6之時,在供電棒 7 6周圍生成環狀之磁通B ’並且’依據電磁感應在導體構 件1 0 0之內部流動與磁通B鏈接之感應電流I。如此一來 ,依據感應電流I在導體構件〗0 0之內外生成環狀之磁通 b,在導體構件1 〇 〇之內部僅磁通b之部分相抵磁通B ° 如此一來,在供電棒76周圍設置導體構件1 00 ’則可以 降低供電棒7 6周圍之實質磁通發生量而縮小電感L i ° 導體構件100之外觀構造雖然是以在周圍方向連續之 單一環形狀爲最佳,但是,即使將多數導體構件並列在周 圍方向而予以配置之構造亦可。再者’導體構件1 00之內 部構造即使具有如第1 3圖所示般之環狀之空洞的中空體 亦可,但是如第8圖所示之內部埋有塊體構造則可以取得 -29- (25) 200416874 較大的電感降低效果。導體材料1 ο 〇之容積越大 理想是以可塡滿供電筒5 0內側之空間爲最佳。 而言,是將包圍供電筒5 0和外側上部電極36 1 /1 0〜1 / 3埋入在導體構件1 〇 〇爲佳。導體構件 質爲任意之導電材料即可,例如鋁之鑄物亦可。 體構件1 〇 〇是以與附近之導體即是供電棒7 6或 電極3 8等電性絕緣之狀態下被配置。 第1 4圖是以實驗資料來表示該實施形態中 件1 0 0之上述寬頻帶響應效果之驗證例。於第] 特性曲線B ’是以該實施形態之裝置構造所取得 曲線 A ’是以無設置導體構件1 〇 〇之裝置構造所 該些之特性曲線A ’、B 5各是對應於使第1 1圖之 A、B重複上下者。即是,該種平行平板型電漿 提升向上部電極3 4之中心部的投入電力(內側 P i)之比率,在感應器1 6側基板W附近之電漿密 。依此,則有(與電漿密度成反比例)感應器1 6 頻率之Vpp變低的關係。從該關係改變可變電笔 歩進値(與電容C78之値成比例的控制量),寬頻 歩進値所取得之Vpp之測定値而所取得之特性〖 B ’ (第1 4圖),是各對應於使第〗〗圖之特性曲線 下重複者。自第1 4圖之特性曲線B ’可驗證,若 施形態,依據在供電棒7 6周圍設置導體構件: 藉由可變電容器7 8之外側/內側投入電力均衡調 以在靠近共振區域將內側投入電力Pi之比率安 越佳,較 以實用性 之空間的 1 0 0之材 並且,導 內側上部 之導體構 4圖中, 者,特性 取得者。 特性曲線 裝置是越 投入電力 度則越高 側之偏壓 〔器78之 帶響應以 由線A ,、 A、B上 依據該實 0 0,對於 整,則可 定且精細 -30- (26) (26)200416874 地控制可達到高値。 第2實施形態中之第3特徵是關於被連接於內側上部 電極3 8和接地電位之間的低通濾波器9 2。如第1 5圖A 所示般,該實施形態中之低通濾波器9 2是串聯連接可變 電阻93和線圈95,不流通電漿生成用之高頻(60MHz), 而是流通偏壓用之高頻(2 MHz)以下之交流頻率和直流而 所構成。若依據低通濾波器92,則可藉由可變調整可變 電阻器9 3之電阻値R93,而調整內側上部電極3 8之直流 電位或自己編壓電壓Vdc。 更詳細而言,是如第1 6圖所示般,越縮小電阻器9 3 之電阻値R9 3,電阻器9 3之電壓下降越小,負的直流電 位vd。則上昇(接近接地電位)。相反的,越增大電阻器93 之電阻値R9 3,電阻器9 3之電壓下降則變大,直流電位 V d c爲下降。原本當直流電位v d c過高時(通常當比」5 〇 v 高時)’電漿電位上昇,發生異常放電或架橋現象。另外 ’當直流電位過低時(通常比-4 5 0低時),對內側上部電極 3 8之離子侵襲變強,電極之消耗更快。 若以另外觀點,如第1 7圖所示般,直流電位Vde是 在可以防止或抑制如上述般之異常放電及電極消耗中之任 一者的適性範圍(-4 5 0V〜-]5 0),存在對應於該適性範圍 之電阻値R93之範圍(Ra〜Rb)。因此,藉由自電阻器93側 將電阻値R93選定或調整於上述範圍(Ra〜Rb)內,則可以 將直流電位V d e調整在上述(_ 4 5 0 V〜-1 5 0 )。再者,依據供 給於上部電極3 4 (外側上部電極3 6及內側上部電極3 8 )全 -31 - (27) (27)200416874 體之高頻電力之値,而改變電阻値R93之適性範圍(Ra〜 Rb)。以一例而言,對3 000W之高頻功率,則取得下限電 阻値Ra =約1 Μ Ω之實驗結果。 再者,如第1 5圖Β所示般,經由可變直流電源將內 側上部電極3 8接於地面,依據電源電壓可直接控制直流 電位Vde。可變直流電源97是以雙極電源所構成爲最佳 〇 第2實施形態中之第4特徵是在上部電極3 4中,使 外側上部電極3 6之下面突出於比內側上部電極3 8之下面 還下方即是感應器16的構成。第18圖是表示第2實施形 態所涉及之電漿蝕刻裝置之重要部位的縱剖面圖。於該例 中,將外側上部電極3 6當作由上側之第1電極構件3 6 A 和下側之第2電極構件3 6B所構成之上下分割構造。本體 之第1電極構件3 6 A是由例如被施予防蝕鋁處理之鋁所 構成,並被連接於供電筒5 0。交換零件之第2電極構件 3 6 B是由矽所構成,在僅有規定値Η突出比內側上部電極 3 8之下面的狀態下,藉由螺栓可拆裝地密著於第〗電極 構件3 6 Α而卞以固定。於兩電極構件3 6 A、3 6 Β之間,當 作用以提高熱電導之構件1 02,是設置有矽橡膠薄板等。 再者,以鐵氟龍(商品名)塗層兩電極構件36A、36B之接 觸面,也可降低熱電阻。 外側上部電極3 6之突出部3 6 B之突出量Η及內徑( 直徑)Φ是依據外側上部電極3 6或上部電極3 4規定供給 於電漿生成空間之電場強度或方向等,進而左右電漿密度 -32- (28) (28)200416874 之空間分布特性之重要的因素。 第19圖A〜第19圖E是表示將突出部36B之突出量 Η及內徑(直徑)Φ當作參數的電子密度空間分布特性之一 例(實驗資料)。於該實驗中亦使用電漿吸收探針(ΡΑΡ)測 定半徑方向之各位置的電子密度。但是將半導體晶圓之口 徑設爲3 0 0 m m。主要參數Φ、Η在第1 9圖Α之實驗例中 是被設定爲Φ = 3 2 9 m m、Η = 1 5 m m,於第1 9圖B之實驗 例中是被設定爲Φ = 3 2 9 m m、Η = 2 0 m m,於第1 9圖C之 實驗例中是被設定爲Φ = 3 3 9 mm、H = 20mm,於第19圖 D之實驗例中是被設定爲Φ = 3 4 9mm、Η = 20mm,於第1 9 圖D之實驗例中是被設定爲Φ = 3 4 9mm、H = 20mm。再者 ,當作副次性之參數,是將內側投入電力 Pi和外側投入 電力 P〇之比率L/PdRF功率比)選定成(3 0/70 )、(27/73 ) 、(2 0 / 8 0 )、( 1 4 / 8 6 )之 4 種類。 於第1 9圖A〜第1 9圖E之實驗資料中,電子密度急 劇落下之回折點F是越增大外側上部電極3 6中之突出部 36B之內徑(直徑)Φ,越向半徑方向移動,並且越增大 突出部3 6B之突出量越上昇。理想之特性是回折點F位 於晶圓端位置(1 5 0mm位置)之正上方,並且在高位置上維 持與中心部之平坦關係的分布特性。該點是第1 9圖D之 特性(φ = 3 4 9 m m、Η = 2 0 m m ),尤其將R F功率比P i / P 〇選 定成3 0/7 0之時的特性爲最接近理想値。 第20A是表示將Φ、Η當作二次元參數之電子密度空 間分布之整體均勻性UT及邊緣均勻性UE之特性。在此, -33- (29) 200416874 整體均勻性UT是如第20圖B所示般’自晶圓中心位置 (R G)到晶圓邊緣位置(R 1 5 0 )爲止之半徑方向全區域間之面 內均勻性。
如第2圖A之特性所示般’突出部3 6之突出量Η是 極大地左右整體均勻性U τ ’並對邊緣均句性U Ε亦具有大 影響力。另外,突出部3 6 Β之內徑(直徑)Φ是對邊緣均 勻性UE發揮作用’但是幾乎不對整體均勻性Τ發生影響 。總之,突出部3 6 Β之突出量Η是以2 5 m m以下爲最佳 ,尤其以設定在20mm附近爲最佳。再者,突出部36B之 內徑(直徑)Φ是以設定在3 4 8 m m〜3 6 0 m m範圍內爲佳’ 尤其設定在 3 4 9 m m附近爲最佳。並且’ Φ = 3 4 8 m m〜 3 6 0 m m是意味著突出部3 6 B被配置在比晶圓邊緣還離開 於半徑外側方向2 4 m m〜3 0 m m的位置上。
重要的是外側上部電極3 6之突出部3 6 B是發揮依據 對電漿生成空間,供予自周邊側朝向半徑方向之電場,而 封閉電漿之作用。依此,可以說突出部3 6B爲了達到電漿 密度空間分布特性之均勻性,必須位於比晶圓之邊緣還靠 半徑方向爲佳。另外,突出部36B之半徑方向之寬並不重 要,即使選擇任意寬度亦可。 (第3實施形態) 第2 1圖是表示本發明之第3實施形態所涉及之電漿 蝕刻裝置之重要部位的縱剖面圖。特徵以外之部分即使與 上述第2實施形態相同亦可。第3實施形態之特徵是在上 -34- (30) (30)200416874 述第2實施形態中之外側上部電極3 6之突出部3 6 B周圍 設置密封材料1 〇4的構成。密封構件i 04是由表面被施予 防触銘處理之鋁板所構成,物理性且電性結合於處理容器 1 0之側壁。密封材料1 〇 4是從容器側壁幾乎水平地延伸 於外側上部電極3 6 B之下方,以非接觸或絕緣狀態覆蓋突 出部36B及環形遮蔽構件42之下面。外側上部電極36之 第2電極構件3 6B是被構成剖面L形,外圍部分延伸於 垂直下方而形成突出部。該突出部之突出量Η及內徑Φ 是可以利用與上述第2實施形態相同之數値條件而予以設 定。 密封構件1 0 4之機能是遮蔽或封印來自外側上部電極 36之突出部36Β之下面及環形遮蔽構件42之下面的高頻 放電,抑制該正下方的電漿生成。依此,可以更提高一次 性地將電漿封閉於晶圓正上方的效果。 第22圖Α(密封構件)及第22圖Β(無密封構件)是表 示依據密封構件1 〇 4的電漿密封效果之實驗資料。於不設 置密封構件1 04之時,則如第22圖B所示般,在半徑方 向中,電漿電子密度在晶圓邊緣位置(1 5 0 m m)之外側暫且 落下後再次上昇而形成山部。該是因外側上部電極3 6之 突出部36B之下面及環形遮蔽構件42之下面垂直向下釋 放高頻電力,即使在該正下方亦生成電漿,存在電子或離 子之故。如此一來’依據在比晶圓邊緣位置還要離開半徑 方向外側之空間內’存在相當量之電漿,僅該部分可使晶 圓正上方之電漿密度變低。 -35- (31) (31)200416874 對此,如該實施形態般,於設置密封構件之時,如第 2 2 A所示般,電子密度(電漿密度)是在晶圓邊緣位置 (1 5 0mm)之外側上,朝向半徑方向外側實質性地單調減少 ,相反的在晶圓正上方則是全體性地增大。該是’依據密 封構件104之存在,外側上部電極36之突出部36B之下 面及環形遮蔽構件42之下面成爲不是高頻之通路,因該 正下方之電漿生成大幅度減少之故。再者,越增大高頻電 源52之RF功率,依據密封構件104之電漿封閉效果或 電漿擴散防止效果也變大。 並且,以二次效果而言,依據如此之密封構件1 04在 晶圓邊緣位置之外側大幅地減弱電漿生成,則在該附近的 基或離子等之蝕刻種也減少。因此,可以有效果地防止不 寄望之聚合膜附著於容器內之各部(尤其,在密封構件 104附近)。 例如,以往Low-k膜(低介電率層間絕緣膜)之蝕刻加 工是於電漿蝕刻之後,在相同處理室內使用〇2氣體而執 行灰化(除去光阻膜),此時,在先前之電漿鈾刻中,容器 內以聚合物之形態所附著之反應種(例如,CF、F等)因電 漿中之活性氧原子被引誘,並將Low-k膜之通孔削成使彎 曲形狀,而侵入膜內致使發生改變k値等之損傷(Low-k 損傷)。但是,若依據該實施形態,因已密封構件1 04則 可有效果地抑制電漿蝕刻中之反應種不寄望之堆疊,故亦 可解決如上述般之Low-k損傷之問題。並且,密封構件 1 〇 4是可將任意之導電材或半導體(例如,矽)當作材質, -36- (32) (32)200416874 即使組合不同材質而構成亦可。 第21圖是表示在上部電極34(36、38)設置冷煤通路 1 0 6、1 0 8之構成。在冷煤通路1 0 6、1 0 8上各經由配管 1 1 0、1 1 2被循環供給藉由致冷裝置(無圖示)而被調溫之冷 卻媒體。在外側上部電極3 6是在第1電極構件3 6 A上設 置冷煤通路106。第2電極構件36B是依據提高熱電導之 塗敷層或薄板102而結合於第1電極構件36A,故可以有 效果地接受冷卻機構之冷卻。 並且,於使高頻電源52、90成爲關閉之期間,各電 極也被供給冷煤。以往在該種電漿處理裝置中,是使例如 氟系不活性化學液等之絕緣性冷煤。此時,冷煤流至冷煤 通路之時,依據因由摩擦而發生靜電,導致電極異常成爲 高電壓狀態,在高頻電源關閉中的維修等時,當手去觸碰 時有可能發生觸電之危險性。但是,在該實施形態之電漿 處理裝置中,通過低通濾波器92 (參照第8圖)內之電阻器 9 3,可使發生於內側上部電極3 8之靜電逃散至地面,可 以防止觸電之危險性。 (第4實施形態) 使用上述第3實施形態中之電漿蝕刻裝置(第8圖、 第21圖),並執行在矽氧化膜(Si〇2)上形成開口徑(φ ) 0 · 2 2 // m之孔的蝕刻實驗。於該實驗中,使對外側上部電 極36及內側上部電極38之RF電力之投入比率(Pi/Po)成 爲參數而評估蝕刻特性(尤其蝕刻速率)。其他之蝕刻條件 -37- (33) (33)200416874 則如下述,第2 3圖〜第2 5圖是表示實驗結果之資料。 晶圓口徑=3 0 0 m m 處理室內之壓力=20mTorr 溫度(上部電極/處理室側壁/下部電極)二2 0/6 0/60 °C 傳熱氣體(He氣體)供給壓力(中央部/邊緣部 )=2 0 / 3 5 T 〇 r r 上部及下部電極間距離=4 5 mm 外側上部電極之突出量(Η ) == 1 5 m m 製程氣體(C 5 F8/CH2F2/N 2/A r/02)* 10/20/110/560/10 seem 高頻電力(60MHz/2MHz) = 23 00/3 5 00W 蝕刻時間=1 2 0秒 如第23圖所示般,當使內側投入電力Pi之比率提升 至1 4 %、1 8 %、3 0 %之時,電子密度即是電漿密度在晶圓 中心部附近是與Pi之比率成比例地提高,另外在晶圓邊 緣部份則幾乎不改變。根據此,利用可變RF電力之投入 比率(Ρ,/Pe),則可以控制半徑方向中之電漿密度之空間分 布特性。 第2 4圖是表示在半徑方向之各位置上測定以與基密 度有比例關係之反應生成物或反應種所形成之聚合膜之堆 疊速度的結果。該實驗是用以觀看於改變RF電力之投入 比率(P,/PG)時,基密度所受到之影響。並且,使聚合膜堆 疊之樣品基板則使用裸矽晶圓。自第24圖之實驗資料可 確認出即使改變RF電力之投入比率(Ρ,/Pq),對聚合膜之 -38- (34) (34)200416874 堆疊速度即是基密度之空間分布特性之影響是非常少。 第25圖是表示在上述Si02中,於晶圓上之半徑方向 之各位置上所測定出之蝕刻深度。如第2 5圖所示般’當 將內側電力p »之比率提升至1 4 %、1 8 %、3 0 %之時,蝕刻 深度在晶圓中心部附近是與內側投入電力Pi之比率成比 例地提高’另外在晶圓邊緣部份則幾乎不改變。即是,表 示與電子密度(第24圖)相同之傾向。 如此一來,從第23圖〜第25圖可確認出下述。即是 ,改變對外側上部電極3 6及內側上部電極3 8之RF電力 的投入比率(Pi/P〇),實質上對基密度之空間分布特性不會 造成影響,即是自基密度之空間分布控制獨立,可以控制 半徑方向之電漿密度之空間分布。因此,依據改變RF電 力之投入比率(Pi/Ρο),可以改善蝕刻深度即是蝕刻速率之 均勻性。並且,即使使用上述第1或第2實施形態中之電 漿蝕刻裝置(第1圖、第8圖、第]8圖),亦可以取得與 上述相同之實驗結果。 (第5實施形態) 使用上述第3實施形態之電漿蝕刻裝置(第8圖、第 21圖),以CF系之處理氣體執行蝕刻矽氧化膜(si〇2)的模 擬。於該模擬中,使藉由中心簇射頭(6 2、5 6 a)所噴射的 處理氣體之流量Fc和周邊簇射頭(64、5 6a)所噴射之處理 氣體之流量fe的比率(fc/fe)成爲參數,評估各基或各反 應生成物之分布。該模擬是當作在晶圓表面不引起反應, -39- (35) (35)200416874 也不發生反應生成物或反應種之吸著,假設在覆蓋Si 02 膜上引起下述之反應者。
2CF2 + Si02— SiF4 + 2CO 其他之主要蝕刻條件則如下述般,第26圖〜第30圖 是表示針對各基或各反應生成物的模擬結果。第3 1圖是 表示依據自主蝕刻氣體(C4F8)之分子階段性解離而所生成 之基的種類和發生率(括弧內%數字)。 晶圓口徑=2 0 0 m m 處理室內之壓力=50mTorr 溫度(上部電極/處理室側壁/下部電極)=20/60/60t: 傳熱氣體(He氣體)供給壓力(中央部/邊緣部 ) = 10/35Torr 上部及下部電極間距離=3 0mm 外側上部電極之突出量(Η)二1 5mm 製程氣體(C4F8/N2/Ar)4 5/120/1000sccm 高頻電力(60MHz/2MHz)与】200/ 1700W 如第26圖所示般,屬於主要反應種之CF2之密度分 布特性,是極大地左右中心/周邊氣體流量比率(FC/FE)。 即是’越提高中心氣體流量F c之比率,晶圓中心部附近 之CF2密度則越提高,另外,晶圓邊緣部附近之CF2密度 幾乎無變化。如第28圖所示般,表示著CO基之密度分 布對於中心/周邊氣體流量比率(FC/FE)也是相同之變化。 -40 - (36) (36)200416874 原本如第2 7圖所示般,Ar基之密度分布特性對中心/周 邊氣體流量比率(Fc/Fe)幾乎無變化。 當針對反應生成物觀看時,則如第2 9圖及第3 0圖所 示般,SiF4密度及CO密度中之任一者是被中心/周邊氣體 流量比率(Fc/FE)極大左右。更詳細而言’即是越下降中心 氣體流量Fc之比率,晶圓中心部附近之SiF4、CO之密 度則越高,另外,在晶圓邊緣部附近則幾乎無改變。即使 使中心氣體流量Fc和周邊氣體流量F E成爲相同’晶圓中 心部附近亦比晶圓邊緣部附近高。如此,在中心部側容易 積存反應生成物,是因爲以來自上方之新鮮氣體流將反應 生成物項側方推壓的作用也比周邊部弱之故。 當在晶圓上反應生成物不均勻分布之時,不僅影響各 位置之處理氣體供給率或化學反應之均勻性’蝕刻形狀或 選擇性等也直接受到影響。該實施形態是如第2 9圖及第 3 0圖所示般,以將中心氣體流量Fc設定成比周邊氣體流 量FE多(圖示之例中爲Fc/FE=70/30附近),可以使反應 生成物之空間密度分布均勻化。並且,即使使用上述第1 或第2實施形態之電漿蝕刻裝置(第1圖、第8圖、第1 8 圖),亦可取得與上述相同之模擬結果。 (第6實施形態) 使用上述第3實施形態之電漿蝕刻裝置(第8圖、第 2 1圖),執行鈾刻BARC(反射防止膜)之實驗。於該實驗 中,將中心/周邊氣體流量比率(FC/FE)成爲參數而評估蝕 -41 - (37) (37)200416874 刻形狀和選擇性。第3 2圖A是表示評估樣品。將掩模開 口徑(Φ )設爲〇 . 1 2 // m,將光阻之膜厚設爲3 5 〇 n nl,將 BARC之膜厚設爲80nm,將Si02之膜厚設爲700nm。選 擇性之g平估項目是測定「氧化膜損耗」和「光阻殘量」, 作爲測定蝕刻形狀或尺寸精度之評估項目,是測定「底部 CD」。第32圖B是表示設定fc/Fe=50/50時之各評估項 目之測定値,第32圖C是表示設定Fc/Fe= 70/3 0時之各 評估項目之測定値。測定點之「中央」爲晶圓之中心點位 置,「邊緣」則是從晶圓之缺口端朝向中心點5 n m之位 置。主要條件則如下述般。 晶圓口徑=3 0 0 ni m 處理室內之壓力=150 m To rr 傳熱氣體(He氣體)供給壓力(中央部/邊緣部 )=1 0/25Torr 上部及下部電極間距離=3 0mm 外側上部電極之突出量(Η) = 1 5mm 製程氣體(CF4)4 200sccm 高頻電力(60MHz/2MHz) 4 500/600W 蝕刻時間=3 0秒 於該BARC蝕刻之評估項目中,「氧化膜損耗」是當 作BARC蝕刻之延長而削去基底膜之Si02的深度。該値 雖然是越小越佳,但是該以上則以在晶圓上之偏差(尤其 中央和邊緣間之偏差)越小越佳。「光阻殘量」爲蝕刻完 成後所殘留之光阻厚度。該値是越大越佳,也是偏差爲越 - 42- (38) (38)200416874 小越佳。「底部CD」是形成於BARC之孔之底部的直徑 。該値則以越靠近掩模徑φ越佳,但依然偏差越小越佳。 如第3 2圖B所示般,將中心氣體流量Fc和周邊氣體 流量F E設定成同量(5 : 5 )之時,在所有之評估項目中,中 央和邊緣間之偏差爲大,尤其「光阻殘量」之偏差大。對 此’將中心氣體流量FC設定成比周邊氣體流量FE多 (7:3)之時,如第32C所示般,所有之評估項目爲良好之 値均勻安定,顯著改善選擇性及蝕刻形狀。 如此,若依據該實施形態,在處理容器1 〇內,尤其 被设疋在上部電極3 4和下部電極1 6之間的電紫生成空間 中,調整藉由被設置在上部電極3 4之內側上部電極3 8之 中心簇射頭(62、5 6a)所噴射之處理氣體之流量Fc,和藉 由周邊簇射頭(64、5 6a)所噴射之處理氣體之流量FE的比 率(FC/FE)。依此,可以控制基密度之空間分布,達成基底 座之蝕刻特性(選擇性、蝕刻形狀等)之均勻化。並且,即 使使用上述第1或第2實施形態之電漿蝕刻裝置(第I圖 、第8圖、第1 8 ),亦可取得與上述相同之測定結果。 (第7實施形態) 使用第3實施形態之電漿蝕刻裝置(第8圖、第2 1圖 ),執行蝕刻S i 02膜之實驗。於該實驗中,將中心/周邊氣 體流量比率(F c / F e )成爲參數而評估蝕刻形狀。第3 3圖A 是表示評估樣品。將掩模開口徑(Φ )設爲0.2 2 // m ’將 光阻之膜厚設爲5 0 0 n m,將B A R C之膜厚設爲1 〇 〇 n m ’將 -43- (39) (39)200416874
Si02之膜厚設爲1 μ m 。作爲蝕刻之評估項目,是測定 「蝕刻深度」、「頂部CD」、「底部CD」。第33圖B 是表示設定FC/FE = 5 0/5 0時之各評估項目之測定値,第 32圖C是表示設定FC/FE=〗0/90時之各評估項目之測定 値。主要條件則如下述般。 晶圓口徑=3 00mm 處理室內之壓力=2 0mTorr 溫度(上部電極/處理室側壁/下部電極)二2 0/6 0/6 (TC 傳熱氣體(He氣體)供給壓力(中央部/邊緣部 ) = 20/35Torr 上部及下部電極間距離=4 0mm 外側上部電極之突出量(H) =] 5mm 製程氣體(C5F8/CH2F2/N2/Ar/02)与 1 0/20/ 1 1 0/5 60/ 1 0 seem
高頻電力(6〇MHz/2MHz) 4 2300/3500W RF功率比(內側投入電力Pi/外側投入電力P0) = 30 : 70 倉虫刻時間二1 2 0秒 該 Si〇2蝕刻之評估項目中,「蝕刻深度」是在蝕刻 時間(120秒)之間形成在Si02之孔的上端及下端(底)之直 徑,兩者之値越接近垂直形狀性(異方向)則越佳。當然, 任一者評估項目也是在「中央」和「邊緣」之間偏差越小 越佳。 如第3 3圖B所示般,當將中心氣體流量Fc和周邊氣 -44- (40) (40)200416874 體流量FE設定成同量(5 :5)之時’不僅有「蝕刻深度」之 偏差,在各位置上底部c D /頂部C D比爲小,錐形化之傾 向爲大。對此,將中心氣體流量F c設定成比周邊氣體流 量F e少(1 : 9 )之時,則如第3 3 C所示般,「蝕刻深度」即 是蝕刻速度均勻化,同時也達成提升垂直形狀化和均勻化 〇 如此,即使於該實施形態中,以調整內側氣體流量 Fc和外側氣體流量FE之比率(FC/FE),則可以控制基密度 之空間分布,並達成基底座之蝕刻特性(尤其蝕刻形狀)之 均勻化。並且,即使使用上述第1或第2實施形態中之電 漿蝕刻裝置(第1圖、第8圖、第1 8圖),亦可取得與上 述相同之測定結果。 若依據以上所述之實施形態,在被設定於處理容器 1 0內之電漿生成空間中,可獨立執行密度分布之控制和 基密度分布之控制,依此,例如第3 4圖之圖表所示可以 以2系統之獨立控制合適地對應於多種多用之電漿處理之 應用。 以上所述之實施形態是可根據本發明之技術思想做各 種變形。例如,亦可爲經由整合器44或供電筒5 〇等將來 自桌1闻頻電源5 2之局頻僅供給至外側上部電極3 6,不 供給至內側上部電極3 8之構成。此時,內側上部電極3 8 也當作簇射頭而發揮機能,可當作用以使來自第2高頻電 源90之高頻流至第面之電極而發揮機能。或是,亦可將 內側上部電極3 8置換成不具有電極機能之專用簇射頭。 -45- (41) (41)200416874 再者,於上述實施形態中,雖然以1個或單體之環狀電極 構成外側上部電極3 6,但是全體亦可以配置成環狀之多 數電極而予以構成。再者,亦可採用外側上部電極3 6之 內徑爲非常小之構成,外側上部電極3 6形成爲圓盤形狀 之構成。再者,依據應用,可以省下第2高頻電源9 0。 本發明是可適用於濺射等之各種電漿處理。再者,本發明 中之被處理基板並不限於半導體晶圓,即使爲平板面板顯 不器用之各種基板、光掩模、C D基板、印刷基板等亦可 【圖式簡單說明】 第1圖是表示本發明之第1實施形態所涉及之電漿蝕 刻裝置之縱剖面圖。 第2圖是表示第1圖所示之電漿蝕刻裝置之重要部位 的擴大剖面圖。 第3圖是表示第1實施形態中之電漿生成手段之重要 部位之等效電路之電路圖。 第4圖是表示第1實施形態中之電場強度均衡調整機 能之電場強度(相對値)分佈特性的圖式。 第5圖是表示第1實施形態中之電場強度均衡調整機 能之電場強度比率特性之圖式。 第6圖A、B是表示第1實施形態中之電子密度之空 間分布特性圖。 第7圖A、B是表示第]實施形態中之蝕刻速率之空 -46- (42) (42)200416874 間分布特性圖。 第8圖是表示本發明之第2實施形態所涉及之電漿蝕 刻裝置之剖面圖。 第9圖A、B圖是表示第2實施形態中之蝕刻速率之 空間分布特性圖。 第1 0圖A、B是表示第2實施形態中之蝕刻速率之 空間分布特性圖。 第1 1圖是表示第2實施形態中之可變電容器內側投 入電力特性圖。 第1 2圖是表示第2實施形態中之電漿生成用之高頻 供電電路之等效電路的電路圖。 第1 3圖是表示在第2實施形態中,被設置在上部供 電棒周圍之導體構件之作用的圖式。 第1 4圖是表示在第2實施形態中所取得之可變電容-下部自己偏壓電壓特性圖。 第1 5 A、B是表示第2實施形態中之低通濾波器之電 路構成圖。 第1 6圖是表示第2實施形態中之低通濾波器內之電 阻作用圖。 第I 7圖是表示第2實施形態中之低通]濾波器內之電 阻値之最適合範圍的圖式。 第1 8圖是表示第2實施形態所涉及之電漿蝕刻裝置 之重要部位之縱剖面圖。 第]9圖A〜E是表不將第2實施形態中之上部電極 -47- (43) (43)200416874 突出部之內徑及突出量當作參數之電子密度空間分布特性 圖。 第2 0圖A及第2 0圖B是表示將第2實施形態中之 上部電極突出部之內徑及突出量當作二次元參數之電子密 度均勻性之特性區線圖。 第2 1圖是表示本發明之第3實施形態所涉及之電漿 蝕刻裝置之重要部位的縱剖面圖。 第22圖A及第22圖B是表示用以證實第3實施形 態中之密封構件之作用的電子密度之空間分布特性圖。 第2 3圖是表示將本發明之第4實施形態中之內側/外 側投入功率比當作參數的電子密度之空間分布特性的圖式 〇 第24圖是表示將第4實施形態中之內側/外側投入功 率比當作參數之聚合膜堆疊速度之空間分布特性的圖式。 第2 5圖是表示將第4實施形態中之內側/外側投入功 率比當作參數之蝕刻深度之空間分布特性的圖式。 第2 6圖是表示將本發明之第5實施形態中之中心/周 邊氣體流量比當作參數之CF2基密度之空間分布特性的圖 式。 第27圖是表示將第5實施形態中之中心/周邊氣體流 量比當作參數之Ar基密度之空間分布特性的圖式。 第2 8圖是表示將第5實施形態中之中心/周邊氣體流 量比當作參數之N2基密度之空間分布特性的圖式。 第2 9圖是表示將本發明之第5實施形態中之中心/周 -48 ~ (44) (44)200416874 邊氣體流量比當作參數之SiF4反應生成物之空間分布特 性的圖式。 第3 0圖是表示將第5實施形態中之中心/周邊氣體流 量比當作參數之C 0反應生成物之空間分布特性的圖式。 第3 1圖是表示第5實施形態之模擬中的基生成(解離 )之結構圖。 第 3 2圖 A〜C是表示本發明之第 6實施形態中之 B ARC蝕刻之評估模型及測定資料的圖式。 第 3 3圖 A〜C是表示本發明之第7實施形態中之 Si 02鈾刻之評估模型及測定資料之圖式。 第 34圖是以地圖形式表示電漿密度分布及基密度分 布之2系統獨控制之適用例圖。 元件符號對照表 10 處理室 l〇a接地導體 1 2 絕緣板 14 感應器支撐台 16 感應器 1 8 靜電夾 2 0 電極 22 直流電源 2 4 聚焦環 2 6 內壁構件 -49- (45)200416874 28 冷 煤 室 3 0a 配 管 30b 配 管 3 2 氣 體 供 給 線 34 上 部 電 極 3 6 外 側 上 部 電 極 3 8 內 側 上 部 電 極 40 介 電 體 42 絕 緣 性 遮 蔽 構 件 44 整 合 器 46 上 部 供 電 棒 48 連 接 器 5 0 供 電 筒 52 第 1 局 頻 電 源 54 絕 緣 構 件 5 6 電 極 板 5 6a 氣 體 通 氣 孔 5 8 電 極 支 撐 體 60 環 狀 隔 板 構 件 62 中 心 氣 體 導 入 室 64 周 邊 氣 體 導 入 室 66 處 理 氣 體 供 給 源 68 氣 體 供 給 管 68a 分 歧 管 -50 (46) 分歧管 流量控制閥 流量控制閥 質量流控制器(MFC) 開關閥 下部供電筒 可變電容器
排氣口 排氣管 排氣裝置 聞閥 整合器 第2局頻電源 低通濾波器(LPF) 電阻器
高通濾波器(HPF) 線圈 可變直流電源 導體構件 密封構件 冷煤通路 冷煤通路 配管 配管 -51 -

Claims (1)

  1. (1) (1)200416874 拾、申請專利範圍 1 · 一種電漿處理裝置,其特徵爲:具備有 可設定成具有真空環境的處理容器; 被配置成可與被配置在上述處理容器內規定位置上之 被處理基板相向的上部電極; 供給處理氣體至上述處理容器內的處理氣體供給部; 輸出第1高頻的第1高頻電源;和 實質上被連續性連接於上述上部電極周圍方向的第1 筒狀導電構件, 並具有將來自上述第1高頻電源之上述第!高頻供給 至上述上部電極的供電部。 2.如專利申請範圍第1項所記載之電漿處理裝置,其 中,又具備有被配置在上述第1筒狀導電構件之半徑方向 ,且被連接於接地電位的第2筒狀導電構件。 3 .如專利申請範圍第2項所記載之電漿處理裝置,其 中,其中,對於上述第1筒狀導電構件的上述第2筒狀導 電構件之半徑比率的徑比,是被設定在].2〜2.0之範圍 內。 4 .如專利申請範圍第3項所記載之電漿處理裝置,其 中,上述徑比是被設定在1 . 5〜1 . 7之範圍內。 5 .如專利申請範圍第1項所記載之電發處理裝置,其 中,上述上部電極是具備被配置成環狀的電極° 6 .如專利申請範圍第5項所記載之電發處理裝置,其 中,上述上部電極是以1個環形電極所構成° -52- (2)200416874 7 .如專利申請範圍第 中,上述上部電極是由低 8 .如專利申請範圍第 中,上述上部電極是具備 構件,和使可和上述基板 1電極構件之下面的第2' 9 .如專利申請範圍第1 中,上述第1電極構件是 1 0 .如專利申請範圍第 其中,又具備有被配置在 極構件之間,用以降低熱 1 1 .如專利申請範圍第 其中,爲了使上述第1高 以整合,又具備有被連接 和上述供電部之間的整合 1 2 .如專利申請範圍第 其中,上述上部電極是包 並且具備比上述簇射頭之_ 1 3 .如專利申請範圍第 其中,對於上述簇射頭之 量是被設定成2 5 m m以下 1 4 .如專利申請範圍第 其中,上述突出部之內徑 半徑方向外側離開2 4 m m - 1項所記載之電漿處理裝置,其 電阻之導電體或半導體所構成。 1項所記載之電漿處理裝置,其 有連接於上述供電部之第1電極 相向而以可拆裝地安裝在上述第 i極構件。 ,〇項所記載之電漿處理裝置,其 具有用以流通冷煤的冷煤通路。 ί 9項所記載之電漿處理裝置, 上述第1電極構件和上述第2電 電阻的膜或薄板。 ;1項所記載之電漿處理裝置, 頻電源之輸出阻抗與負荷阻抗予 於上述第1高頻電源之輸出端子 器。 ;1項所記載之電漿處理裝置, 圍供給上述處理氣體之簇射頭, 下面突出於下方的突出部。 1 2項所記載之電漿處理裝置, 下面,上述突出部之下方的突出 〇 1 2項所記載之電漿處理裝置, 部分是被配置在比上述基板還向 “30 m m的位置上。 -53 - (3) (3)200416874 1 5 .如專利申請範圍第1項所記載之電漿處理裝置, 其中,又具備有被配置在上述第1上部電極之下方的密封 構件。 1 6 .如專利申請範圍第1 2項所記載之電漿處理裝置, 其中,又具備有覆蓋上述突出部之下面的密封構件。 1 7 .如專利申請範圍第1 5項所記載之電漿處理裝置, 其中,上述密封構件是由導體或半導體所構成。 1 8 .如專利申請範圍第1 5項所記載之電漿處理裝置, 其中,上述密封構件是從上述上部電極之下方延伸至上述 處理容器之內壁。 1 9 .如專利申請範圍第1 5項所記載之電漿處理裝置, 其中,上述密封構件是從上述第1上部電極被電性分離, 並且電性連接於被接地的上述處理容器。 2 0 .如專利申請範圍第1項所記載之電漿處理裝置, 其中,爲了在上述處理容器內載置上述基板,又具備有與 上述上部電極相向而被配置的下部電極。 2 1 .如專利申請範圍第2 0項所記載之電漿處理裝置, 其中,上述下部電極是經過流通上述第1高頻的高通濾波 器而被電性連接於接地電位。 22.如專利申請範圍第20項所記載之電漿處理裝置, 其中,又具備有將比上述第1高頻頻率低的第2高頻供給 至上述下部電極的第2高頻電源。 2 3 . —種電漿處理方法,其特徵爲:具備有 在可設定成具有真空環境的處理容器內配置基板於規 -54- (4) (4)200416874 定位置之工程; 將來自高頻電源之高頻,經由實質上被連續性連接於 上述上部電極周圍方向的第1筒狀導電構件,而供給至在 上述處理容器內被配置成可與上述基板相向的上部電極之 工程; 將上述局頻供給至上述上部電極,同時供給規定處理 氣體至上述處理容器內,並在上述上部電極之正下面附近 ,將上述處理氣體予以電漿化之工程;和 一面使上述電獎予以擴散,一面依據上述電獎對是上 述基板施予規定之電漿處理的工程。 24.如專利申請範圍第23項所記載之電漿處理方法, 其中,以上述第1筒狀導電構件和被設置在第1筒狀導電 構件之半徑方向外側的接地電位之第2筒狀導電構件,構 成將上述第1筒狀導電構件當作波導路的同軸線路。 25 .如專利申請範圍第24項所記載之電漿處理方法, 其中,相對於上述第〗筒狀導電構件之半徑的上述第2筒 狀導電構件之半徑之比的徑比,是被設定在〗· 2〜2 . 〇之 範圍內。 2 6 ·如專利申請範圍第2 5項所記載之電漿處理方法, 其中,上述徑比是被設定在】.5〜1 . 7之範圍內。 27.如專利申請範圍第23項所記載之電漿處理方法, 其中,上述上部電極是具備被配置成環狀之電極。 -55-
TW092133237A 2002-11-26 2003-11-26 Plasma processing apparatus and method TW200416874A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002341949 2002-11-26
JP2003358425A JP4584565B2 (ja) 2002-11-26 2003-10-17 プラズマ処理装置及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
TW200416874A true TW200416874A (en) 2004-09-01
TWI321814B TWI321814B (zh) 2010-03-11

Family

ID=32396265

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092133237A TW200416874A (en) 2002-11-26 2003-11-26 Plasma processing apparatus and method

Country Status (7)

Country Link
US (1) US7506610B2 (zh)
JP (1) JP4584565B2 (zh)
KR (1) KR100652983B1 (zh)
CN (1) CN100459059C (zh)
AU (1) AU2003284684A1 (zh)
TW (1) TW200416874A (zh)
WO (1) WO2004049420A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI595528B (zh) * 2012-11-05 2017-08-11 東京威力科創股份有限公司 電漿處理方法
TWI658488B (zh) * 2016-12-29 2019-05-01 大陸商中微半導體設備(上海)股份有限公司 Plasma processing device

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102263026B (zh) * 2004-06-21 2016-01-20 东京毅力科创株式会社 等离子体处理装置和方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7993489B2 (en) 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US8157953B2 (en) 2006-03-29 2012-04-17 Tokyo Electron Limited Plasma processing apparatus
US7829463B2 (en) 2006-03-30 2010-11-09 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US8034213B2 (en) 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5116983B2 (ja) * 2006-03-30 2013-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5057768B2 (ja) * 2006-12-19 2012-10-24 株式会社ライフ技術研究所 直流プラズマ成膜装置
JP5474291B2 (ja) * 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
US8367965B2 (en) * 2008-08-28 2013-02-05 Hermes-Epitek Corp. Electrode design for plasma processing chamber
CN102365906B (zh) * 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
CN102482775A (zh) * 2009-09-25 2012-05-30 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
JP5312369B2 (ja) * 2010-02-22 2013-10-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
TWI474365B (zh) * 2010-08-25 2015-02-21 Canon Anelva Corp And a method of manufacturing the plasma processing apparatus and apparatus
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
KR101171988B1 (ko) 2011-03-30 2012-08-07 엘아이지에이디피 주식회사 플라즈마 처리장치
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9928987B2 (en) * 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9449794B2 (en) * 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US10249470B2 (en) * 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
JP6249659B2 (ja) 2013-07-25 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置
JP2017157778A (ja) 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
KR101938306B1 (ko) * 2016-04-18 2019-01-14 최상준 건식 에칭장치의 제어방법
KR101909479B1 (ko) 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
KR102159894B1 (ko) * 2016-11-30 2020-09-24 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치
JP6836976B2 (ja) * 2017-09-26 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
CN113936985A (zh) * 2020-07-14 2022-01-14 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637051A (ja) * 1992-07-15 1994-02-10 Tokyo Electron Ltd プラズマ装置
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH10134995A (ja) * 1996-10-28 1998-05-22 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US20010037770A1 (en) * 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
JP3704023B2 (ja) * 1999-04-28 2005-10-05 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP4322350B2 (ja) * 1999-05-06 2009-08-26 東京エレクトロン株式会社 プラズマ処理装置
JP4454718B2 (ja) 1999-05-07 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置およびそれに用いられる電極
JP2001313286A (ja) * 2000-02-24 2001-11-09 Tokyo Electron Ltd 平行平板型ドライエッチング装置
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI595528B (zh) * 2012-11-05 2017-08-11 東京威力科創股份有限公司 電漿處理方法
TWI658488B (zh) * 2016-12-29 2019-05-01 大陸商中微半導體設備(上海)股份有限公司 Plasma processing device

Also Published As

Publication number Publication date
KR20050086834A (ko) 2005-08-30
JP2004193566A (ja) 2004-07-08
TWI321814B (zh) 2010-03-11
US20050257743A1 (en) 2005-11-24
US7506610B2 (en) 2009-03-24
CN100459059C (zh) 2009-02-04
KR100652983B1 (ko) 2006-12-01
WO2004049420A1 (ja) 2004-06-10
CN1717788A (zh) 2006-01-04
AU2003284684A1 (en) 2004-06-18
JP4584565B2 (ja) 2010-11-24

Similar Documents

Publication Publication Date Title
TW200416874A (en) Plasma processing apparatus and method
TWI333229B (zh)
TWI344178B (zh)
JP4230029B2 (ja) プラズマ処理装置およびエッチング方法
KR100748798B1 (ko) 플라즈마 에칭 장치
TW523828B (en) Plasma processing apparatus
EP1708241B1 (en) Capacitively coupled plasma processing apparatus and method
TWI829630B (zh) 成膜方法及電漿處理裝置
US7692916B2 (en) Capacitive coupling plasma processing apparatus and method
KR100894345B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
JP4467667B2 (ja) プラズマ処理装置
US7943523B2 (en) Plasma etching method and computer readable storage medium
JP4322350B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees