SG11201506506PA - Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor - Google Patents

Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Info

Publication number
SG11201506506PA
SG11201506506PA SG11201506506PA SG11201506506PA SG11201506506PA SG 11201506506P A SG11201506506P A SG 11201506506PA SG 11201506506P A SG11201506506P A SG 11201506506PA SG 11201506506P A SG11201506506P A SG 11201506506PA SG 11201506506P A SG11201506506P A SG 11201506506PA
Authority
SG
Singapore
Prior art keywords
manufacturing
vapor deposition
lithography systems
systems therefor
deposited photoresist
Prior art date
Application number
SG11201506506PA
Inventor
Timothy Michaelson
Timothy W Weidman
Barry Lee Chin
Majeed A Foad
Paul Deaton
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG11201506506PA publication Critical patent/SG11201506506PA/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
SG11201506506PA 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor SG11201506506PA (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361786042P 2013-03-14 2013-03-14
US14/139,457 US9632411B2 (en) 2013-03-14 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
PCT/US2014/026826 WO2014152023A1 (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Publications (1)

Publication Number Publication Date
SG11201506506PA true SG11201506506PA (en) 2015-09-29

Family

ID=51525876

Family Applications (2)

Application Number Title Priority Date Filing Date
SG10201707388RA SG10201707388RA (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
SG11201506506PA SG11201506506PA (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG10201707388RA SG10201707388RA (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Country Status (7)

Country Link
US (2) US9632411B2 (en)
JP (1) JP6964979B2 (en)
KR (1) KR102207228B1 (en)
CN (1) CN105074572B (en)
SG (2) SG10201707388RA (en)
TW (1) TWI614364B (en)
WO (1) WO2014152023A1 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102374206B1 (en) 2017-12-05 2022-03-14 삼성전자주식회사 Method of fabricating semiconductor device
US20210079519A1 (en) * 2018-02-03 2021-03-18 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
JP2021523403A (en) * 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Methods for forming EUV patternable hardmasks
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20240027916A1 (en) * 2022-07-21 2024-01-25 Applied Materials, Inc. Fingerprinting and process control of photosensitive film deposition chamber

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57143826A (en) * 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS57157522A (en) * 1981-03-23 1982-09-29 Nec Corp Depositing method for resist film for photo-etching technique
JPS60109227A (en) * 1983-11-18 1985-06-14 Hitachi Ltd Fabrication of thin film pattern
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH07254556A (en) * 1993-09-03 1995-10-03 Hitachi Ltd Pattern forming method and equipment therefor
JPH07106224A (en) * 1993-10-01 1995-04-21 Hitachi Ltd Pattern forming method
JPH07106234A (en) * 1993-10-07 1995-04-21 Mitsubishi Electric Corp Formation of resist pattern
JPH07235481A (en) * 1994-02-25 1995-09-05 Toray Ind Inc Deposition of thin film
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5792592A (en) * 1996-05-24 1998-08-11 Symetrix Corporation Photosensitive liquid precursor solutions and use thereof in making thin films
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (en) * 1999-05-17 2000-11-30 Applied Materials Inc Film-forming device
TWI267704B (en) 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (en) 2000-09-12 2002-03-27 Hoya Corp Method of manufacturing phase shift mask blank and apparatus for manufacturing phase shift mask blank
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (en) 2000-11-22 2007-07-04 Hoya株式会社 SUBSTRATE WITH MULTILAYER FILM, REFLECTIVE MASK BLANK FOR EXPOSURE, REFLECTIVE MASK FOR EXPOSURE AND ITS MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
CN1501442A (en) * 2002-11-12 2004-06-02 阿泰技术有限公社 Photoresist deposition apparatus and method for forming photoresist film with the same
JP2004172272A (en) * 2002-11-19 2004-06-17 Nikon Corp Apparatus and method for euv exposure
DE10302342A1 (en) 2003-01-17 2004-08-05 Schott Glas Production of substrate used in the production of mask or optical component comprises preparing a base layer, applying a first covering layer on the base layer, and post-treating the covering layer
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (en) 2003-04-16 2004-11-11 Schott Glas Blank mask for use in EUV lithography and process for its manufacture
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (en) 2003-06-23 2005-10-11 삼성전자주식회사 Dual gate oxide structure for use in semiconductor device and method therefore
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (en) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Photoresist Composition for EUV and Method for forming Photoresist Pattern using the same
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
WO2005087697A1 (en) * 2004-03-15 2005-09-22 Ube Industries, Ltd. METAL COMPLEX COMPRISING β-DIKETONATO AS LIGAND
JP4542807B2 (en) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 Film forming method and apparatus, and gate insulating film forming method
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JPWO2006030627A1 (en) 2004-09-17 2008-05-08 旭硝子株式会社 Reflective mask blanks for EUV lithography and manufacturing method thereof
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US20060274474A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Substrate Holder
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (en) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a contact structure based on copper and tungsten
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (en) * 2006-09-26 2011-10-26 富士通株式会社 Resist composition, method for forming resist pattern, and method for manufacturing semiconductor device
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp Optical element, exposure unit utilizing the same and process for device production
JP2008135090A (en) * 2006-11-27 2008-06-12 Canon Inc Resist, manufacturing method of stamper for optical disk using the same and stamper for optical disk
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (en) 2007-09-07 2009-03-12 Canon Anelva Corporation Sputtering method and system
JP5039495B2 (en) * 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 Mask blank inspection method, reflective exposure mask manufacturing method, reflective exposure method, and semiconductor integrated circuit manufacturing method
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
KR20090103847A (en) * 2008-03-28 2009-10-01 캐논 가부시끼가이샤 Exposure apparatus and device manufacturing method
JP2009245505A (en) * 2008-03-31 2009-10-22 Pioneer Electronic Corp Master disk for manufacturing optical information recording medium
KR101696487B1 (en) 2008-03-31 2017-01-13 호야 가부시키가이샤 Photomask blank, photomask, and method of manufacturing photomask blank
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (en) * 2008-11-17 2010-06-03 Sony Corp Exposure apparatus, exposure method, micromachining device, and micromachining method
JP2010170011A (en) 2009-01-26 2010-08-05 Hoya Corp Method of correcting photomask
JP2011053566A (en) * 2009-09-03 2011-03-17 Sony Corp Developer, etching solution, and method of manufacturing microfabricated body
CN102019266A (en) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 Coating method for coating material
WO2011073157A1 (en) 2009-12-15 2011-06-23 Carl Zeiss Smt Gmbh Reflective optical element for euv lithography
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US9703204B2 (en) * 2010-04-02 2017-07-11 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
US20120009765A1 (en) 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
EP2600388B1 (en) 2010-07-27 2014-10-08 Asahi Glass Company, Limited Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (en) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 Liquid crystal display and manufacturing method of the same
JP6013720B2 (en) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR20130139856A (en) 2011-03-14 2013-12-23 후지 덴키 가부시키가이샤 Oxide substrate, and manufacturing method for same
JP2012248664A (en) * 2011-05-27 2012-12-13 Hitachi Cable Ltd Device and method for vapor phase growth, and epitaxial wafer
JP6236000B2 (en) * 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic patterning process and resist used in the process
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (en) 2012-02-15 2018-03-21 삼성전자주식회사 Semicoductor devices having through vias and methods for fabricating the same
US9051649B2 (en) * 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Also Published As

Publication number Publication date
KR102207228B1 (en) 2021-01-25
KR20150129781A (en) 2015-11-20
CN105074572B (en) 2019-11-26
WO2014152023A1 (en) 2014-09-25
US20170068174A1 (en) 2017-03-09
JP2016517633A (en) 2016-06-16
TWI614364B (en) 2018-02-11
CN105074572A (en) 2015-11-18
SG10201707388RA (en) 2017-10-30
US9829805B2 (en) 2017-11-28
JP6964979B2 (en) 2021-11-10
US9632411B2 (en) 2017-04-25
US20140268082A1 (en) 2014-09-18
TW201439361A (en) 2014-10-16

Similar Documents

Publication Publication Date Title
SG10201707388RA (en) Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
SG11201506465QA (en) Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
KR102205800B9 (en) Metal sheet method for manufacturing metal sheet and method for manufacturing vapor deposition mask using metal sheet
SG10201408801QA (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
PL3312843T3 (en) Systems for forming and maintaining a high performance frc
GB2503074B (en) Atomic layer deposition
EP3035813A4 (en) Vaporizer
EP2979297A4 (en) Statistical model-based metrology
EP3063658A4 (en) Realized topology system management database
SG11201506468PA (en) Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
GB201313894D0 (en) Lock Systems
SG11201506511PA (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
EP2964275A4 (en) Vaporizer with secondary flow path
EP2950145A4 (en) Illumination system for lithography
EP2974025A4 (en) Network architectures for boundary-less hierarchical interconnects
EP2948085A4 (en) Purge phase for cryoablation systems
SG10201608005WA (en) Dynamic precursor dosing for atomic layer deposition
SG10201400311PA (en) A chemical vapour deposition injector
GB201300695D0 (en) Graphene deposition enquiry
EP3705600A4 (en) Vapor deposition mask device
EP2960717A4 (en) Mask plate
EP3023149A4 (en) Heterogeneous catalyst and catalyst system for manufacturing 1,2-dichloroethane
HK1246405B (en) Cylindrical mask
EP3060961A4 (en) Maskless lithography for web based processing
EP2971689A4 (en) Multiple coating configuration