KR960032633A - 플라즈마 에칭방법 - Google Patents

플라즈마 에칭방법 Download PDF

Info

Publication number
KR960032633A
KR960032633A KR1019960003122A KR19960003122A KR960032633A KR 960032633 A KR960032633 A KR 960032633A KR 1019960003122 A KR1019960003122 A KR 1019960003122A KR 19960003122 A KR19960003122 A KR 19960003122A KR 960032633 A KR960032633 A KR 960032633A
Authority
KR
South Korea
Prior art keywords
layer
discharge time
process gas
voltage
substrate
Prior art date
Application number
KR1019960003122A
Other languages
English (en)
Other versions
KR100214442B1 (ko
Inventor
교치로 이나자와
신 오카모토
히사타카 하야시
다카야 마츠시타
Original Assignee
이노우에 아키라
도쿄에레쿠토론 가부시키가이샤
사토 후미오
가부시키가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이노우에 아키라, 도쿄에레쿠토론 가부시키가이샤, 사토 후미오, 가부시키가이샤 도시바 filed Critical 이노우에 아키라
Publication of KR960032633A publication Critical patent/KR960032633A/ko
Application granted granted Critical
Publication of KR100214442B1 publication Critical patent/KR100214442B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

처리공간내에서 반도체 웨이퍼를 지지하기 위한 하부전극과, 하부전극에 맞은편에 있는 상부전극과, 상부와 하부전극 사이에 RF 전압을 인가하기 위한 RF 전압원을 가지는 플라즈마 에칭장비, 어깨부를 가지는 하층으로서 SiN층 및 상기 Si층을 덥고 있는 SiO2층이 웨이퍼 위에 배치되어 있다. 에칭에 의하여 접촉구멍이 SiO2층에 형성되어 SiN층의 어깨부를 노출시키게 된다. 처리가스는 C4F8와 CO를 포함한다. SiO2/SiN의 에칭선택률을 설정하기 위하여 처리가스의 각 구성부분의 방전시간이 매개변수로서 사용된다. C4F8의 분해처리는 방전시간의 선택에 의하여 조절된다. 방전시간은 처리가스의 각부분의 상주시간과 RF전압의 인가시간에 의하여 결정된다.

Description

플라즈마 에칭방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명에 따른 쌍극자고리형 마그네트론 플라즈마 에칭장비를 도시한 단면도.

Claims (18)

  1. 처리가스가 기판을 수용하는 처리공간내로 주입되고, RF전압이 반대전극 사이에 인가되어 처리가스가 방전되도록 함으로써 플라즈마를 생성하고, 상기 플라즈마를 사용하여 상기 기판에 의하여 지지되는 제1층이 상기 제1층과는 다른 종류의 물질로 구성되고 상기 기판에 의하여 지지되는 제2층보다 더 잘 에칭되는 플라즈마 에칭방법으로서; 상기 제1층은 기본적으로 SiO2로 구성되는 반면, 제2층은 기본적으로 SiN, Si, Al 및 TiN으로 구성되는 집합에서 선택된 하나의 물지로 구성되고, 처리가스는 C4F8및 CO를 포함하며, 상기 제2층에 대한 상기 제1층의 에칭선택률을 설정하기 위하여 C4F8의 분해처리는 처리가스의 각 구성부분의 방전시간을 매개변수로 사용함으로써 조절되는 것을 특징으로 하는 플라즈마 에칭방법.
  2. 제1항에 있어서, 분해처리를 억제하고 C4F8의 함량을 증가시키기 위해 에칭선택률이 큰 설정값을 갖도록 하여 방전시간이 작은 값을 갖도록 선택되는 것을 특징으로 하는 방법.
  3. 제2항에 잇어서, 상기 제2층은 어깨부를 가지는 한편 상기 제1층은 상기 제2층 위에 배열되어 상기 어깨부를 덥고 있어, 상기 제1층이 에칭되어 구멍이 형성됨으로써 상기 제2층의 어깨부가 노출되는 것을 특징으로 하는 방법.
  4. 제2항에 있어서, RF 전압이 연속적으로 인가되고, 방전시간은 상기 처리 공간내의 처리가스의 각 구성부분의 상주시간으로서 정의되는 것을 특징으로 하는 방법.
  5. 제2항에 있어서, RF 전압이 펄스로 인가되고, 방전시간은 상기 처리공간내 처리가스의 각 구성성분의 상주시간내에서의 펄스폭의 합으로서 정의되는 것을 특징으로 하는 방법.
  6. 제2항에 있어서, 상기 기판은 에칭중에 120℃ 이상으로 가열되는 것을 특징으로하는 방법.
  7. 기판을 수용하는 처리공간내로 처리가스가 주입되고, RF전압이 반대전극 사이에 인가되어 처리가스가 방전되도록 함으로써 플라즈마를 생성하고, 상기 플라즈마를 사용하여 상기 기판에 의하여 지지되는 제1층이 상기 제1층과는 다른 종류의 물질로 구성되고 상기 기판에 의하여 지지되는 제2층보다 더 잘 에칭되는 플라즈마 에칭방법으로서; 상기 제1층은 기본적으로 SiO2로 구성되는 반면, 제2층은 기본적으로 SiN, Si, Al, TiN, W, WSi 및 TiSi로 구성되는 집합에서 선택된 하나의 물질로 구성되고, 처리가스는 C2F4및 CO를 포함하며, 상기 제2층에 대한 상기 제1층이 에칭 선택률을 설정하기 위하여 C4F8의 분해시 생성되는 C2F4및 CF3의 밀도 비율은 처리가스의 각 구성부분의 방전시간을 매개변수로 사용함으로써 조절되는 것을 특징으로 하는 플라스마 에칭방법.
  8. 제7항에 있어서, C2F4/CF3의 밀도비출이 1이상이 되도록 방전시간이 선택되고, 에칭선택률이 설정값이 크게 되도록 하고 밀도비율이 증가하도록 하기 위하여 방전시간은 작은 값을 가지도록 선택되는 것을 특징으로 하는 방법.
  9. 제8항에 있어서, 상기 제2층은 어깨부를 가지는 한편 제1층은 상기 어깨부를 덮도록 상기 제2층위에 배열되고, 상기 제1층이 에칭되어 구멍이 형성됨으로써 상기 제2층의 상기 어깨부가 노출되는 것을 특징으로 하는 방법.
  10. 제8항에 있어서, RF전압이 연속적으로 인가되고, 방전시간은 상기 처리공간내의 처리가스의 각 구성부분의 상주시간으로서 정의 되는 것을 특징으로 하는 방법.
  11. 제8항에 있어서, RF전압이 펄스로 인가되고, 방전시간은 상기 처리공간내 처리가스의 각 구성성분의 상주시간내에서의 펄스폭의 합으로서 정의 되는 것을 특징으로 하는 방법.
  12. 제8항에 있어서, 상기 기판은 에칭중에 80℃ 내지 150℃로 가열되는 것을 특징으로 하는 방법.
  13. 기판에 의하여 지지되고 있는 제1층이 상기 기판에 의하여 지지되고 상기 제1층과는 다른 종류의 물질로 구성되는 제2층보다 더 잘 에칭되는 플라즈마 에칭장비를 사용하며, 상기 장비는 처리공간내에서 상기 기판을 지지하는 제1전극과, 상기 처리공간내에서 상기 제1전극의 반대편에 있는 제2전극과, 상기 제1 및 제2전극 사이에 RF전압을 인가하기 위한 RF전압원으로 구성되고, 상기 제1층은 기본적으로 SiO2로 구성되는 반면, 제2층은 기본적으로 SiN, Si, Al, TiN, W, WSi 및 TiSi로 구성되는 집합에서 선택된 하나의 물질로 구성되고, 처리가스는 C4F8및 CO를 포함하는 플라즈마 에칭방법으로서, 상기 제2층에 대한 상기 제1층의 에칭선택률을 설정하기 의하여 처리가스의 각 구성부분의 방전시간을 매개변수로서 값을 선택하고, C4F8의 분해시 생성되는 C4F8에 대한 C2F4의 밀도비율이 방전시간이 변화로서 조절되는 단계와; 매개변수의 선택된 값에 따라 상기 플라즈마 에칭장비를 설정하는 단계와; 상기 제1전극에 의해서 상기 기판을 지지하는 단계와; 상기 처리공간을 배기시키면서 C4F8및 CO를 포함하는 처리가스를 상기 처리공간내로 공급하는 단계와; 처리가스를 플라즈마로 변환시키기 위하여, 상기 제1 및 제2전극 사이에 RF전압을 인가하는 단계와; 상기 플라즈마를 사용하여 상기 제1 및 제2층을 처리하는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  14. 제13항에 있어서, C2F4/CF3의 밀도비울이 1이상이 되도록 방전시간이 선택되고, 에칭선택률의 선정값이 크게 되도록 하고 밀도비율이 증가하도록 하기 위하여 방전시간은 작은 값을 가지도록 선택되는 것을 특징으로 하는 방법.
  15. 제14항에 있어서, 상기 제2층은 어깨부를 가지는 한편 제1층은 상기 어깨부를 덮도록 상기 제2층위에 배열되고, 상기 제1층이 에칭되어 구멍이 형성됨으로써 상기 제2층의 상기 어깨부가 노출되는 것을 특징으로 하는 방법.
  16. 제14항에 있어서, RF전압이 연속적으로 인가되고, 방전시간은 상기 처리공간내의 처리가스의 각 구성부분의 상주시간으로서 정의되는 것을 특징으로 하는 방법.
  17. 제14항에 있어서, RF전압이 펄스로 인가되고, 방전시간은 상기 처리공간내 처리가스의 각 구성성분의 상주시간내에서의 펄스폭의 합으로서 정의되는 것을 특징으로 하는 방법.
  18. 제14항에 있어서, 상기 기판은 에칭중에 80℃ 내지 150℃로 가열되는 것을 특징으로 하는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960003122A 1995-02-07 1996-02-07 플라즈마 에칭방법 KR100214442B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP04353295A JP3778299B2 (ja) 1995-02-07 1995-02-07 プラズマエッチング方法
JP95-43532 1995-02-07

Publications (2)

Publication Number Publication Date
KR960032633A true KR960032633A (ko) 1996-09-17
KR100214442B1 KR100214442B1 (ko) 1999-08-02

Family

ID=12666363

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960003122A KR100214442B1 (ko) 1995-02-07 1996-02-07 플라즈마 에칭방법

Country Status (5)

Country Link
US (1) US5595627A (ko)
EP (1) EP0726596B1 (ko)
JP (1) JP3778299B2 (ko)
KR (1) KR100214442B1 (ko)
DE (1) DE69628358T2 (ko)

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
DE69733962T2 (de) * 1996-10-11 2006-05-24 Tokyo Electron Ltd. Plasma-ätzmethode
US6303488B1 (en) * 1997-02-12 2001-10-16 Micron Technology, Inc. Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5976982A (en) * 1997-06-27 1999-11-02 Siemens Aktiengesellschaft Methods for protecting device components from chemical mechanical polish induced defects
US6300235B1 (en) * 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6277720B1 (en) * 1997-06-30 2001-08-21 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
KR100464391B1 (ko) * 1997-07-23 2005-02-28 삼성전자주식회사 실리콘옥시나이트라이드막에대한건식식각공정을포함하는반도체소자의콘택홀형성방법
US6835279B2 (en) * 1997-07-30 2004-12-28 Hitachi Kokusai Electric Inc. Plasma generation apparatus
US5866485A (en) * 1997-09-29 1999-02-02 Siemens Aktiengesellschaft Techniques for etching a silicon dioxide-containing layer
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6133153A (en) * 1998-03-30 2000-10-17 Lam Research Corporation Self-aligned contacts for semiconductor device
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
TW449828B (en) * 1998-08-24 2001-08-11 United Microelectronics Corp Method for etching oxide layer with a medium/low plasma density
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6180532B1 (en) * 1998-12-15 2001-01-30 United Microelectronics Corp. Method for forming a borderless contact hole
US6495468B2 (en) 1998-12-22 2002-12-17 Micron Technology, Inc. Laser ablative removal of photoresist
US6184147B1 (en) * 1999-03-05 2001-02-06 United Microelectronics Corp. Method for forming a high aspect ratio borderless contact hole
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6749763B1 (en) * 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
WO2001086701A2 (en) * 2000-05-12 2001-11-15 Tokyo Electron Limited Method of high selectivity sac etching
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6486070B1 (en) * 2000-09-21 2002-11-26 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20040253823A1 (en) * 2001-09-17 2004-12-16 Taiwan Semiconductor Manufacturing Co. Dielectric plasma etch with deep uv resist and power modulation
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
TW200414344A (en) * 2002-09-06 2004-08-01 Tokyo Electron Ltd Method and apparatus for etching Si
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
CN103187264A (zh) * 2011-12-28 2013-07-03 中微半导体设备(上海)有限公司 一种在等离子体刻蚀室内刻蚀氧化硅层的方法
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US10480077B2 (en) * 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US9852893B2 (en) 2015-04-03 2017-12-26 Tokyo Electron Limited Dipole ring magnet assisted microwave radial line slot antenna plasma processing method and apparatus
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas

Also Published As

Publication number Publication date
EP0726596B1 (en) 2003-05-28
DE69628358T2 (de) 2004-04-08
DE69628358D1 (de) 2003-07-03
US5595627A (en) 1997-01-21
EP0726596A3 (en) 1997-10-29
JP3778299B2 (ja) 2006-05-24
EP0726596A2 (en) 1996-08-14
KR100214442B1 (ko) 1999-08-02
JPH08213372A (ja) 1996-08-20

Similar Documents

Publication Publication Date Title
KR960032633A (ko) 플라즈마 에칭방법
US5472564A (en) Method of dry etching with hydrogen bromide or bromide
US6461974B1 (en) High temperature tungsten etching process
KR950033670A (ko) 플루오르화 탄소와 산화 탄소가스를 이용한 질화 티타늄층의 식각공정
KR100465947B1 (ko) 불화 가스 및 산소를 함유한 가스 혼합물을 사용하는텅스텐의 플라즈마 공정
JPH05121386A (ja) 基板表面のプラズマ洗浄方法とウエハのフオトレジスト・プラズマ洗浄方法と基板表面の洗浄装置
JPH05190506A (ja) ドライエッチング方法およびその装置
EP0725430A3 (en) Method of manufacturing semiconductor device having capacitor
KR940008021A (ko) 전자기 고주파(rf) 커플링을 사용하는 플라즈마 반응기 및 방법
KR960002600A (ko) 반도체집적회로장치의 제조방법
KR900017117A (ko) 플라즈마 에칭방법
KR920010775B1 (ko) 실리콘 표면상의 실리콘 산화막 제거방법
KR950703074A (ko) 박막형성방법
KR20060010845A (ko) 기판에서 포토레지스트를 제거하는 방법
KR950015622A (ko) 높은 선택도 및 높은 단차비의 산화막 식각 방법 및 그 공정에 의해 제조된 산물
US5688410A (en) Method of ashing resist and apparatus therefor
KR950021175A (ko) 드라이에칭 방법
US6515342B1 (en) Method and system for providing inorganic vapor surface treatment for photoresist adhesion promotion
KR100397164B1 (ko) 불순물의도입방법
KR970077209A (ko) 반도체 소자의 콘택 홀 형성 방법
JPS57202726A (en) Manufacture of semiconductor device
JPH0845907A (ja) 半導体装置のプラズマ処理方法
JPH0974086A (ja) プラズマ処理装置
JP2000031126A (ja) レジストの除去方法
JPH1050680A (ja) 白金薄膜の乾式食刻方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20140418

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee