KR930020591A - 건식에칭방법 - Google Patents

건식에칭방법 Download PDF

Info

Publication number
KR930020591A
KR930020591A KR1019930003150A KR930003150A KR930020591A KR 930020591 A KR930020591 A KR 930020591A KR 1019930003150 A KR1019930003150 A KR 1019930003150A KR 930003150 A KR930003150 A KR 930003150A KR 930020591 A KR930020591 A KR 930020591A
Authority
KR
South Korea
Prior art keywords
silicon oxide
oxide film
gas
opening
etching
Prior art date
Application number
KR1019930003150A
Other languages
English (en)
Other versions
KR0135741B1 (en
Inventor
도쿠히사 오히와
히사타카 하야시
케이지 호리오카
하루오 오카노
다카야 마츠시타
이사히로 하세가와
아키라 다케우치
Original Assignee
사토 후미오
가부시키가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 사토 후미오, 가부시키가이샤 도시바 filed Critical 사토 후미오
Priority to CN 93219492 priority Critical patent/CN2165117Y/zh
Publication of KR930020591A publication Critical patent/KR930020591A/ko
Application granted granted Critical
Publication of KR0135741B1 publication Critical patent/KR0135741B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은, 에칭속도가 패턴의 크기에 의존하지 않으면서 기초로 되는 실리콘에 대한 에칭선택비가 높은 실리콘산화막의 에칭방법을 제공한다.
본 발명의 제1측면은 기판상에 실리콘산화막을 형성하고, 이 실리콘산화막상에 적어도 개구직경이 0.1㎛이상 이면서 1㎛이하인 개구부를 갖춘 마스크패턴을 형성하여 상기 기판을 반응용기로 수납하며, 이 반응용기내에 플로오르화 탄소가스와 수소가스를 포함한 가스를 상기 수소가스의 상기 플로오르화 탄소가스와 수소가스의 합계량에 대한 혼합비가 50~80%의 범위영역으로 되도록 도입하고, 상기 혼합가스를 플라즈마화하여 상기패턴에 따라 상기 실리콘산화막을 에칭함으로써 상기 마스크패턴의 폭 아래의 상기 실리콘산화막에 어스펙트비 1이상인 개구부를 형성하도록 되어 있다.
또한 본 발명의 제2측면은 기판상에 실리콘산화막을 형성하고, 이 실리콘산화막상에 적어도 개구직경이 0.1㎛이상이면서 1㎛이하인 개구부를 갖춘 마스크패턴을 형성하여 상기 기판을 반응용기내로 수납하며, 이 반응용기내로 플로오르화 탄소가스와 수소가스를 포함한 혼합가스를 도입하고, 이 혼합가스를 플라즈마화함으로써 기체 상태중에 CFx(X;Ox2)를 주성분으로 하는 활성종을 형성하고, 상기 개구 아래의 실리콘산화막 표면에 있어서는 퇴적막의 형성을 억제하면서 상기 실리콘산화을 에칭하도록 되어 있다.
또한 본 발명의 제3측면은 기판상에 실리콘산화막을 형성하고, 이 실리콘산화막상에 개구직경이 0.1㎛이상인 개구부를 갖춘 마스크패턴을 형성하며, 플로오르화 탄소가스와 수소가스를 포함한 혼합가스를 플라즈마화하고, 상기 혼합가스에 의해 상기 마스크패턴에 따라 상기 실리콘산화막을 에칭하는 방법에 있어서, 소정 시간 에칭한 후에 상기 개구부의 개구직경에 대한 상기 실리콘산화막의 에칭깊이의 의존성이 상기 플로오르화 탄소가스와 수소가스의 유량비에 대한 상기 수소가스의 유량의 비율이 증가함에 따라 에칭깊이의 오차가 1할의 범위로 안정되는 영역으로부터 개구직경이 작은 측에서 에칭깊이가 급격히 감소하는 변화점이 나타나는 영역을 거쳐 다시 에칭깊이의 오차가 1할의 범위내로 안정되는 영역으로 복귀되는 때의 비율 이상으로 상기 수소가스를 첨가하여 상기 변화점에 상당하는 개구직경보다 작은 개구직경을 갖춘 개구부가 존재하는 마스크패턴에 따라 상기 실리콘산화막을 원하는 에칭깊이로 에칭하도록 되어 있다.
또한 본 발명의 제4측면은 기판상에 실리콘산화막을 형성하고, 이 실리콘산화 막상에 개구직경이 0.1㎛이상인 개구부를 갖춘 마스크패턴을 형성하며, 플로오르화 탄소가스와 수소가스를 포함한 혼합가스를 마스크화하고, 상기 혼합가스에 의해 상기 마스크패턴에 따라 상기 실리콘산화막을 에칭하는 방법에 있어서, 소정 시간 에칭한 상기 개구부의 개구직경에 대한 상기 실리콘산화막의 에칭깊이의 의존성이 상기 플로오르화 탄소가스와 수소가스의 유량합에 대한 상기 수소가스의 유량의 비율이 증가하에 따라 에칭깊이의 오차가 1할의 범위내로 안정되는 영역으로부터 개구직경이 작은 측에서 에칭깊이가 급격히 감소하는 변화점이 나타나는 영역을 거쳐 다시 에칭깊이의 오차가 1할의 범위내로 안정되는 영역으로 복귀되는 때의 비율 이상으로 상기 수소가스를 첨가하여 상기 변화점에 상당하는 개구직경 이상의 개구부 및 상기 개구직경 보다 작은 개구직경을 갖춘 개구부가 혼재된 마스크 패턴에 따라 상기 실리콘산화막을 원하는 에칭깊이로 에칭하도록 되어 있다.

Description

건식에칭방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 따른 실시예의 방법에 이용된 건식에칭(dry etching)장치의 개략 구성도.
제2도는 본 발명에 따른 실시예의 방법에 이용된 피처리기판을 나타낸 도면.
제3도는 H₂가스 유량비와 SiO₂및 Si의 에칭속도의 관계를 나타낸 특성도.

Claims (10)

  1. 기판상에 실리콘산화막을 형성하고, 이 실리콘산화막상에 적어도 개구직경이 0.1㎛이상이면서 1㎛이하인 개구부를 갖춘 마스크패턴을 형성하는 공정과; 상기 기판을 반응용기에 수용하고, 이 반응용기내에 플로오르화탄소가스와 수소가스를 포함한 혼합가스를 상기 수소가스의 상기 플로오르화 탄소가스와 수소가스의 합계량에 대한 혼합비가 50~80%의 범위영역으로 되도록 도입하는 공정 및; 상기 혼합가스를 플라즈마화하여 상기 마스크 패턴에 따라 상기 실리콘산화막을 에칭함으로써 상기 마스크패턴의 개구부 아래의 상기 실리콘산화막에 어스펙트비가 1이상인 개구부를 형성하는 에칭공정을 구비하여 이루어진 것을 특징으로 하는 건식에칭방법.
  2. 제1항에 있어서, 상기 혼합비가 57~70%의 범위영역인 것을 특징으로 하는 건식에칭방법.
  3. 제1항에 있어서, 상기 반응용기내의 가스압력이 20mTorr 내지 100mTorr이고, 상기 기판온도가 90℃이상인 것을 특징으로 하는 건식에칭방법.
  4. 기판상에 실리콘산화막을 형성하고, 이 실리콘산화막상에 적어도 개구직경이 0.1㎛이상이면서 1㎛이하인 개구부를 갖춘 마스크패턴을 형성하는 공정과; 상기 기판을 반응용기에 수용하고, 이 반응용기내에 플로오르화탄소가스와 수소가스를 혼합한 혼합가스를 도입하는 공정 및; 상기 혼합가스를 플라즈마화함으로써 기체상태중에 CFx(X; ≤x≤2)를 주요성분으로 하는 활성종을 형성하여 상기 개구 아래의 실리콘산화막 표면에 있어서는 퇴적막의 형성을 억제하면서 상기 실리콘산화막을 에칭하는 에칭공정을 포함하는 것을 특징으로 하는 건식에칭방법.
  5. 기판상에 실리콘산화막을 형성하고, 이 실리콘산화막상에 개구직경이 0.1㎛이상인 개구부를 갖춘 마스크패턴을 형성하여 플로오르화 탄소가스와 수소가스를 포함한 혼합가스를 플라즈마하며, 상기 혼합가스에 의해 상기 마스크패턴에 따라 상기 실리콘산화막을 에칭하는 방법에 있어서, 소정 시간 에칭한 때에 상기 개구부의 개구직경에 대한 상기 실리콘산화막의 에칭깊이의 의존성이 상기 플로오르화 탄소가스와 수소가스의 유량합에 대한 상기 수소가스의 유량의 비율이 증가함에 따라 에칭깊이의 오차가 1할의 범위내로 안정되는 영역으로부터 개구직경이 작은 측에서 에칭깊이가 급격히 감소하는 변화점이 나타나는 영역을 거쳐 다시 에칭깊이의 오차가 1할의 범위내로 안정되는 영역으로 복귀되는 때의 비율 이상으로 상기 수소가스를 첨가하여 상기 변화점에 상당하는 개구직경보다 작은 개구직경을 갖춘 개구부가 존재하는 마스크패턴을 따라 상기 실리콘산화막을 원하는 에칭깊이로 에칭하는 것을 특징으로 하는 건식에칭방법.
  6. 기판상에 실리콘산화막을 형성하고, 이 실리콘산화막상에 개구직경이 0.1㎛이상인 개구부를 갖춘 마스크패턴을 형성하며 플로오르화 탄소가스와 수소가스를 포함한 혼합가스를 마스크화하고, 상기 혼합가스에 의해 상기 마스크패턴을 따라 상기 실리콘산화막을 에칭하는 방법에 있어서, 소정시간 에칭한 때에 상기 개구부의 개구직경에 대한 상기 실리콘산화막의 에칭깊이의 의존성이 상기 플로오르화 탄소가스와 수소가스의 유량합에 대한 상기 수소가스의 유량의 비율이 증가함에 따라 에칭깊이의 오차가 1할의 범위내로 안정되는 영역으로부터 개구직경이 작은 측에서 에칭깊이가 급격히 감소하는 변화점이 나타내는 영역을 거쳐 다시 에칭깊이의 오차가 1할의 범위내로 안정되는 영역으로 복귀되는 시간의 비율이 이상으로 상기 수소가스를 첨가하여 상기 변화점에 상당하는 개구직경 이상의 개구부 및 상기 개구직경보다 작은 개구직경을 갖춘 개구부가 혼재된 마스크패턴에 따라 상기 실리콘산화막을 원하는 에칭깊이로 에칭하는 것을 특징으로 하는 건식 에칭방법.
  7. 제6항에 있어서, 상기 원하는 에칭깊이가 상기 변화점에 상당하는 에칭깊이 이상인 것을 특징으로 하는 건식 에칭방법.
  8. 제1항에 있어서, 상기 기판은 실리콘기판 또는 표면에 실리콘막을 형성한 기판이고, 상기 에칭공정은 실리콘산화막을 실리콘에 대해 선택적으로 에칭하는 공정인 것을 특징으로 하는 건식에칭방법.
  9. 제1항에 있어서, 상기 혼합가스의 플라즈마화가 상기 반응용기내에 1쌍의 평행편판형의 전극을 설치하고, 이 전극간에 고주파전력을 인가함과 더불어 전계와 직교하는 방향으로 자계를 인가하여 상기 양 전극간에 플라즈마를 생성시키는 공정인 것을 특징으로 하는 건식에칭방법.
  10. 제1항에 있어서, 상기 플로오르화 탄소가스가 CF₄인 것을 특징으로 하는 건식 에칭방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR93003150A 1992-03-04 1993-03-04 Dry etching method KR0135741B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 93219492 CN2165117Y (zh) 1993-03-04 1993-07-20 用于集装箱中门锁装置的轴承部件

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP92-047153 1992-03-04
JP4715392 1992-03-04
JP07138092A JP3215151B2 (ja) 1992-03-04 1992-03-27 ドライエッチング方法

Publications (2)

Publication Number Publication Date
KR930020591A true KR930020591A (ko) 1993-10-20
KR0135741B1 KR0135741B1 (en) 1998-04-24

Family

ID=26387300

Family Applications (1)

Application Number Title Priority Date Filing Date
KR93003150A KR0135741B1 (en) 1992-03-04 1993-03-04 Dry etching method

Country Status (3)

Country Link
US (1) US5310454A (ko)
JP (1) JP3215151B2 (ko)
KR (1) KR0135741B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003478A (ko) * 1995-06-23 1997-01-28 김주용 반도체 소자의 콘택홀 형성방법
KR100372654B1 (ko) * 1995-11-20 2003-04-23 주식회사 하이닉스반도체 반도체소자의콘택홀형성방법

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960006822B1 (ko) * 1993-04-15 1996-05-23 삼성전자주식회사 반도체장치의 미세패턴 형성방법
US6153501A (en) 1998-05-19 2000-11-28 Micron Technology, Inc. Method of reducing overetch during the formation of a semiconductor device
JP2956524B2 (ja) * 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
JP2773770B2 (ja) * 1995-12-11 1998-07-09 日本電気株式会社 半導体装置の製造方法
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US6139647A (en) * 1995-12-21 2000-10-31 International Business Machines Corporation Selective removal of vertical portions of a film
US6051501A (en) * 1996-10-09 2000-04-18 Micron Technology, Inc. Method of reducing overetch during the formation of a semiconductor device
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
KR100277024B1 (ko) * 1997-10-31 2001-01-15 구본준 선택적 식각기술을 이용한 액정표시장치 제조방법
US6093655A (en) * 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
US6074957A (en) * 1998-02-26 2000-06-13 Micron Technology, Inc. Methods of forming openings and methods of controlling the degree of taper of openings
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6291887B1 (en) 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6207577B1 (en) * 1999-01-27 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
US6380091B1 (en) 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US6461529B1 (en) 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
US6635335B1 (en) * 1999-06-29 2003-10-21 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
DE10224137A1 (de) * 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US6809028B2 (en) * 2002-10-29 2004-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Chemistry for liner removal in a dual damascene process
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
CN100365772C (zh) * 2004-06-16 2008-01-30 东京毅力科创株式会社 半导体装置的制造方法
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium
US20070269975A1 (en) * 2006-05-18 2007-11-22 Savas Stephen E System and method for removal of photoresist and stop layer following contact dielectric etch
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US20090291035A1 (en) * 2008-05-23 2009-11-26 Michael Colin Begg Vacuum chamber
JP2015056441A (ja) * 2013-09-10 2015-03-23 株式会社東芝 半導体装置の製造方法
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4283249A (en) * 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
JPS5751265A (en) * 1980-09-10 1982-03-26 Hitachi Ltd Microwave plasma etching device
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003478A (ko) * 1995-06-23 1997-01-28 김주용 반도체 소자의 콘택홀 형성방법
KR100372654B1 (ko) * 1995-11-20 2003-04-23 주식회사 하이닉스반도체 반도체소자의콘택홀형성방법

Also Published As

Publication number Publication date
JP3215151B2 (ja) 2001-10-02
KR0135741B1 (en) 1998-04-24
US5310454A (en) 1994-05-10
JPH05308062A (ja) 1993-11-19

Similar Documents

Publication Publication Date Title
KR930020591A (ko) 건식에칭방법
US4618398A (en) Dry etching method
US4992136A (en) Dry etching method
KR940022724A (ko) 드라이에칭방법
US4264409A (en) Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
US4180432A (en) Process for etching SiO2 layers to silicon in a moderate vacuum gas plasma
US4615764A (en) SF6/nitriding gas/oxidizer plasma etch system
EP0206055B1 (en) A reactive ion etching process
JPS5620165A (en) Formation of pattern
CA1113352A (en) Saturated and unsaturated halocarbon gases in plasma etching
US4678539A (en) Dry-etching method
Flamm et al. VLSI Electronics, Microstructure Science, vol. 8
KR930014829A (ko) 에칭방법
KR900003804B1 (ko) 단결정 실리콘의 디프 트렌치 에칭
US6069087A (en) Highly selective dry etching process
KR19990067997A (ko) 이방성 건식 에칭 방법
JP3830560B2 (ja) ドライエッチング方法
JPS6210311B2 (ko)
JPS61247033A (ja) テ−パエツチング方法
KR0167064B1 (ko) 플라즈마 식각방법 및 장치
KR0137716B1 (ko) 반도체 소자의 콘텍 식각방법
JP2001217230A (ja) 異方性ドライエッチング方法
US7268082B2 (en) Highly selective nitride etching employing surface mediated uniform reactive layer films
JP2979737B2 (ja) ドライエッチング方法
KR20030038651A (ko) 도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL

B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20021231

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee