KR20230078588A - 견고한 애싱 가능한 하드 마스크 (robust ashable hard mask) - Google Patents

견고한 애싱 가능한 하드 마스크 (robust ashable hard mask) Download PDF

Info

Publication number
KR20230078588A
KR20230078588A KR1020227044415A KR20227044415A KR20230078588A KR 20230078588 A KR20230078588 A KR 20230078588A KR 1020227044415 A KR1020227044415 A KR 1020227044415A KR 20227044415 A KR20227044415 A KR 20227044415A KR 20230078588 A KR20230078588 A KR 20230078588A
Authority
KR
South Korea
Prior art keywords
halogen
reactant
ahm
optionally substituted
alkyl
Prior art date
Application number
KR1020227044415A
Other languages
English (en)
Inventor
메튜 스콧 웨이머
라게시 푸텐코빌라캄
카푸 시리쉬 레디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230078588A publication Critical patent/KR20230078588A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

애싱 가능한 하드 마스크 (ashable hard mask; AHM) 를 형성하기 위한 방법들 및 관련 장치들이 본 명세서에 제공된다. 특정한 예들에서, 할로겐-함유 전구체의 사용은 개선된 에칭 내성을 갖는 AHM을 제공할 수 있다.

Description

강성 애싱 가능한 하드 마스크 (ROBUST ASHABLE HARD MASK)
본 개시는 애싱 가능한 하드 마스크 (Ashable Hard Mask; AHM) 를 형성하기 위한 방법들 및 관련 장치들에 관한 것이다. 특정한 예들에서, 할로겐-함유 전구체의 사용은 개선된 에칭 내성을 갖는 AHM을 제공할 수 있다.
비정질 탄소 막들은 메모리 및 로직 디바이스 제조를 포함하는 반도체 프로세싱에서 하드 마스크들 및 에칭 정지 층들로서 사용될 수도 있다. 이들 막들은 또한 애싱 기법에 의해 제거될 수도 있기 때문에 애싱 가능한 하드 마스크들 (Ashable Hard Masks; AHMs) 로 공지되었다. 리소그래피의 종횡비들이 상승함에 따라, AHM들은 더 높은 에칭 선택도 및/또는 더 두꺼운 두께를 필요로 한다.
본 명세서에 포함된 배경기술 및 맥락적 기술들 (contextual descriptions) 은 단지 본 개시 (disclosure) 의 맥락을 일반적으로 제시할 목적으로 제공된다. 본 개시의 많은 부분은 발명자들의 업적을 제시하고, 단순히 이러한 업적이 배경기술 섹션에 기술되거나 본 명세서의 다른 곳에서 맥락으로 제시되기 때문에, 종래기술로 인정된다는 것을 의미하지 않는다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다. 본 출원은 2020년 9월 25일에 출원된 미국 특허 가출원 번호 제 63/198,061 호의 우선권의 이익을 주장하고, 이는 전체가 본 명세서에 참조로서 인용된다.
본 개시는 애싱 가능한 하드 마스크들 (Ashable Hard Masks; AHMs) 을 제공하기 위한 특정한 전구체들의 사용에 관한 것이다. 일부 실시 예들에서, AHM은 개선된 에칭 내성을 갖는다.
따라서, 제 1 양태에서, 본 개시는 할로겐-함유 전구체에 프로세싱 챔버 내 반도체 기판의 표면을 노출하는 단계로서, 할로겐-함유 전구체는 프로세싱 챔버로 전달되거나 프로세싱 챔버 내에서 인 시츄 (in situ) 로 형성되는, 표면을 노출하는 단계; 및 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스에 의해 표면 상에 애싱 가능한 하드 마스크 (AHM) 막을 증착하는 단계를 포함하는 방법을 포괄한다 (encompass).
일부 실시 예들에서, 상기 노출하는 단계는 할로겐-함유 전구체를 프로세싱 챔버로 전달하는 단계를 포함한다. 다른 실시 예들에서, 상기 노출하는 단계는 할로겐-함유 전구체 및 불활성 가스를 포함하는 프로세스 가스를 전달하는 단계를 더 포함한다. 또 다른 실시 예들에서, 상기 노출하는 단계는 할로겐-함유 전구체 및 불활성 가스를 포함하는 프로세스 가스를 전달하는 단계를 더 포함한다.
일부 실시 예들에서, 할로겐-함유 전구체는 직쇄 또는 분지쇄 지방족 모이어티, 방향족 모이어티, 지환족 모이어티, 또는 다중 지환족 (multicycloaliphatic) 모이어티를 포함하고, 모이어티 각각은 하나 이상의 할로 치환들을 포함한다. 다른 실시 예들에서, 하나 이상의 할로 치환들은 sp 탄소, sp2 탄소, sp3 탄소, 분지형 부분, 또는 비분지형 부분 상에 제공된다. 또 다른 실시 예들에서, 할로겐-함유 전구체는 할로카본, 할로지방족, 할로알칸, 할로알켄, 할로알킨, 할로방향족, 또는 할로카보사이클을 포함한다.
일부 실시 예들에서, 상기 노출하는 단계는 유기 반응 물질 및 할로겐-함유 반응 물질에 의해 프로세싱 챔버 내에서 할로겐-함유 전구체를 인 시츄로 형성하는 단계를 포함한다. 특정한 실시 예들에서, 유기 반응 물질은 순수 하이드로카본 반응 물질, 할로카본 반응 물질, 이탈기를 갖는 하이드로카본 반응 물질, 또는 헤테로사이클 반응 물질을 포함한다. 비제한적인 순수 하이드로카본 반응 물질들은 알칸, 알켄, 알킨, 방향족, 또는 카보사이클, 뿐만 아니라 본 명세서에 기술된 임의의 반응 물질을 포함한다. 비제한적인 할로카본 반응 물질들은 하나 이상의 할로 치환들을 포함하는 알칸, 알켄, 알킨, 방향족, 또는 카보사이클을 포함한다. 이탈기를 갖는 비제한적인 하이드로카본 반응 물질들은 알칸, 알켄, 알킨, 방향족, 또는 하나 이상의 이탈기들 (예를 들어, 할로설포닐기, 설포네이트기, 시아노기, 나이트로어스 옥사이드기 (N2O), 나이트레이트기 (-ONO2), 또는 본 명세서에 기술된 다른 기들) 을 포함하는 카보사이클, 예컨대 알킬 설포닐 할라이드, 아릴 설포닐 할라이드, 알킬 트리플레이트, 아릴 트리플레이트, 알킬 메실레이트, 아릴 메실레이트, 시아노알칸, 시아노방향족, 알킬 나이트레이트, 또는 아릴 나이트레이트를 포함한다. 비제한적인 할로겐-함유 반응 물질들은 할라이드, 할로겐 가스, 테트라할로실란, 트리할로실란, 디할로실란, 모노할로실란, 또는 그리냐르 (Grignard) 시약을 포함한다.
일부 실시 예들에서, 상기 노출하는 단계는 제 1 불활성 가스와 함께 유기 반응 물질을 포함하는 제 1 프로세스 가스 및 제 2 불활성 가스와 함께 할로겐-함유 반응 물질을 포함하는 제 2 프로세스 가스를 전달하는 단계를 더 포함한다 (예를 들어, 이 전달은 프로세싱 챔버 내에 할로겐-함유 전구체를 인 시츄로 형성함).
일부 실시 예들에서, 상기 노출하는 단계는 수소 (H2) 가스를 전달하는 단계를 더 포함한다.
다른 실시 예들에서, 상기 노출하는 단계는 할로겐-함유 전구체와 함께 하이드로카본 전구체를 전달하는 단계를 더 포함한다. 비제한적인 하이드로카본 전구체들은 알칸, 알켄, 알킨, 방향족, 또는 카보사이클을 포함한다.
일부 실시 예들에서, 상기 노출하는 단계는 금속-함유 반응 물질을 전달하는 단계를 더 포함하고, 그리고 상기 증착하는 단계는 금속-도핑된 AHM을 제공한다. 비제한적인 금속-함유 반응 물질들은 유기 금속 시약, 금속 할라이드, 또는 금속 하이드라이드를 포함한다.
일부 실시 예들에서, 상기 PECVD 프로세스는 저 주파수 (low frequency; LF) 컴포넌트 및/또는 고 주파수 (high frequency; HF) 컴포넌트를 포함하는 플라즈마를 점화하는 단계를 포함한다.
다른 실시 예들에서, 방법은 AHM을 패터닝하는 단계로서, 이 패터닝은 패터닝된 AHM을 제공하는, 패터닝하는 단계; 및 반도체 기판 내에 AHM의 피처들을 규정하도록 패터닝된 AHM을 에칭하는 단계를 더 포함한다. 일부 실시 예들에서, 방법은 패터닝된 AHM 하부 (underlying) 반도체 기판 내에 하나 이상의 층들을 에칭하는 단계; 및 패터닝된 AHM을 제거하는 단계를 더 포함한다.
제 2 양태에서, 본 개시는 AHM을 형성하기 위한 장치를 포괄하고, 장치는: 프로세싱 챔버; 프로세싱 챔버 내의 기판 홀더; 프로세싱 챔버 내로 가스들을 흘리기 위한 하나 이상의 가스 유입구들; 프로세싱 챔버 내에 플라즈마들을 생성하기 위한 플라즈마 생성기; 및 반도체 기판 상에 AHM을 증착하도록 기판 홀더, 하나 이상의 가스 유입구들, 및 플라즈마 생성기를 동작시키기 위한 머신-판독 가능 인스트럭션들을 포함하는 하나 이상의 제어기들을 포함한다.
일부 실시 예들에서, 하나 이상의 제어기들의 인스트럭션들은, (a) 할로겐-함유 반응 물질과 함께 할로겐-함유 전구체 또는 유기 반응 물질을 프로세싱 챔버 내로 흘리기 위한 하나 이상의 가스 유입구들의 동작을 유발하기 위한 인스트럭션들―유기 반응 물질 및 할로겐-함유 반응 물질은 할로겐-함유 전구체를 형성함―로서, 이에 따라 기판 홀더 내에 홀딩된 반도체 기판의 표면을 할로겐-함유 전구체에 노출하는, 하나 이상의 가스 유입구들의 동작을 유발하는 인스트럭션들을 포함한다. 다른 실시 예들에서, 하나 이상의 제어기들의 인스트럭션들은, (b) 표면 상에 AHM을 형성하도록 플라즈마를 생성하기 위한 플라즈마 생성기의 동작을 유발하기 위한 인스트럭션들을 포함한다.
또 다른 실시 예들에서, 하나 이상의 제어기들의 인스트럭션들은, (c) 플라즈마 생성기의 동작을 유발하기 전에, 프로세싱 챔버 내로 수소 (H2) 가스를 흘리기 위한 하나 이상의 가스 유입구들의 동작을 더 유발하기 위한 인스트럭션들을 포함한다. 일부 실시 예들에서, 하나 이상의 제어기들의 인스트럭션들은, (c) 플라즈마 생성기의 동작을 유발하기 전에, 프로세싱 챔버 내로 금속-함유 반응 물질 가스를 흘리기 위한 하나 이상의 가스 유입구들의 동작을 더 유발하기 위한 인스트럭션들을 포함한다.
다른 실시 예들에서, 하나 이상의 제어기들의 인스트럭션들은, (c) 적어도 약 50 ℃의 온도에서 기판 홀더의 동작을 유발하기 위한 인스트럭션들을 포함한다.
일부 실시 예들에서, 플라즈마 생성기는 저 주파수 (LF) 컴포넌트 및/또는 고 주파수 (HF) 컴포넌트를 포함하는 플라즈마를 제공하도록 동작된다. LF 컴포넌트 및 HF 컴포넌트는 본 명세서에 기술된 바와 같이, 연속파 모드 및/또는 펄싱 모드로 제공될 수 있다.
본 명세서의 임의의 실시 예에서, 할로겐-함유 전구체는 직쇄 또는 분지쇄 지방족 모이어티, 방향족 모이어티, 지환족 모이어티, 또는 다중 지환족 (multicycloaliphatic) 모이어티를 포함하고, 모이어티 각각은 하나 이상의 할로 치환들을 포함한다. 일부 실시 예들에서, 하나 이상의 할로 치환들은 sp 탄소, sp2 탄소, sp3 탄소, 분지형 부분, 또는 비분지형 부분 상에 제공된다.
본 명세서의 임의의 실시 예들에서, 할로겐-함유 전구체는 할로카본, 할로지방족, 할로알칸, 할로알켄, 할로알킨, 할로방향족, 또는 할로카보사이클을 포함한다.
본 명세서의 임의의 실시 예들에서, 할로겐-함유 전구체는 적어도 약 1:3의 탄소 원자들 대 할로겐 원자들의 비 (C:H 비) 및/또는 적어도 약 1:20의 할로겐 원자들 대 수소 원자들의 비 (X:H 비) 를 포함한다.
본 명세서의 임의의 실시 예에서, 방법은 AHM을 포함하는 다층 스택을 제공한다.
본 명세서의 임의의 실시 예에서, AHM은 본 명세서에 기술된 전구체 또는 반응 물질, 예컨대, 할로겐-함유 전구체, 하이드로카본 전구체, 유기 반응 물질, 할로겐-함유 반응 물질, 순수 하이드로카본 반응 물질, 할로카본 반응 물질, 이탈기를 갖는 하이드로카본 반응 물질, 지방족 모이어티, 방향족 모이어티, 카보사이클, 헤테로사이클, 원소 할로겐, 수소 가스, 금속-함유 반응 물질, 또는 이들 중 임의의 조합을 사용하여 형성된다. 부가적인 세부 사항은 다음과 같다.
도 1은 다양한 실시 예들에 따른 에칭 동작들에서 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 를 사용하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 2a 내지 도 2c는 AHM을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도들을 제공한다.
도 3은 다양한 실시 예들을 실시하기에 적합한 플라즈마 강화된 화학적 기상 증착 (Plasma Enhanced Chemical Vapor Deposition; PECVD) 챔버의 개략적인 예시를 도시한다.
도 4는 다양한 실시 예들을 실시하기에 적합한 또 다른 PECVD 챔버의 또 다른 개략적인 예시를 도시한다.
도 5는 다양한 실시 예들을 실시하기에 적합한 모듈 클러스터의 개략적인 예시를 도시한다.
도 6a 내지 도 6c는 다양한 실시 예들을 실시하기에 적합한 또 다른 PECVD 챔버의 개략적인 예시들을 도시한다.
도 7은 다양한 실시 예들을 실시하기 위한 유도 커플링 플라즈마 에칭 장치의 개략적인 예시를 도시한다.
도 8은 다양한 실시 예들을 실시하기에 적합한 모듈 클러스터의 개략적인 예시를 도시한다.
도입 및 맥락
반도체 프로세싱에서, 마스킹 방법들은 기판들을 패터닝하고 에칭하기 위해 사용된다. 기판 종횡비들이 상승함에 따라, 매우 선택적인 하드 마스크들에 대한 수요가 증가한다. 고 에칭 선택도를 갖지만 기판에 대한 손상 없이 제거하기 쉬운 마스크들은 기판들의 프로세싱에 중요하다. 애싱 가능한 하드 마스크들 (Ashable Hard Masks; AHMs) 은 선택적인 에칭 동안, 고 종횡비 (high aspect ratio; HAR) 에칭을 위해, 또는 포토레지스트가 하부 (underlying) 층을 마스킹하기에 충분히 두껍지 않을 수도 있는 에칭 정지 층들에서 마스크들로서 사용될 수 있다. AHM들은 또한 디스플레이들 및 다른 기술들에 사용되는 유리 기판들 상에서 사용될 수도 있다.
AHM 막들은 일단 이들이 목적을 달성하면 "애싱 (ashing)", "플라즈마 애싱" 또는 "건식 스트립핑 (dry stripping)"으로 지칭되는 기법에 의해 제거되게 하는 화학적 조성을 갖는다. 탄소 하드 마스크들은 새로 형성된 피처들에 크게 영향을 주지 않는 프로세스에 의해 깨끗하게 제거될 수 있다. 이러한 프로세스의 일 예는 산소 플라즈마와의 콘택트이다.
AHM 막의 일 예는 비정질 탄소 층 또는 막이다. AHM 막은 일반적으로 선택 가능하게 (optionally), 소량의 하나 이상의 도펀트들 (예를 들어, 질소, 불소, 붕소, 및 실리콘) 과 함께 탄소 및 수소로 구성된다. AHM의 결합 구조는 증착 조건들에 따라, sp2 (흑연-유사) 또는 sp3 (다이아몬드-유사), 또는 둘의 조합으로부터 가변할 수 있다.
애싱 가능한 하드 마스크들의 현재 적용 예들은 고 종횡비 (HAR) 에칭을 위해 스택 (예를 들어, 교번하는 실리콘 옥사이드 층과 나이트라이드 층 (ONON) 또는 교번하는 실리콘 옥사이드 층과 폴리실리콘 층 (OPOP)) 의 상단 상의 보호용 하드 마스크를 포함한다. 스택 높이들의 증가로 인해, 하드 마스크 두께는 계속해서 증가한다. 일부 현재 적용 예들은 약 1.6 내지 2.2 ㎛의 AHM을 필요로 한다.
많은 적용 예들에 대해, 이용 가능한 AHM 기술은 상대적으로 밀도가 낮고 그리고/또는 수소 함량이 높은 막들로 제한된다. 저 밀도 또는 고 수소 함량을 갖는 것은 피처 에칭 동안 에칭 레이트를 상당히 상승시킨다.
막 두께가 두껍기 때문에, AHM들은 상대적으로 낮은, 예를 들어 중립 (neutral) 에 가깝게, -40 내지 -100 MPa인 내부 응력을 가져야 한다. 이 저 레벨의 응력은 상대적으로 낮은, 예를 들어 약 50 GPa인 모듈러스 (modulus) 에 상관된다. 이 레짐에서, AHM에서 약 50 %의 수소 함량의 감소는 약 22 %의 패턴 에칭 선택도의 개선을 제공한다는 것이 관찰되었다. 많은 AHM들은 종종 러더포드 후방 산란 (Rutherford Back Scattering; RBS)/수소 전방 산란 (Hydrogen Forward Scattering; HFS) 에 의해 측정될 때 약 10 내지 12 %의 수소 함량을 갖는다.
막의 일부 속성들, 증착 레이트, 응력 및 투명도를 좌우하는 (dictate) 마스크 두께에 대한 요구들과 함께, 이들 속성들의 어느 열화든지 에칭 선택도의 상당한 개선을 필요로 한다. 즉, 프로세스는 단지 수소 함량을 감소시키기 위해 증착 레이트를 희생할 수 없다. 즉, 증착 레이트를 감소시키거나 다른 막 속성들을 열화시키지 않고 이들 AHM 막들의 수소 함량을 감소시키는 것이 유리할 것이다.
증착 온도들의 범위에 걸쳐 탄소 막 또는 도핑된 탄소 막의 특성들을 개선하기 위한 시스템들 및 방법들이 본 명세서에 개시된다. 맥락상, AHM들은 종종 150 ℃ 내지 650 ℃에서 증착된다. 플라즈마 강화된 증착 동안 불활성 가스들과 플라즈마 가스 내의 탄소-함유 전구체 및 할로겐-함유 전구체의 적절한 (judicious) 조합을 통해, 에칭 속성들이 개선되고, 그리고/또는 막 내의 수소의 유효량이 감소된다. 일부 실시 예들에서, 플라즈마 강화된 증착 프로세스는 단일 주파수 또는 듀얼-주파수 플라즈마 소스를 채용하는 용량 커플링 플라즈마 (capacitively coupled plasma; CCP) 프로세스이다.
다양한 실시 예들은 할로겐 원자, 탄소 원자, 및 수소 원자를 함유하는 가스 전구체 종을 채용한다. 특히, 전구체 종은 할로겐-함유 전구체를 포함한다. 이러한 전구체는 (예를 들어, 할로카본과 함께) 프로세싱 챔버 내로 전달될 수 있거나, 대안적인 실시 예들에서, (예를 들어, 유기 반응 물질 및 할로겐-함유 반응 물질에 의해) 프로세싱 챔버 내에서 인 시츄 (in situ) 로 형성될 수 있다. 일부 이러한 프로세스들은 C2H2만을 채용하는 기준선 프로세스에 대해 약 25 내지 70 %의 할로겐 및/또는 수소 함량의 감소를 갖는 AHM을 제공한다. 그리고 이러한 AHM은 약 0 내지 21 at.% 함량의 (예를 들어, 수소 (H), 산소 (O), 질소 (N), 금속 (M), 및/또는 할로겐 (X) 을 포함하는) 말단 결합을 가질 수도 있다. 할로카본 증착된 AHM들의 일부 연구들은 C2H2 기준선과 비교할 때 에칭 레이트에서 8 내지 32 % 감소를 보여준다.
할로카본은 적어도 하나의 수소 원자 및 적어도 하나의 할로겐 원자를 갖는 하이드로카본일 수도 있다. 일부 구현 예들에서, 수소 및 할로겐 함량은 플라즈마 내로의 수소 (H2) 가스의 첨가에 의해 더 튜닝될 수도 있다. 이러한 프로세스들에서, 수소 종은 AHM에서 할로겐 종을 대체할 수도 있다. 일부 비제한적인 프로세스들에서, 수소 종 및 할로겐 종은 약 300 ℃미만의 온도들에서 표면에 제공될 수 있다. 부가적인 할로겐-함유 전구체들, 유기 반응 물질들, 및 할로겐-함유 반응 물질들이 본 명세서에 기술된다.
도 1은 에칭 동작들에서 하드 마스크로서 AHM을 사용하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도 (100) 이다. 이하의 기술은 주로 반도체 기판들을 참조하지만, 방법들은 또한 유리 기판들을 포함하는 다른 타입들의 기판들 상의 층들에 적용될 수도 있다. AHM으로 마스킹될 수도 있는 재료들의 예들은 옥사이드들 (예를 들어, SiO2) 및 나이트라이드들 (예를 들어, SiN 및 TiN), 폴리실리콘 (Poly-Si), 및 알루미늄 (Al), 구리 (Cu), 그리고 텅스텐 (W) 과 같은 금속들을 포함한다. 특정한 실시 예들에서, 본 명세서에 기술된 AHM들은 옥사이드들, 나이트라이드들, 또는 폴리실리콘 층들을 패터닝하도록 사용된다.
동작 (102) 에서, 애싱 가능한 하드 마스크는 플라즈마 강화된 화학적 기상 증착 (Plasma Enhanced Chemical Vapor Deposition; PECVD) 에 의해 에칭될 층 상에 증착된다. PECVD 프로세스들은 증착 챔버에서 플라즈마를 생성하는 것을 수반한다. 특정한 실시 예들에서, 고 주파수 (high frequency; HF) 전력 및 저 주파수 (low frequency; LF) 전력을 포함하는 듀얼 무선 주파수 (radio frequency; RF) 플라즈마 소스들이 사용될 수도 있다. 일부 프로세스들에서, 하나 이상의 AHM 층들이 증착된다.
동작 (104) 에서, 포토레지스트 층은 목표된 에칭 패턴에 따라 증착되고, 노출되고, 그리고 현상된다. 일부 구현 예들에서, 반사-방지 층 (Anti-Reflective Layer; ARL) 이 포토레지스트 증착 전에 AHM 막 상에 증착될 수도 있다.
동작 (106) 에서, AHM 막은 AHM의 노출된 부분들을 에칭함으로써 개방된다. AHM을 개방하는 것은 불소-풍부 (fluorine-rich) 건식 에칭에 의해 수행될 수도 있다.
다음에, 동작 (108) 에서, 기판 층은 패턴을 기판 층으로 전사하도록 선택적으로 에칭된다. 선택적인 에칭은 기판 층이 AHM 벽들을 실질적으로 감소시키지 않고 에칭되도록 수행될 수도 있다. 에칭의 예들은 라디칼-기반 에칭 및/또는 이온-기반 에칭을 포함할 수 있다. 에칭 화학 물질들의 예들은 불소-함유 에칭 화학 물질 및 염소-함유 에칭 화학 물질과 같은 할로겐-기반 에칭 화학 물질들을 포함할 수 있다. 예를 들어, 플루오로카본-함유 프로세스 가스들로부터 생성된 용량 커플링 플라즈마들은 옥사이드 층들을 선택적으로 에칭하도록 사용될 수도 있다. 프로세스 가스들의 구체적인 예들은 선택 가능하게 산소 (O2) 및 불활성 가스를 갖는, CxFy-함유 프로세스 가스들, 예컨대 C4H8/CH2F2/O2/Ar를 포함한다.
마지막으로, 동작 (110) 에서, 애싱, 플라즈마 애싱, 또는 건식 스트립핑으로 지칭되는 기법이 AHM을 제거하기 위해 사용된다. 애싱은 산소-풍부 건식 에칭에 의해 수행될 수도 있다. 종종, 산소는 진공 하의 챔버 내에 도입되고, 그리고 RF 전력은 AHM과 반응하고 AHM을 물 (H2O), 일산화탄소 (CO), 및 이산화탄소 (CO2) 로 산화시키기 위해 플라즈마에 산소 라디칼들을 생성한다. 선택 가능하게, 임의의 남아 있는 AHM 잔여물은 또한 애싱 후 습식 에칭 프로세스 또는 건식 에칭 프로세스에 의해 제거될 수도 있다. 결과는 패터닝된 기판 층이다.
HAR 에칭을 위한 깊이 및/또는 종횡비들이 상승함에 따라, AHM의 에칭 선택도 또는 두께가 또한 상승해야 한다. 에칭 선택도는 AHM 층의 에칭 레이트를 하부 층과 비교함으로써 결정될 수 있다. 에칭 선택도는 때때로 AHM 층의 수소 함량, 굴절률 (Refractive Index; RI, n), 흡광 계수 (k), 밀도, 및 모듈러스 또는 강도를 결정함으로써 근사화될 수 있다. 통상적으로, 더 낮은 수소 함량, 더 낮은 RI, 더 높은 밀도, 및 더 높은 모듈러스를 갖는 AHM은 이온 충격을 수반하는 에칭 프로세스에서 더 높은 에칭 레이트들을 견딜 수 있다. 따라서, 더 낮은 수소 함량, 더 낮은 RI, 더 높은 밀도, 및/또는 더 높은 모듈러스를 갖는 AHM들은 더 높은 선택도 및 더 낮은 에칭 레이트를 갖고, 따라서 HAR 반도체 프로세스들에 대해 더 효율적이고 효과적으로 사용될 수 있다. AHM의 목표된 에칭 선택도는 에칭 프로세스 및 하부 층들의 조성에 종속될 수도 있지만, 에칭 프로세스 또는 하부 층들의 조성에 관계 없이 에칭 선택도와 상기 재료 속성들 사이의 상관관계는 동일하게 유지된다. 본 명세서에 기술된 바와 같은 선택도 상관관계들은 폴리실리콘 층들, 옥사이드 층들, 및 나이트라이드 층들을 포함하는 모든 타입들의 하부 층들에 적용된다.
도 2a는 다양한 실시 예들에 따른 AHM들을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도 (200) 를 도시한다. 동작 (202) 에서 기판이 프로세싱 챔버에 수용된다. 기판은 이 동작에서 챔버에 제공될 수도 있고, 또는 기판은 이전 동작으로부터 이미 챔버 내에 있을 수도 있다.
동작 (204) 에서, 기판은 챔버로 전달되거나 챔버 내에서 인 시츄로 형성될 수 있는 할로겐-함유 전구체에 노출된다. 다음에, 동작 (206) 에서, 애싱 가능한 하드 마스크가 PECVD 프로세스에 의해 기판 상에 증착된다. PECVD 프로세스는 프로세싱 챔버 내에서 플라즈마를 생성하기 위해 단일 HF RF 플라즈마 소스 또는 듀얼 HF/LF RF 플라즈마 소스를 포함할 수 있다. 동작 (206) 의 결과는 AHM 막이다. 그 후, AHM 막은 선택 가능하게, 예컨대 막을 어닐링, 에칭, 및/또는 애싱함으로써 처리될 수 있다.
도 2b는 관련된 동작들을 도시하는 비제한적인 프로세스 흐름도 (210) 를 도시한다. 동작 (212) 에서 기판이 프로세싱 챔버에 수용된다. 동작 (214) 에서, 할로겐-함유 전구체가 챔버로 전달되고, 여기서 전구체는 전구체 및 불활성 캐리어 가스 (예를 들어, 본 명세서에 기술된 임의의 것) 를 포함하는 프로세스 가스로서 제공될 수 있다. 다음에, 동작 (216) 에서, 애싱 가능한 하드 마스크가 본 명세서에 기술된 바와 같이, PECVD 프로세스에 의해 기판 상에 증착된다.
본 명세서에 기술된 바와 같이, 할로겐-함유 전구체는 챔버 내에서 인 시츄로 형성될 수 있다. 도 2c에 도시된 바와 같이, 또 다른 비제한적인 프로세스 흐름도 (220) 는 프로세싱 챔버 내에서의 기판의 수용을 위한 동작 (222) 및 할로겐-함유 전구체의 인 시츄 형성을 위한 동작 (224) 을 도시한다. 동작 (224) 은 전구체를 형성하기 위해 반응할 수 있는 적어도 2 개의 반응 물질들의 전달을 포함할 수 있다. 일 예에서, 반응 물질들은 할로겐-함유 반응 물질 (예를 들어, HX, 여기서 X는 할로겐임) 과 함께 유기 반응 물질 (예를 들어, 알칸) 을 포함할 수 있다. 특히, 반응 물질들의 조합은 할로겐 원자들이 증착 종에 함유되도록 반응 물질들이 서로 반응하는 것을 보장하도록 선택된다. 이러한 반응 물질들은 별도의 프로세스 가스들로서 전달될 수 있다. 다음에, 동작 (226) 에서, 애싱 가능한 하드 마스크가 본 명세서에 기술된 바와 같이, PECVD 프로세스에 의해 기판 상에 증착된다.
본 명세서의 임의의 방법들은 하나 이상의 AHM 층들을 포함하는 다층 스택을 포함하는 AHM을 제공하도록 사용될 수 있다. 예를 들어, 다층 스택은 적어도 하나의 층이 AHM 층인 복수의 층들을 포함할 수 있다. 일부 실시 예들에서, 적어도 하나의 층은 할로겐-함유 전구체를 사용함으로써 형성된다. 다른 실시 예들에서, 적어도 하나의 층은 본 명세서에 기술된 전구체 또는 반응 물질, 예컨대, 할로겐-함유 전구체, 하이드로카본 전구체, 유기 반응 물질, 할로겐-함유 반응 물질, 순수 하이드로카본 반응 물질, 할로카본 반응 물질, 이탈기를 갖는 하이드로카본 반응 물질, 지방족 모이어티, 방향족 모이어티, 카보사이클, 헤테로사이클, 원소 할로겐, 수소 가스, 금속-함유 반응 물질, 또는 이들 중 임의의 조합을 사용하여 형성된다.
다층 스택을 위한 층들의 비제한적인 예들은 AHM 층, 탄소-함유 층, 금속-도핑된 탄소-함유 층, 실리콘-함유 층, 유전체 층, 금속 층, 카바이드 층, 나이트라이드 층, 옥시나이트라이드 층, 옥시카바이드 층, 금속 카바이드 층, 금속 나이트라이드 층, 또는 기타 층들 중 임의의 하나 이상을 포함할 수 있다. 층들의 또 다른 비제한적인 예들은 탄소 (예를 들어, 비정질 탄소), 금속-도핑된 탄소, 카바이드, 실리콘 나이트라이드, 실리콘 다이옥사이드 (SiO2), 실리콘 옥시나이트라이드, 실리콘 카바이드, 실리콘 옥시카바이드, 티타늄 나이트라이드, 텅스텐 나이트라이드, 탄탈룸 카바이드, 폴리실리콘 (Poly-Si), 알루미늄, 구리, 및 텅스텐을 포함할수 있고, 이들의 비정질 형태, 수소화된 형태, 도핑되지 않은 형태, 및/또는 도핑된 형태를 포함한다.
다층 스택은 선택 가능하게 제 1 층과 제 2 층의 교번하는 층들을 포함할 수 있다. 일부 실시 예들에서, 제 1 층 또는 제 2 층 중 적어도 하나는 할로겐을 포함한다. 다른 실시 예들에서, 제 1 층 또는 제 2 층 중 적어도 하나는 할로겐-함유 전구체를 사용함으로써 형성된다. 또 다른 실시 예들에서, 제 1 층은 (예를 들어, 본 명세서의 실시 예 1 내지 실시 예 15 중 임의의 실시 예에서) 제 1 할로겐-함유 전구체를 사용함으로써 형성되고, 그리고 제 2 층은 또 다른 전구체 또는 반응 물질 (예를 들어, 본 명세서에 기술된 임의의 전구체 또는 반응 물질, 예컨대, 제 2 할로겐-함유 전구체, 하이드로카본 전구체, 유기 반응 물질, 할로겐-함유 반응 물질, 순수 하이드로카본 반응 물질, 할로카본 반응 물질, 이탈기를 갖는 하이드로카본 반응 물질, 지방족 모이어티, 방향족 모이어티, 카보사이클, 헤테로사이클, 원소 할로겐, 수소 가스, 금속-함유 반응 물질, 또는 이들 중 임의의 조합) 을 사용함으로써 형성된다.
프로세스 메커니즘
증착 동안 수소 게터 (hydrogen getter) 로서 할로겐 원자의 존재는 더 낮은 수소 함량을 갖는 AHM 막을 제공한다. 메커니즘에 의해 제한되지 않길 바라며, 이러한 원자들을 수반하는 2 개의 프로세스들 하나는 가스상 (gas phase) 프로세스 그리고 또 다른 하나는 증착된 고체상 (solid phase) 프로세스가 있는 것으로 보인다.
가스상에서, 할로겐 원자들은 일부 탄소-함유 전구체 단편들 (fragments) 에서 수소 원자들을 대체할 수도 있다. 할로겐-함유 가스상 종은, (전체적으로 더 높은 할로겐 함량을 갖는 막을 발생시키는) 할로겐 원자들을 제거하는 것이 더 어려운 증착된 막 내로 이온들이 깊이 가속될 수도 있기 때문에, 이온들보다는 바람직하게 라디칼들이다. 더욱이, 이들 할로겐-함유 종은 플라즈마 가스로부터 수소 라디칼들을 격리할 (sequester) 수 있고, 이에 따라 막 내 유효한 수소 함량을 낮추고 증착 레이트를 상승시킨다. 예를 들어, H 라디칼들은 막 성장 동안 경쟁적인 (competitive) 에칭 프로세스를 생성하고, 그리고 총 증착 레이트는 H 라디칼 에칭 레이트와 하이드로카본 라디칼 증착 레이트 사이의 차이다.
가스상 메커니즘들과 별도로, 할로겐 및/또는 수소가 성장하는 막으로부터 추출되는 증착된 고체상 메커니즘이 있다. 결합 에너지 고려 사항들로 인해, 성장하는 막의 탄소 매트릭스로부터 수소보다 할로겐을 추출하는 것이 유리하다. 따라서, 할로겐 원자들로 대체된 일부 수소 원자들을 갖는 전구체들을 채용하는 것은 막 내 결합된 수소 및 할로겐의 순 환원 (net reduction) 을 생성한다. 이들 두 메커니즘들의 순 영향 (net impact) 은 더 낮은 수소 함량이다.
증착하는 종에서 할로겐 첨가의 부가적인 이점은 C-X (여기서 X는 할로겐임) 결합들이 대부분의 C-H 결합들보다 더 동역학적으로 이용 가능하고, 따라서 열 에너지로 하여금 더 신속하게 그리고 더 낮은 온도들에서 할라이드 함량을 하락시키게 (drive down) 하는 것일 수도 있다. 말단 결합 종, C-H 또는 C-X의 이러한 환원은 순수 하이드로카본 전구체, 예를 들어, C2H2 또는 C3H6에 의한 현재 기술 수준 (current state of the art) 과 동일하거나 더 높은 증착 레이트 및 유사한 응력 대 모듈러스 비를 나타낸다.
특정한 실시 예들에서, AHM 막은 더 빠른 증착 레이트 및 비슷한 응력 대 모듈러스 비를 갖는 더 낮은 수소 함량 (예를 들어, 약 15 at.% 미만 또는 심지어 약 1 at.% 미만) 을 포함한다. 이러한 막들은 개선된 에칭 레이트들, 예를 들어, C2H2 기준선 (예를 들어, 650 ℃에서 C2H2 또는 C3H6로 증착된 AHM) 에 비해 8 내지 30 %의 개선을 갖고 패턴 에칭 레이트들과 상당히 (well) 상관되는 블랭킷 에칭 레이트들을 나타낼 수 있다.
이러한 이점들을 얻기 위해, 할로겐 원자는 증착 종으로서 참여해야 (participate) 한다. 예를 들어, 할로겐-함유 전구체는, 직접 전달되든 또는 인 시츄로 형성되든, 증착 종으로서 참여해야 한다. 메커니즘에 의해 제한되지 않길 바라며, 할라이드 라디칼에 의한 수소 추출 (abstraction) 메커니즘의 부가는 전구체가 부가적인 비증착 종으로서가 아니라 추출할 수 있는 종을 함유할 때에만 액세스 가능할 수도 있다. 증착은 할로겐-함유 전구체에 의해 단독으로 또는 순수 하이드로카본 전구체, 예를 들어 C2H2와 함께 흘러 (co-flow) 발생할 수 있다. 막 수소 함량 개선은 단일 고 주파수 RF 및 듀얼 고 주파수 RF 셋업 타입 및 저 주파수 RF 셋업 타입 모두에 대해 발생할 것이다.
본 명세서의 프로세스들 및 이들의 결과적인 막들은 대부분 C2H2을 함유하는 또는 C2H2만을 함유하는 전구체로 증착된 AHM과 같은 임의의 유용한 기준선과 비교될 수 있다. 일 실시 예에서, 본 개시의 AHM 막은 본 명세서에 기술된 임의의 전구체 또는 반응 물질과 함께 (예를 들어, 단락 [0088] 내지 단락 [0124]에서와 같이) 본 명세서에 기술된 프로세스를 채용한다. 특정한 실시 예들에서, 본 개시의 AHM 막은 C2H2 기준선의 총 수소 (H) 함량과 비교하여 감소된 수소 및 할로겐 (H + X) 함량을 나타낸다. 다른 실시 예들에서, 본 개시의 AHM 막은 C2H2 기준선의 총 H 함량과 비교하여 감소된 H 함량을 나타낸다. 이러한 감소는 C2H2 기준선의 총 H 함량과 비교하여, 약 10 % 내지 65 % (예를 들어, 약 10 % 내지 20 %, 10 % 내지 25 %, 10 % 내지 30 %, 10 % 내지 35 %, 10 % 내지 40 %, 10 % 내지 45 %, 10 % 내지 50 %, 10 % 내지 55 %, 10 % 내지 60 %, 15 % 내지 20 %, 15 % 내지 25 %, 15 % 내지 30 %, 15 % 내지 35 %, 15 % 내지 40 %, 15 %내지 45 %, 15 %내지 50 %, 15 %내지 55 %, 15 %내지 60 %, 15 %내지 65 %, 20 %내지 25 %, 20 %내지 30 %, 20 %내지 35 %, 20 % 내지 40 %, 20 % 내지 45 %, 20 % 내지 50 %, 20 % 내지 55 %, 20 % 내지 60 %, 또는 20 % 내지 65 %) 의 감소를 포함할 수 있다. 이러한 프로세스들은 임의의 유용한 증착 온도 (예를 들어, 80 ℃ 내지 750 ℃뿐만 아니라 본 명세서에 기술된 다른 온도 범위들) 에서 CVD 또는 PECVD를 포함하는 기상 증착을 포함할 수 있다.
일 비제한적인 실시 예에서, 할로겐-함유 전구체에 의한 증착은 C2H2 기준선의 총 H 함량의 백분율로 표현된 바와 같이, 수소 및 할로겐 (H + X) 함량의 20 %에서 80 %까지의 감소를 제공한다. 특정한 실시 예들에서, 30 %에서 80 %까지의 H 함량의 감소가 관찰된다. 다른 실시 예들에서, H + X 함량의 감소 또는 H 함량의 감소는 동일한 온도에서 C2H2에 의해 증착된 AHM과 비교하여, 약 500 ℃ 초과 또는 약 500 ℃ 내지 750 ℃의 증착 온도에서 관찰된다. 특정한 실시 예들에서, 증착은 PECVD 프로세스에 의해 발생한다.
일 비제한적인 실시 예에서, 모든 온도들에서 그리고 C2H2 기준선과 유사한 프로세싱 조건들 하에서, 본 개시의 AHM 막을 제공하는 할로겐-함유 전구체에 의한 증착 레이트는 C2H2 기준선의 증착 레이트보다 더 빠르거나 비슷할 수 있다. 일부 실시 예들에서, 본 개시의 AHM 막의 전체 응력 대 모듈러스 비는 C2H2 기준선과 동일하다. 일부 실시 예들에서, 본 개시의 AHM 막은 C2H2 기준선과 비교하여 더 높은 응력 및 더 높은 모듈러스를 갖는다.
본 명세서의 프로세스는 H 및/또는 X 함량 (예를 들어, 온도, RF 조건들, 등) 을 증가시키지 않고 비슷한 레벨들로 응력을 감소시키도록 더 튜닝될 수 있다. 일 실시 예에서, 응력은 프로세스의 총 압력을 상승시키고, LF를 감소시키고, 그리고/또는 증착 종, 예컨대, 할로겐-함유 전구체, 하이드로카본 전구체, 유기 반응 물질, 할로겐-함유 반응 물질, 순수 하이드로카본 반응 물질, 할로카본 반응 물질, 이탈기를 갖는 하이드로카본 반응 물질, 지방족 모이어티, 방향족 모이어티, 카보사이클, 헤테로사이클, 원소 할로겐, 수소 가스, 금속-함유 반응 물질, 또는 이들 중 임의의 조합의 분압을 상승시킴으로써 감소될 수 있다.
특정한 비제한적인 실시 예들에서, 본 명세서에 기술된 AHM 막은 10 at.%, 8 at.%, 5 at.%, 또는 3 at.% 미만인 H + X 함량을 갖는다. 다른 실시 예들에서, 모든 온도 조건들에 걸쳐, 할로겐-함유 전구체는 C2H2 기준선보다 더 낮은 H 및 X 함량을 갖는 AHM 막을 제공한다.
더욱이, 증착 동안 수소 (H2) 가스의 사용은 특정한 온도 범위들 (예를 들어, 300 ℃ 이하의 온도) 의 막 내 할로겐 함량을 낮출 수 있다. 이러한 프로세스는 플라즈마 내로의 H2 가스의 첨가를 포함할 수 있고, 이는 수소 종으로 하여금 AHM의 할로겐 종을 대체하게 할 수도 있다. 이러한 방식으로, H2 가스를 플라즈마에 포함시킴으로써 할로겐 함량이 막 내에서 감소될 수 있다.
본 개시의 AHM 막들은 개선된 에칭 레이트들을 나타낼 수 있다. 일부 실시 예들에서, 본 개시의 AHM 막은 C2H2 기준선과 비교하여 약 5 % 내지 40 % (예를 들어, 약 5 % 내지 10 %, 5 % 내지 20 %, 5 % 내지 30 %, 7 % 내지 10 %, 7 % 내지 20 %, 7 % 내지 30 %, 7 % 내지 40 %, 10 % 내지 20 %, 10 % 내지 30 %, 10 % 내지 40 %, 15 % 내지 20 %, 15 % 내지 30 %, 또는 15 % 내지 40 %) 의 개선된 에칭 레이트를 제공한다. 표준 실리콘 옥사이드 로직 에칭이 테스트 수단 (test vehicle) 으로 사용될 수 있다.
프로세스 윈도우
이 섹션은 AHM 막들을 생성하도록 채용될 수도 있는 다양한 프로세스 파라미터들을 기술한다. 프로세스 파라미터들은 이하에 기술된 바와 같은 프로세싱 챔버에서 발생하는 PECVD 프로세스를 위해 제공된다.
본 명세서에 기술된 AHM 막 증착 방법들은, 목표된 AHM 특성들을 얻기 위해, 약 80 ℃ 내지 750 ℃, 80 ℃ 내지 700 ℃, 80 ℃ 내지 650 ℃, 80 ℃ 내지 600 ℃, 80 ℃ 내지 550 ℃, 80 ℃ 내지 500 ℃, 80 ℃ 내지 450 ℃, 80 ℃ 내지 400 ℃, 80 ℃ 내지 350 ℃, 80 ℃ 내지 300 ℃, 80 ℃ 내지 250 ℃, 80 ℃ 내지 200 ℃, 80 ℃ 내지 150 ℃, 80 ℃ 내지 100 ℃, 85 ℃ 내지 750 ℃, 85 ℃ 내지 700 ℃, 85 ℃ 내지 650 ℃, 85 ℃ 내지 600 ℃, 85 ℃ 내지 550 ℃, 85 ℃ 내지 500 ℃, 85 ℃ 내지 450 ℃, 85 ℃ 내지 400 ℃, 85 ℃ 내지 350 ℃, 85 ℃ 내지 300 ℃, 85 ℃ 내지 250 ℃, 85 ℃ 내지 200 ℃, 85 ℃ 내지 150 ℃, 85 ℃ 내지 100 ℃, 90 ℃ 내지 750 ℃, 90 ℃ 내지 700 ℃, 90 ℃ 내지 650 ℃, 90 ℃ 내지 600 ℃, 90 ℃ 내지 550 ℃, 90 ℃ 내지 500 ℃, 90 ℃ 내지 450 ℃, 90 ℃ 내지 400 ℃, 90 ℃ 내지 350 ℃, 90 ℃ 내지 300 ℃, 90 ℃ 내지 250 ℃, 90 ℃ 내지 200 ℃, 90 ℃ 내지 150 ℃, 90 ℃ 내지 100 ℃, 95 ℃ 내지 750 ℃, 95 ℃ 내지 700 ℃, 95 ℃ 내지 650 ℃, 95 ℃ 내지 600 ℃, 95 ℃ 내지 550 ℃, 95 ℃ 내지 500 ℃, 95 ℃ 내지 450 ℃, 95 ℃ 내지 400 ℃, 95 ℃ 내지 350 ℃, 95 ℃ 내지 300 ℃, 95 ℃ 내지 250 ℃, 95 ℃ 내지 200 ℃, 95 ℃ 내지 150 ℃, 95 ℃ 내지 100 ℃, 100 ℃ 내지 750 ℃, 100 ℃ 내지 700 ℃, 100 ℃ 내지 650 ℃, 100 ℃ 내지 600 ℃, 100 ℃ 내지 550 ℃, 100 ℃ 내지 500 ℃, 100 ℃ 내지 450 ℃, 100 ℃ 내지 400 ℃, 100 ℃ 내지 350 ℃, 100 ℃ 내지 300 ℃, 100 ℃ 내지 250 ℃, 100 ℃ 내지 200 ℃, 150 ℃ 내지 750 ℃, 150 ℃ 내지 700 ℃, 150 ℃ 내지 650 ℃, 150 ℃ 내지 600 ℃, 150 ℃ 내지 550 ℃, 150 ℃ 내지 500 ℃, 150 ℃ 내지 450 ℃, 150 ℃ 내지 400 ℃, 150 ℃ 내지 350 ℃, 150 ℃ 내지 300 ℃, 150 ℃ 내지 250 ℃, 150 ℃ 내지 200 ℃, 200 ℃ 내지 750 ℃, 200 ℃ 내지 700 ℃, 200 ℃ 내지 650 ℃, 200 ℃ 내지 600 ℃, 200 ℃ 내지 550 ℃, 200 ℃ 내지 500 ℃, 200 ℃ 내지 450 ℃, 200 ℃ 내지 400 ℃, 200 ℃ 내지 350 ℃, 200 ℃ 내지 300 ℃, 200 ℃ 내지 250 ℃, 250 ℃ 내지 750 ℃, 250 ℃ 내지 700 ℃, 250 ℃ 내지 650 ℃, 250 ℃ 내지 600 ℃, 250 ℃ 내지 550 ℃, 250 ℃ 내지 500 ℃, 250 ℃ 내지 450 ℃, 250 ℃ 내지 400 ℃, 250 ℃ 내지 350 ℃, 250 ℃ 내지 300 ℃, 300 ℃ 내지 750 ℃, 300 ℃ 내지 700 ℃, 300 ℃ 내지 650 ℃, 300 ℃ 내지 600 ℃, 300 ℃ 내지 550 ℃, 300 ℃ 내지 500 ℃, 300 ℃ 내지 450 ℃, 300 ℃ 내지 400 ℃, 300 ℃ 내지 350 ℃, 350 ℃ 내지 750 ℃, 350 ℃ 내지 700 ℃, 350 ℃ 내지 650 ℃, 350 ℃ 내지 600 ℃, 350 ℃ 내지 550 ℃, 350 ℃ 내지 500 ℃, 350 ℃ 내지 450 ℃, 350 ℃ 내지 400 ℃, 400 ℃ 내지 750 ℃, 400 ℃ 내지 700 ℃, 400 ℃ 내지 650 ℃, 400 ℃ 내지 600 ℃, 400 ℃ 내지 550 ℃, 400 ℃ 내지 500 ℃, 400 ℃ 내지 450 ℃, 450 ℃ 내지 750 ℃, 450 ℃ 내지 700 ℃, 450 ℃ 내지 650 ℃, 450 ℃ 내지 600 ℃, 450 ℃ 내지 550 ℃, 450 ℃ 내지 500 ℃, 500 ℃ 내지 750 ℃, 500 ℃ 내지 700 ℃, 500 ℃ 내지 650 ℃, 500 ℃ 내지 600 ℃, 500 ℃ 내지 550 ℃, 550 ℃ 내지 750 ℃, 550 ℃ 내지 700 ℃, 550 ℃ 내지 650 ℃, 550 ℃ 내지 600 ℃, 600 ℃ 내지 750 ℃, 600 ℃ 내지 700 ℃, 600 ℃ 내지 650 ℃, 650 ℃ 내지 750 ℃, 650 ℃ 내지 700 ℃, 또는 700 ℃ 내지 750 ℃ 범위의 페데스탈의 예시적인 온도를 갖는, 임의의 적절한 프로세스 온도에서 수행될 수도 있다.
더 높은 온도들, 예를 들어, 약 200 ℃ 또는 300 ℃ 초과에서 AHM을 증착하는 것은 AHM의 에칭 선택도를 개선할 수도 있다. 프로세스 온도는 적어도 부분적으로 sp2 결합 대 sp3 결합 형성으로 인해 응력, 선택도, 및 투명도에 영향을 줄 수 있다. 고온이 C-H 결합들의 용이한 파괴 및 수소의 후속 확산을 가능하게 하기 때문에, 더 높은 온도들은 sp2 풍부 비정질 탄소 네트워크 형성에 유리하다. 예를 들어, 약 200 ℃ 이상의 온도에서 증착된 막들은 상당히 더 많은 sp2 CH 및 CH2 결합들 및 상대적으로 더 적은 sp3 결합들을 가질 수도 있고, 이는 증가된 탄소 함량 및 더 높은 밀도를 갖고, 상승된 에칭 선택도와 상관된다. 더 낮은 온도들, 예를 들어, 약 175 ℃ 미만에서 증착된 AHM 막들은 더 높은 온도들에서 증착된 막들과 비교하여 더 적은 sp2 결합을 가질 수도 있다.
다양한 실시 예들에서, 프로세싱 챔버, 기판 홀더, 및/또는 페데스탈의 온도는 적어도 약 50 ℃일 수 있다. 다른 실시 예들에서, 온도는 적어도 약 500 ℃ 또는 약 80 ℃ 내지 750 ℃, 약 80 ℃ 내지 650 ℃ 또는 약 550 ℃ 내지 650 ℃의 범위 내이다. 프로세싱 챔버 내 총 압력은 약 1 내지 10 Torr 또는 약 5 Torr일 수 있다.
프로세싱 챔버 내로의 전구체 전달은 하나 이상의 가스 유입구들을 사용함으로써 수행될 수 있다. 전달은 단일 프로세스 가스가 불활성 가스와 함께 할로겐-함유 전구체를 전달하도록 사용될 수 있는, 하나 이상의 프로세스 가스들의 사용을 포함할 수 있다. 다른 실시 예들에서, 복수의 프로세스 가스들, 예컨대 제 1 불활성 가스와 함께 유기 반응 물질을 갖는 제 1 프로세스 가스, 뿐만 아니라 제 2 불활성 가스와 함께 할로겐-함유 반응 물질을 갖는 제 2 프로세스 가스가 채용될 수 있다. 챔버 내로의 전달 시, 유기 반응 물질 및 할로겐-함유 반응 물질은 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다.
전구체 종 (예를 들어, 할로겐-함유 전구체, 유기 반응 물질, 또는 할로겐-함유 반응 물질) 의 전달에 더하여, 프로세스 가스는 다른 화합물들을 포함할 수 있다. 이러한 화합물들은 수소 (H2) 가스, 하이드로카본 전구체 (예를 들어, 하이드로카본, 예컨대 C2H2 및 할로겐화되거나 비할로겐화될 수 있는 본 명세서에 기술된 임의의 것), 및/또는 금속-함유 반응 물질 (예를 들어, W, Mo, Hf, B, Ru, 또는 Co) 를 포함할 수 있고, 여기서 프로세스 가스로서 전달은 하나 이상의 불활성 가스들을 포함할 수 있다.
일부 실시 예들에서, 불활성 가스는 사용된 모든 불활성 가스의 체적으로 적어도 약 10 % 또는 적어도 약 80 % 또는 적어도 약 95 %를 포함한다. 다른 실시 예들에서, 불활성 가스는 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 질소 (N2), 크립톤 (Kr), 크세논 (Xe), 또는 이들의 임의의 조합이다.
전구체 가스 체적 플로우 레이트들은 특정한 프로세싱 챔버, 기판, 및 다른 프로세스 조건들에 종속된다. 단일 300 ㎜ 기판에 사용될 수도 있는 체적 플로우 레이트들의 예들은 전구체 종 및 불활성 가스의 총 체적 플로우의 약 200 내지 5500 sccm, 약 300 내지 2500 sccm, 또는 약 400 sccm 내지 2000 sccm이다. 일부 실시 예들에서, 체적 유량은 불활성 가스에 대해 약 300 내지 5000 sccm 또는 약 400 내지 2000 sccm이고; 그리고 전구체 종 (예를 들어, 할로겐-함유 전구체, 하이드로카본 전구체, 유기 반응 물질, 또는 할로겐-함유 반응 물질) 에 대해 약 1 내지 500 sccm 또는 약 20 내지 100 sccm이다.
전구체 종과 불활성 가스 사이의 비는 약 0.01 내지 약 0.5일 수도 있다. 일부 실시 예들에서, 할로겐 함유 종의 플로우 레이트는 총 플로우 레이트의 약 1 % 내지 약 50 %이고 그리고 불활성 가스는 총 플로우 레이트의 나머지를 구성한다. 다른 실시 예들에서, 하이드로카본 전구체 (예를 들어, C2H2) 를 갖는 할로겐 함유 종의 플로우 레이트는 총 플로우 레이트의 약 1 % 내지 약 50 %이고 그리고 불활성 가스는 총 플로우 레이트의 나머지를 구성한다.
부가적인 화합물들은 약 0 내지 500 sccm, 1 내지 500 sccm, 0 내지 250 sccm, 1 내지 250 sccm, 약 0 내지 50 sccm, 또는 약 5 내지 50 sccm인 체적 유량으로 제공될 수 있다. 예를 들어, 수소 (H2) 가스, 하이드로카본 전구체 (예를 들어, C2H2와 같은 하이드로카본), 및/또는 금속-함유 반응 물질이 이러한 플로우 레이트들로 제공될 수 있다. 일 실시 예에서, 수소 가스는 0 내지 500 sccm 또는 1 내지 500 sccm의 플로우 레이트로 제공된다. 또 다른 실시 예에서, 금속-함유 반응 물질은 0 내지 250 sccm 또는 1 내지 250 sccm의 플로우 레이트로 제공된다. 달리 명시되지 않는 한, 본 명세서에 개시된 플로우 레이트들은 300 ㎜ 웨이퍼들을 위해 구성된 단일 스테이션 툴에 대한 것이다. 플로우 레이트들은 일반적으로 스테이션들의 수 및 기판 면적에 따라 선형으로 스케일링된다.
어닐링은 약 500 ℃ 이상의 온도들에서 수행될 수도 있다. 어닐링은 막의 수소 함량을 감소시키기 위해 수행될 수도 있다.
일부 실시 예들에서, 저 주파수 (LF) RF 전력은 약 200 ㎑ 내지 2 ㎒의 주파수를 갖는 RF 전력을 지칭한다. 일부 실시 예들에서, LF RF 전력은 약 400 ㎑의 주파수를 갖는다. 고 주파수 RF 전력은 약 2 ㎒ 내지 약 100 ㎒의 주파수를 갖는 RF 전력을 지칭한다. 일부 실시 예들에서, HF RF 전력은 약 60 ㎒의 주파수, 약 27 ㎒의 주파수, 또는 약 13.56 ㎒의 주파수를 갖는다.
RF 동작은 연속파 모드 또는 펄싱 모드를 포함할 수 있다. 펄싱은 HF 컴포넌트 및 LF 컴포넌트의 동기화된 펄싱, LF 컴포넌트의 연속파와 HF 컴포넌트의 펄싱, 또는 LF 컴포넌트의 펄싱과 HF 컴포넌트의 연속파를 포함할 수 있다. 여기서, 펄싱은 펄싱 사이클의 "오프" 부분 동안 0 W에서 HF 및/또는 LF의 전력을 갖는 것을 지칭한다.
일부 실시 예들에서, HF 전력은 300 ㎜ 웨이퍼 당 약 10 W 내지 8000 W일 수도 있다. 다른 실시 예들에서, LF 전력은 300 ㎜ 웨이퍼 당 0 W 내지 4000 W로 가변할 수도 있다. LF 또는 HF 플라즈마는 단독으로 사용될 수도 있다. 다른 실시 예들에서, LF 컴포넌트 및 HF 컴포넌트가 모두 존재하고, HF 전력은 약 10 W 내지 8000 W이고 그리고 LF 전력은 약 4000 W 이하일 수도 있다.
많은 실시 예들에서, HF RF 컴포넌트의 최소 전력 및 LF RF 컴포넌트의 최소 전력은 플라즈마를 유지하기에 충분하다. 본 명세서에 제공된 모든 전력들은 300 ㎜ 기판 당이다. 본 명세서에 기술된 바와 같은 RF 전력은 일반적으로 스테이션들의 수 및 웨이퍼들의 면적에 따라 선형으로 스케일링된다. 전력 값들은 면적 당 기준으로 나타낼 수도 있고, 예를 들어, 2500 W는 또한 300 ㎜ 웨이퍼에 대해 0.884 W/㎠로 나타낼 수도 있다.
일부 실시 예들에서, 기판은 프로세싱 챔버 내의 페데스탈 상에 그리고 페데스탈과 샤워헤드 사이에 배치된다. 페데스탈과 샤워헤드 사이의 갭은 0.1 L 내지 2 L의 체적들을 포함할 수 있지만 이로 제한되지 않는 마이크로볼륨 및/또는 0.2 인치 내지 2.5 인치 또는 심지어 6 인치까지의 거리들을 포함할 수 있지만 이로 제한되지 않는 거리를 특징으로 할 수 있다.
본 명세서의 일부 프로세스들에서 AHM 막은 적어도 약 200 Å/min 또는 적어도 약 400 Å/min 또는 약 600 Å/min 또는 약 1000 Å/min의 레이트로 증착된다.일부 실시 예들에서, AHM 막은 약 200 Å/min 내지 약 5000 Å/min의 레이트로 증착된다.AHM 막의 증착 레이트는 LF 전력 및 HF 전력, 챔버 압력, 프로세스 온도, 및 전구체 종에 종속될 수도 있다.
프로세스 가스 및 할로겐 함유 전구체들의 조성
본 명세서의 실시 예들의 방법들 및 장치들은 할로겐-함유 전구체를 채용한다. 이러한 전구체는 챔버 내에서 할로겐-함유 전구체를 인 시츄로 형성하도록 함께 반응할 수 있는 반응 물질들을 포함하는, 본 명세서에 기술된 임의의 전구체를 포함할 수 있다.
본 명세서에 사용된 바와 같이, 전구체 종은 AHM 막을 형성하는 화합물들을 광범위하게 포괄하도록 사용된다. 따라서, 전구체 종은 할로겐 함유 전구체, 유기 반응 물질, 할로겐 함유 반응 물질, 순수 하이드로카본 반응 물질, 할로카본 반응 물질, 이탈기를 갖는 하이드로카본 반응 물질, 하이드로카본 전구체, 또는 금속 함유 반응 물질을 포함할 수 있다. 이들 종 각각은 불활성 가스 (예를 들어, He, Ne, Ar, N2, Kr, Xe, 또는 이들의 임의의 조합과 같은, 본 명세서에 기술된 임의의 가스) 를 갖는 프로세스 가스로서 제공될 수 있다.
전구체 종은 하나 이상의 화합물들을 포함할 수 있고, 이는 본 명세서에 기술된 임의의 전구체 종이 단독으로 또는 조합하여 사용될 수 있다는 것을 의미한다. 이러한 조합은 프로세싱 챔버 내로 순차적으로 또는 동시에 도입되는 동일한 프로세스 가스 내에 또는 상이한 프로세스 가스들에 제공될 수 있다. 일부 실시 예들에서, 전구체 종은 하나 이상의 화합물들에 (선택 가능한 다른 헤테로원자들과 함께) 할로겐 원자, 탄소 원자, 및 수소 원자를 집합적으로 포함한다. 다른 실시 예들에서, 전구체 종은 불활성 가스 또는 H2 가스와 함께 사용될 수 있다.
실시 예 1에서, 전구체 종은 적어도 하나의 수소 원자 및 적어도 하나의 할로겐 원자를 포함할 수 있다. 특정한 실시 예들에서, 이러한 전구체 종은 할로겐-함유 전구체이다. 일부 실시 예들에서, 전구체 종은 적어도 약 1:3 또는 가능하게 1:0만큼 높은; 또는 약 1:3 내지 8:1 또는 약 1:2 내지 2:1인 탄소 원자들 대 수소 원자들의 비 (C:H 비) 를 특징으로 한다. 다른 실시 예들에서, 전구체 종은 적어도 약 1:20 또는 가능하게 1:0만큼 높은; 또는 약 17:1 내지 1:17 또는 약 3:1 내지 1:3인 할로겐 원자들 대 수소 원자들의 비 (X:H 비) 를 특징으로 한다. 할로겐 원자들은 F, Cl, Br, 및/또는 I을 포함할 수 있다.
전구체 종은 화합물 각각에서 다수의 탄소 원자들을 특징으로 할 수 있다. 일부 실시 예들에서, 전구체 종은 1 내지 8 개의 탄소 원자들을 갖는다. 다른 실시 예들에서, 전구체 종은 C1-8 알킬, C2-8 알케닐, C2-8 알키닐, C5-8 아릴, C1-8 할로알킬, C2-8 할로알케닐, C2-8 할로알키닐, 또는 C5-8 할로아릴을 포함한다.
전구체 종은 임의의 유용한 부류의 화합물들을 포함할 수 있다. 특정한 구현 예에서, 전구체 종은 직쇄 또는 분지쇄 지방족 모이어티, 방향족 모이어티, 지환족 모이어티, 하나 이상의 할로 치환들을 포함하는 다중 지환족 (multicycloaliphatic) 모이어티이거나 이를 포함할 수 있다.
비제한적인 지방족 모이어티들은 C1-10 지방족 또는 C1-8 지방족 모이어티들을 포함할 수 있다. 지방족 모이어티의 실시 예들은 알칸들, 알켄들, 알킨들, 방향족 화합물들, 지환족 화합물들, 및 다중 지환족 화합물들을 포함할 수 있다. 이러한 모이어티들은 sp2 탄소, sp3 탄소, 분지형 부분, 비분지형 부분, 또는 분지형 부분과 비분지형 부분 모두에 제공된 하나 이상의 할로 치환들을 포함할 수 있다. 적어도 하나의 할로 치환이 존재할 때, 이러한 전구체 종은 본 명세서에 기술된 바와 같은 할로카본 (예를 들어, 화합물은 하나 이상의 할로 원자들 및 하나 이상의 탄소 원자들을 가짐), 할로지방족, 할로알칸, 할로알켄, 할로알킨, 할로방향족, 또는 할로카보사이클일 수 있다.
알칸들은 직쇄 알칸들 또는 분지쇄 알칸들을 포함할 수 있다. 이러한 알칸들은 일 타입의 할로 원자로 치환될 수 있다 (또는 모노-할로 치환됨). 예를 들어, 모노-불소 치환된 알칸은 할로겐 원자로서 불소만을 포함하지만, 하나 이상의 불소 원자들이 알칸 내에 존재할 수 있다. 비제한적인 모노-할로겐 치환된 직쇄 알칸들은 순수 할로카본 (예를 들어, CaXb, 여기서 X는 할로이고, a가 1 내지 10이고, 그리고 b가 2a + 2임; 예컨대 CF4, CCl4, CBr4, 또는 CI4), 1,2-디플루오로에탄, 1,1-디플루오로에탄, 1-플루오로프로판, 2-플루오로프로판, 1,2-디클로로에탄, 1,1-디클로로에탄, 1-클로로프로판, 2-클로로프로판, 1,2-디브로모에탄, 1,1-디브로모에탄, 1-브로모프로판, 2-브로모프로판, 1,2-디아이오드에탄, 1,1-디아이오드에탄, 1-아이오드프로판, 또는 2-아이오드프로판을 포함한다. 특정한 실시 예들에서, 직쇄 알칸들은 사슬 (chain) 의 말단 탄소 원자들 중 하나 또는 모두에 할로 치환을 포함한다.
분지쇄 알칸들은 분지형 부분 또는 비분지형 부분 또는 분지형 부분 및 비분지형 부분 모두에 할로 치환들을 포함할 수 있다. 비제한적인 분지형 알칸들은 tert-부틸 플루오라이드 (2-플루오로-2-메틸프로판), sec-부틸 플루오라이드 (2-플루오로부탄), 이소부틸 플루오라이드 (1-플루오로-2-메틸프로판), tert-부틸 클로라이드 (2-클로로-2-메틸프로판), sec-부틸 클로라이드 (2-클로로부탄), 이소부틸 클로라이드 (1-클로로-2-메틸프로판), tert-부틸 브로마이드 (2-브로모-2-메틸프로판), sec-부틸 브로마이드 (2-브로모부탄), 이소부틸 브로마이드 (1-브로모-2-메틸프로판), tert-부틸 아이오다이드 (2-아이오드-2-메틸프로판), sec-부틸 아이오다이드 (2-아이오드부탄), 또는 이소부틸 아이오다이드 (2-아이오드-2-메틸프로판) 를 포함한다. 특정한 실시 예들에서, 분지쇄 알칸은 사슬의 하나 이상의 말단 탄소 원자들에 할로 치환을 포함한다.
알켄들은 직쇄 알켄 및 분지쇄 알켄을 포함할 수 있다. 이러한 알켄들은 (예를 들어, sp2 탄소, sp3 탄소, 분지형 부분, 비분지형 부분 상에, 분지형 부분의 sp2 탄소 상에, 또는 비분지형 부분의 sp2 탄소 상에) 하나 이상의 할로 치환들을 포함할 수 있다. 일부 실시 예들에서, 직쇄 알켄은 sp2 탄소 상 하나 이상의 할로겐 치환들 (예를 들어, cis-1,2-디플루오로에텐, trans-1,2-디플루오로에텐, 2,3-디플루오로-2-부텐, 1-플루오로프로펜, cis-1,2-디클로로에텐, trans-1,2-디클로로에텐, 2,3-디클로로-2-부텐, 1-클로로프로펜, cis-1,2-디브로모에텐, trans-1,2-디브로모에텐, 2,3-디브로모-2-부텐, 1-브로모프로펜, cis-1,2-디아이오드에텐, trans-1,2-디아이오드에텐, 2,3-디아이오드-2-부텐, 또는 1-이오도프로펜) 또는 더 긴 사슬들에 대한 sp3 탄소 상의 하나 이상의 할로겐 치환들 (예를 들어, 2,3-디플루오로-1-부텐, 2,3-디클로로-1-부텐, 2,3-디브로모-1-부텐, 또는 2,3-디아이오드-1-부텐) 을 포함할 수 있다. 특정한 실시 예들에서, 직쇄 알켄은 사슬의 하나 이상의 말단 탄소 원자들에 할로 치환을 포함한다.
다른 실시 예들에서, 분지쇄 알켄은 분지형 부분 상에 sp2 탄소를 포함할 수 있고 (예를 들어, 그리고 sp2 탄소 상에 적어도 하나의 할로 치환; 또는 sp3 탄소 상에 적어도 하나의 할로 치환; 또는 sp2 탄소 상에 적어도 하나의 할로 치환 및 sp3 탄소 상에 적어도 하나의 할로 치환을 포함할 수 있음) 그리고/또는 더 긴 사슬들에 대한 비분지형 부분 상에 sp2 탄소를 포함할 수 있다 (예를 들어, 그리고 sp2 탄소 상에 적어도 하나의 할로 치환; 또는 sp3 탄소 상에 적어도 하나의 할로 치환; 또는 sp2 탄소 상에 적어도 하나의 할로 치환 및 sp3 탄소 상에 적어도 하나의 할로 치환을 포함할 수 있음).
알킨들은 직쇄 알킨 및 분지쇄 알킨을 포함할 수 있다. 이러한 알켄들은 (예를 들어, sp 탄소, sp2 탄소, sp3 탄소, 분지형 부분, 비분지형 부분 상에, 분지형 부분의 sp 탄소 상에, 또는 비분지형 부분의 sp 탄소 상에) 하나 이상의 할로 치환들을 포함할 수 있다. 직쇄 알킨은 sp 탄소 상에 적어도 하나의 할로 치환; 또는 더 긴 사슬들에 대한 sp3 탄소 상에 적어도 하나의 할로 치환을 포함할 수 있다. 분지쇄 알킨은 분지형 부분 상에 sp 탄소를 포함할 수 있고 (예를 들어, 그리고 sp 탄소 상에 적어도 하나의 할로 치환; 또는 sp3 탄소 상에 적어도 하나의 할로 치환; 또는 sp 탄소 상에 적어도 하나의 할로 치환 및 sp3 탄소 상에 적어도 하나의 할로 치환을 포함할 수 있음) 그리고/또는 더 긴 사슬들에 대한 비분지형 부분 상에 sp 탄소를 포함할 수 있다 (예를 들어, 그리고 sp 탄소 상에 적어도 하나의 할로 치환; 또는 sp3 탄소 상에 적어도 하나의 할로 치환; 또는 sp2 탄소 상에 적어도 하나의 할로 치환 및 sp3 탄소 상에 적어도 하나의 할로 치환을 포함할 수 있음).
방향족들은 단일 고리들 (예를 들어, 벤젠) 또는 복수의 고리들 (예를 들어, 나프탈렌) 을 갖는 방향족들을 포함하는, 본 명세서에 기술된 임의의 방향족을 포함한다. 방향족들은 고리 상에 배치된 지방족 치환 내의 탄소 상의 그리고/또는 고리 내의 탄소 상의 적어도 하나의 할로 치환을 포함할 수 있다. 고리 상의 비제한적인 할로 치환들은 모노할로 치환들, 예컨대 플루오로벤젠, 클로로벤젠, 브로모벤젠, 아이오드벤젠, 플루오로나프탈렌, 클로로나프탈렌, 브로모나프탈렌, 아이오드나프탈렌, 1,4-플루오로벤젠, 1,3-디플루오로 벤젠, 1,2-디플루오로벤젠, 1,4-디클로로벤젠, 1,3-디클로로벤젠, 1,2-디클로로벤젠, 1,4-디브로모벤젠, 1,3-디브로모벤젠, 1,2-디브로모벤젠, 1,4-디아이오드벤젠, 1,3-디아이오드벤젠, 1,2-디아이오드벤젠, 및 이의 유사체들 (analogs) 을 포함한다. 방향족은 또한 하나 이상의 지방족 치환 또는 할로지방족 치환 (예를 들어, 알킬, 알케닐, 알키닐, 할로알킬, 할로알케닐, 또는 할로알키닐) 을 포함할 수 있다. 일부 실시 예들에서, 방향족의 고리 및/또는 지방족 치환은 하나 이상의 할로 치환들을 포함할 수 있다. 적어도 하나의 할로 치환을 갖는 비제한적인 지방족-치환된 방향족들은 고리 부분 상에 하나 이상의 할로 치환들 (예를 들어, 3-플루오로톨루엔, 2-플루오로톨루엔, 4-플루오로톨루엔, 3-클로로톨루엔, 2-클로로톨루엔, 4-클로로톨루엔, 3-브로모톨루엔, 2-브로모톨루엔, 4-브로모톨루엔, 3-아이오드톨루엔, 2-아이오드톨루엔, 또는 4-아이오드톨루엔); 또는 고리에 부착된 지방족 부분 상의 하나 이상의 할로 치환들 (예를 들어, 벤질플루오라이드, 벤질클로라이드, 벤질브로마이드, 또는 벤질아이오다이드); 또는 방향족 부분 상의 적어도 하나의 할로 치환 및 지방족 부분 상의 적어도 하나의 할로 치환 (예를 들어, 2,4-디플루오로벤질플루오라이드, 4-플루오로벤질플루오라이드, 2,4-디클로로벤질클로라이드, 4-클로로벤질클로라이드, 2,4-디브로모벤질브로마이드, 4-브로모벤질브로마이드, 2,4-디아이오드벤질아이오다이드, 또는 4-아이오드벤질아이오다이드) 을 갖는 방향족들을 포함한다.
카보사이클들은 모노지환족 (monocycloaliphatic) 모이어티 또는 다중 지환족 모이어티를 포함할 수 있다. 이러한 카보사이클들은 하나 이상의 할로 치환들을 포함할 수 있고, 그리고 사이클릭기들은 포화되거나 불포화될 수 있다. 포화된 카보사이클들은 C3-6 지환족 모이어티들을 포함할 수 있고, 여기서 적어도 하나의 할로 치환이 고리 부분 상에 존재하고 (예를 들어, 플루오로사이클로부탄, 클로로사이클로부탄, 브로모사이클로부탄, 아이오드사이클로부탄, 플루오로사이클로프로판, 클로로사이클로프로판, 브로모사이클로프로판, 아이오드사이클로프로판, 또는 아이오드사이클로펜탄, 클로로사이클로펜탄), 브로모사이클로펜탄 또는 아이오드사이클로펜탄); 또는 적어도 하나의 할로 치환이 고리에 부착된 지방족 사슬 상에 있고 (예를 들어, (플루오로메틸)사이클로부텐, (플루오로메틸)사이클로프로판, (클로로메틸)사이클로부텐, (클로로메틸)사이클로프로판, (브로모메틸)사이클로부텐, (브로모메틸)사이클로프로판, (아이오드메틸)사이클로부텐, (아이오드메틸)사이클로프로판, 등); 또는 적어도 하나의 할로 치환이 고리 상에 있고 그리고 적어도 하나의 할로 치환이 고리에 부착된 지방족 사슬 상에 있다. 불포화된 카보사이클들은 고리 부분 상에 존재하는 적어도 하나의 할로 치환 (예를 들어, 3-플루오로사이클로부텐, 3-클로로사이클로부텐, 3-브로모사이클로부텐, 또는 3-아이오드사이클로부텐); 또는 고리에 부착된 지방족 사슬 상의 적어도 하나의 할로 치환; 또는 고리 상의 적어도 하나의 할로 치환 및 고리의 지방족 사슬 상의 적어도 하나의 할로 치환을 포함할 수 있다.
카보사이클들은 복수의 고리 부분들을 포함할 수 있다. 이러한 멀티사이클릭 모이어티들은 고리 부분 상의 적어도 하나의 할로 치환 (예를 들어, 1-플루오로-노보난, 2-플루오로-노보난, 1-클로로-노보난, 2-클로로-노보난, 1-브로모-노보난, 2-브로모-노보난, 1-아이오드-노보난, 또는 2-아이오드-노보난), 또는 고리에 부착된 지방족 사슬 상의 적어도 하나의 할로 치환 (예를 들어, 2-(플루오로메틸)노보난, 2-(클로로메틸)노보난, 2-(브로모메틸)노보난, 또는 2-(아이오드메틸)노보난), 또는 고리 상의 적어도 하나의 할로 치환 및 고리 상의 지방족 사슬 상의 적어도 하나의 할로 치환 (예를 들어, 2-플루오로-3-(플루오로메틸)노보난, 2-클로로-3-(클로로메틸)노보난, 2-브로모-3-(브로모메틸)노보난, 또는 2-아이오드-3-(아이오드메틸)노보난) 을 포함할 수 있는 (예를 들어, 노보난 또는 이의 유도체들에서와 같이) 포화될 수 있고; 또는 고리 부분 상의 적어도 하나의 할로 치환 (예를 들어, 2-플루오로-2-노보넨, 2-클로로-2-노보넨, 2-브로모-2-노보넨, 또는 2-아이오드-2-노보넨), 또는 고리에 부착된 지방족 사슬 상의 적어도 하나의 할로 치환 (예를 들어, 2-플루오로메틸-2-노보넨, 2-클로로메틸-2-노보넨, 2-브로모메틸-2-노보넨, 또는 2-아이오드메틸-2-노보넨), 또는 고리 상의 적어도 하나의 할로 치환 및 고리의 지방족 사슬 상의 적어도 하나의 할로 치환을 포함할 수 있는 (예를 들어, 노보넨 또는 이의 유도체들에서와 같이) 불포화될 수 있다.
본 명세서의 임의의 전구체에서, 단일 타입의 할로가 존재할 수 있다 (예를 들어, 플루오로, 클로로, 브로모, 또는 아이오드). 다른 전구체들에서, 2 개 이상의 상이한 할로 치환들이 존재할 수 있다.
실시 예 2에서, 전구체 종은 적어도 하나의 할로겐-함유 전구체와 적어도 하나의 하이드로카본 전구체의 혼합물을 포함할 수 있다. 특정한 실시 예들에서, 혼합물은 할로-함유 전구체 (예를 들어, 할로카본, 할로지방족, 할로알칸, 할로알켄, 할로알킨, 할로방향족, 또는 할로카보사이클) 및 하이드로카본 전구체 (예를 들어, 비치환된 지방족, 알칸, 알켄, 알킨, 방향족, 또는 카보사이클) 를 포함한다. 할로겐-함유 전구체는 본 명세서에 기술된 임의의 전구체, 예컨대 할로카본, 뿐만 아니라 상기 실시 예 1에 대해 기술된 (단락 [0091] 내지 단락 [0103]) 전구체들을 포함할 수 있다. 특정한 실시 예들에서, 할로겐-함유 전구체는 적어도 약 1:3의 C:H 비 및/또는 적어도 약 1:20의 X:H 비를 갖고; 그리고 하이드로카본 전구체는 적어도 1:2의 C:H 비를 갖는다.
또 다른 비제한적인 하이드로카본 전구체들이 본 명세서에 기술되고 알칸, 알켄, 알킨, 방향족, 카보사이클, 등을 포함할 수 있다. 특정한 실시 예들에서, 하이드로카본 전구체는 포화, 불포화, 직쇄, 분지쇄, 또는 방향족일 수 있는, 수소 원자 및 탄소 원자만을 포함한다. 일부 실시 예들에서, 하이드로카본 전구체는 CxHy이고, 여기서 x는 2 내지 10의 정수이고, 그리고 y는 2 내지 24의 정수이며, 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 부텐 (C4H8), 사이클로부탄 (C4H8), 사이클로헥산 (C6H12), 벤젠 (C6H6), 톨루엔 (C7H8), 노보난 (C7H12), 또는 노보넨 (C7H10) 을 포함한다. 일부 실시 예들에서, 2 개 이상의 하이드로카본 전구체들이 사용될 수도 있다. 이들 하이드로카본 전구체들 중 임의의 전구체는 하나 이상의 할로겐-함유 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체, 예컨대 할로카본, 뿐만 아니라 단락 [0091] 내지 단락 [0103]에 상기 실시 예 1에 대해 기술된 전구체들) 와 함께 채용될 수 있다.
실시 예 3에서, 전구체 종은 유기 반응 물질과 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 유기 반응 물질은 할로겐-함유 반응 물질과 반응하는 임의의 탄소-함유 화합물일 수 있다. 유기 반응 물질 및 할로겐-함유 반응 물질 각각은 별도의 프로세스 가스들로서 제공될 수 있고, 이러한 프로세스 가스들은 프로세싱 챔버로 순차적으로 또는 동시에 전달될 수 있다.
할로겐-함유 반응 물질은 유기 반응 물질 (예를 들어, C-H 결합, sp3 탄소, sp2 탄소, 또는 sp 탄소) 과 반응하는 임의의 반응 물질일 수 있다. 비제한적인 할로겐-함유 반응 물질들은 할라이드 (예를 들어, HX, 여기서 X는 할로임, 예컨대 HF, HCl, HBr, 또는 HI), 원소 할로겐 또는 할로겐 가스 (예를 들어, F2, Cl2, Br2, 또는 I2), 테트라할로실란 (예를 들어, SiX4, 여기서, X 각각은 독립적으로 할로임), 트리할로실란 (예를 들어, SiX3H, 여기서 X 각각은 독립적으로 할로임), 디할로실란 (예를 들어, SiX2H2, 여기서 X 각각은 독립적으로, 할로임), 모노할로실란 (예를 들어, SiXH3, 여기서 X는 할로임), 또는 그리냐르 (Grignard) 시약 (예를 들어, R-Mg-X, 여기서 R은 선택 가능하게 치환된 알킬, 지방족, 헤테로알킬, 헤테로지방족, 아릴, 또는 방향족이고, 그리고 X는 할로임) 을 포함한다.
실시 예 4에서, 전구체 종은 순수 하이드로카본 반응 물질과 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 순수 하이드로카본 반응 물질들은 예를 들어, 단락 [0104] 및 단락 [0105] 의 상기 실시 예 2에서와 같이 본 명세서에 기술된 임의의 반응 물질을 포함한다. 할로겐-함유 반응 물질들은 예를 들어, 단락 [0106] 및 단락 [0107] 의 상기 실시 예 3에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다.
실시 예 5에서, 전구체 종은 할로카본 반응 물질과 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 할로카본 반응 물질들은 예를 들어, 단락 [0091] 내지 단락 [0103] 의 상기 실시 예 1에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다. 할로겐-함유 반응 물질들은 예를 들어, 단락 [0106] 및 단락 [0107] 의 상기 실시 예 3에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다.
실시 예 6에서, 전구체 종은 이탈기를 갖는 하이드로카본 반응 물질과 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 할로겐-함유 반응 물질들은 예를 들어, 단락 [0106] 및 단락 [0107] 의 상기 실시 예 3에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다. 사용 시, 할로겐-함유 반응 물질은 이탈기를 대체할 수 있어서, 인 시츄로 형성되고 (이탈기를 갖는 하이드로카본 반응 물질로부터의) 하이드로카본 부분과 (할로겐-함유 반응 물질로부터의) 할로겐 부분을 포함하는 할로겐-함유 전구체를 제공한다.
이탈기를 갖는 하이드로카본 반응 물질의 예들은 적어도 하나의 이탈기를 갖는 알칸, 알켄, 알킨, 방향족, 또는 카보사이클을 포함한다. 비제한적인 이탈기는 할로설포닐기 (예를 들어, X가 할로인 -OS(O)X); 설포네이트기 (예를 들어, -OS(O)2R, 여기서 R은 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 헤테로알킬, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 할로알킬, 선택 가능하게 치환된 아릴, 또는 선택 가능하게 치환된 할로아릴임); 시아노기 (-CN기); 나이트로어스 옥사이드기 (-N2O); 또는 나이트레이트기 (-ONO2) 를 포함한다. 또 다른 비제한적인 이탈기들은 할로, 트리플레이트, 메실레이트, 토실레이트, 브로실레이트, 노실레이트, 또는 트레실레이트를 포함한다.
이탈기를 갖는 다른 하이드로카본 반응 물질들은 알킬 설포닐 할라이드 (예를 들어, Ak-OS(O)X, 여기서 Ak는 선택 가능하게 치환된 알킬 또는 지방족이고 그리고 X는 할로임), 아릴 설포닐 할라이드 (예를 들어, Ar-OS(O)X, 여기서 Ar은 선택 가능하게 치환된 아릴 또는 방향족이고 X는 할로임), 알킬 트리플레이트 (예를 들어, Ak-OTf, 여기서 Ak는 선택 가능하게 치환된 알킬 또는 지방족임), 아릴 트리플레이트 (예를 들어, Ar-OTf, 여기서 Ar은 선택 가능하게 치환된 아릴 또는 방향족임), 알킬 메실레이트 (예를 들어, Ak-OMs, 여기서 Ak는 선택 가능하게 치환된 알킬 또는 지방족임), 아릴 메실레이트 (예를 들어, Ak-OMs, 여기서 Ar은 선택 가능하게 치환된 아릴 또는 방향족임), 알킬 토실레이트 (예를 들어, Ak-OTs, 여기서 Ak는 선택 가능하게 치환된 알킬 또는 지방족임), 아릴 토실레이트 (예를 들어, Ar-OTs, 여기서 Ar은 선택 가능하게 치환된 아릴 또는 방향족임), 시아노알칸 (예를 들어, Ak-CN, 여기서 Ak는 선택 가능하게 치환된 알킬 또는 지방족임), 시아노방향족 (예를 들어, Ar-CN, 여기서 Ar은 선택 가능하게 치환된 아릴 또는 방향족임), 알킬 나이트레이트 (예를 들어, Ak-ONO2, 여기서 Ak는 선택 가능하게 치환된 알킬 또는 지방족임), 또는 아릴 나이트레이트 (예를 들어, Ar-ONO2, 여기서 Ar은 선택 가능하게 치환된 아릴 또는 방향족임) 를 포함한다.
실시 예 7에서, 전구체 종은 지방족 모이어티와 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 지방족 모이어티들은 예를 들어, 알칸, 알켄, 알킨과 같이 본 명세서에 기술된 임의의 모이어티, 뿐만 아니라 단락 [0091] 내지 단락 [0103] 의 상기 실시 예 1의 임의의 모이어티를 포함한다. 할로겐-함유 반응 물질들은 예를 들어, 단락 [0106] 및 단락 [0107] 의 상기 실시 예 3에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다.
실시 예 8에서, 전구체 종은 방향족 모이어티와 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 방향족 모이어티들은 예를 들어, 단일 고리 또는 복수의 고리들을 갖는 방향족과 같이 본 명세서에 기술된 임의의 모이어티, 뿐만 아니라 상기 단락 [0091] 내지 단락 [0103] 의 실시 예 1의 임의의 모이어티를 포함한다. 할로겐-함유 반응 물질들은 예를 들어, 단락 [0106] 및 단락 [0107] 의 상기 실시 예 3에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다.
실시 예 9에서, 전구체 종은 카보사이클과 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 카보사이클들은 본 명세서에 기술된 임의의 카보사이클, 예컨대, 단일 포화된 고리, 단일 불포화된 고리, 복수의 포화된 고리들, 복수의 불포화된 고리들, 포화된 고리와 불포화된 고리의 조합인 복수의 고리들을 갖는 카보사이클, 딜스-알더 (Diels-Alder) 생성물인 카보사이클로서, 이 생성물은 적어도 하나의 불포화 결합을 갖는 단일 고리 또는 복수의 고리들일 수 있는 카보사이클; 뿐만 아니라, 단락 [0091] 내지 단락 [0103] 의 상기 실시 예 1의 임의의 카보사이클을 포함한다. 할로겐-함유 반응 물질들은 예를 들어, 단락 [0106] 및 단락 [0107] 의 상기 실시 예 3에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다.
실시 예 10에서, 전구체 종은 헤테로사이클 반응 물질과 할로겐-함유 반응 물질의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 헤테로사이클들은 본 명세서에 기술된 임의의 헤테로사이클, 예컨대, 단일 포화된 고리, 단일 불포화된 고리, 복수의 포화된 고리들, 복수의 불포화된 고리들, 포화된 고리와 불포화된 고리의 조합인 복수의 고리들을 갖는 헤테로사이클, 또는 딜스-알더 생성물인 헤테로사이클로서, 이 생성물은 적어도 하나의 불포화 결합을 갖는 단일 고리 또는 복수의 고리들일 수 있는 헤테로사이클을 포함한다. 할로겐-함유 반응 물질들은 예를 들어, 단락 [0106] 및 단락 [0107] 의 상기 실시 예 3에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다.
실시 예 11에서, 전구체 종은 순수 하이드로카본 반응 물질과 원소 할로겐 (예를 들어, X2, 여기서 X는 할로임) 의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 순수 하이드로카본 반응 물질들은 예를 들어, 단락 [0104] 및 단락 [0105] 의 상기 실시 예 2에서와 같이 본 명세서에 기술된 임의의 반응 물질을 포함한다.
실시 예 12에서, 전구체 종은 할로카본 반응 물질과 원소 할로겐 (예를 들어, X2, 여기서 X는 할로임) 의 혼합물을 포함할 수 있고, 여기서 반응 물질들은 막으로서 증착될 수 있는 적어도 하나의 할로겐-함유 전구체를 인 시츄로 형성하도록 반응할 수 있다. 비제한적인 할로카본 반응 물질들은 예를 들어, 단락 [0091] 내지 단락 [0103] 의 상기 실시 예 1에서와 같이 본 명세서에 기술된 임의의 반응 물질일 수 있다.
실시 예 13에서, 실시 예 1 내지 실시 예 12의 임의의 전구체 종은 하나 이상의 하이드로카본 전구체들과 함께 더 사용될 수 있다. 일부 실시 예들에서, 하이드로카본 전구체는 적어도 1:2의 C:H 비를 갖는다. 일부 실시 예들에서, 하이드로카본 전구체는 최대 약 50 g/mol의 분자량 (molecular weight) 을 갖는 화합물들을 포함한다. 또 다른 비제한적인 하이드로카본 전구체들이 본 명세서에 기술되고 알칸, 알켄, 알킨, 방향족, 카보사이클, 등을 포함할 수 있다. 특정한 실시 예들에서, 하이드로카본 전구체는 포화, 불포화, 직쇄, 분지쇄, 또는 방향족일 수 있는, 수소 원자 및 탄소 원자만을 포함한다. 일부 실시 예들에서, 하이드로카본 전구체는 하이드로카본이다. 비제한적인 하이드로카본들은 CxHy를 포함하고, 여기서 x는 2 내지 10의 정수이고, 그리고 y는 2 내지 24의 정수이며, 메탄, 아세틸렌, 에틸렌, 프로필렌, 부탄, 부텐, 사이클로부탄, 사이클로헥산, 벤젠, 톨루엔, 노보난 또는 노보넨을 포함한다. 일부 실시 예들에서, 2 개 이상의 하이드로카본 전구체들이 사용될 수도 있다. 하이드로카본 전구체는 할로겐화되거나 할로겐화되지 않을 수 있다. 특정한 실시 예들에서, 하이드로카본 전구체는 하나 이상의 수소 원자들이 할로겐, 특히 불소, 염소, 브롬, 및/또는 아이오드로 대체되는, 할로겐화된 하이드로카본이다.
실시 예 14에서, 실시 예 1 내지 실시 예 13의 임의의 전구체 종은 수소 가스와 함께 더 사용될 수 있다. 특히, 수소 가스는 기판에 대한 전구체 종의 노출 동안, PECVD 프로세스 전에, 또는 PECVD 프로세스에 의한 증착 동안 도입될 수 있다.
실시 예 15에서, 실시 예 1 내지 실시 예 14의 임의의 전구체 종은 금속-함유 반응 물질과 함께 더 사용될 수 있고, 금속-함유 반응 물질은 할로겐-함유 전구체와 반응할 수 있고 그리고 막으로서 증착될 수 있다. 일부 실시 예들에서, 금속-함유 반응 물질은 금속-도핑된 AHM 막을 생성하도록 채용된다. 특정한 실시 예들에서, 금속-함유 반응 물질은 할로카본, 이탈기를 갖는 하이드로카본 반응 물질, 헤테로사이클 반응 물질, 또는 할로겐-함유 전구체와 함께 채용된다.
비제한적인 금속 반응 물질들은 하나 이상의 금속 또는 준금속 원자들을 갖는 유기 금속 시약, 금속 할라이드, 또는 금속 하이드라이드를 포함한다. 금속 또는 준금속 원자들은 전이 금속 또는 준금속, 예를 들어, 텅스텐 (W), 몰리브덴 (Mo), 하프늄 (Hf), 붕소 (B), 루테늄 (Ru), 또는 코발트 (Co) 를 포함할 수 있다 . 금속 반응 물질들의 예들은 MmXn 또는 MmRp 또는 MmXnRp이고, 여기서 M은 W, Mo, Hf, B, Ru, 또는 Co이고; m은 1 내지 3의 정수이고; n 및 p 각각은 독립적으로 1 내지 8의 정수이고; X 각각은 독립적으로 할로 또는 H이고; R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 중성 리간드, 음이온성 리간드, 또는 여러 자리 (multidentate) 리간드 (예를 들어, 두자리 리간드) 이다.
비제한적인 리간드는 선택 가능하게 치환된 아민 (예를 들어, NR3 또는 R2N-Ak-NR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 지방족, 또는 선택 가능하게 치환된 아릴일 수 있고, 그리고 Ak는 알킬의 선택 가능하게 치환된 알킬의 2가 형태임), 선택 가능하게 치환된 포스핀 (예를 들어, PR3 또는 R2P-Ak-PR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 지방족, 또는 선택 가능하게 치환된 아릴일 수 있고, 그리고 Ak는 알킬의 선택 가능하게 치환된 2가 형태임), 선택 가능하게 치환된 에테르 (예를 들어, OR2, 여기서 R 각각은 독립적으로, H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 지방족, 또는 선택 가능하게 치환된 아릴일 수 있음), 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알킨, 또는 선택 가능하게 치환된 벤젠, 옥소, 일산화탄소 (CO), 디케토네이트 (예를 들어, 아세틸아세토네이트 (acac) 또는 -OC(R1)-Ak-(R1)CO- 또는 -OC(R1)-C(R2)-(R1)CO-), 방향족 (예를 들어, -Ar-), 사이클로펜타디에닐 (예를 들어, -Cp, 이의 치환된 형태들, 예컨대 알킬 또는 아릴에 대한 본 명세서에 제공된 치환들을 포함함), 피라졸레이트, 선택 가능하게 치환된 헤테로사이클릴, 선택 가능하게 치환된 다가 형태의 알킬, 또는 선택 가능하게 치환된 다가 형태의 헤테로알킬을 포함한다. 특정한 실시 예들에서, R1 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 할로알킬, 또는 선택 가능하게 치환된 아릴이고; R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; Ak는 선택 가능하게 치환된 2가 형태의 알킬이고; Ar은 선택 가능하게 치환된 2가 형태의 아릴이다.
금속-함유 반응 물질들의 예들은 WX6, WR4, WR5, WR6, MoX2R2, MoR5, MoR6, HfX4, HfR3, HfR4, B2X6, BR3, RuR2, RuR4, CoR2, 또는 CoX6을 포함할 수 있고, 여기서 X 각각은 독립적으로, H 또는 할로이고; 그리고 여기서 R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 중성 리간드, 음이온성 리간드, 또는 여러자리 리간드 (예를 들어, 두자리 리간드) 이다.
막 속성들
개시된 방법들에 따라 생성된 AHM 막들은 통상적으로 주로 탄소 및 수소 및 선택 가능하게 일부 할로겐으로 구성되지만, 다른 원소들 (예를 들어, 도펀트) 이 막 내에 존재할 수도 있다. 일반적으로, AHM 내 수소의 원자 백분율이 더 낮을수록, 모듈러스 및 선택도가 더 높다. AHM 막에 존재할 수도 있는 다른 원소들의 예들은 할로겐, 질소, 황, 붕소, 산소, 텅스텐, 몰리브덴, 하프늄, 붕소, 루테늄, 코발트, 티타늄, 및 알루미늄을 포함한다. 통상적으로, 이러한 다른 원소들은 약 20 at.%(atomic percent), 15 at.% 또는 10 at.% 이하의 양으로 존재한다.
할로겐-함유 전구체를 사용함으로써, AHM 막은 낮은 수소 함량을 가질 수 있다. 일부 실시 예들에서, 수소 함량은 최대 약 5 at.% 또는 약 4 at.%이다. 다른 실시 예들에서, 수소 함량은 약 15 at.%, 10 at.%, 5 at.%, 또는 1 at.%미만이다. 다른 실시 예들에서, 수소 함량은 약 0.5 at.% 내지 20 at.%, 0.5 at.% 내지 15 at.%, 0.5 at.% 내지 10 at.%, 0.5 at.% 내지 5 at.%, 1 at.% 내지 20 at.%, 1 at.% 내지 15 at.%, 1 at.% 내지 10 at.%, 1 at.% 내지 5 at.%, 1.5 at.% 내지 20 at.%, 1.5 at.% 내지 15 at.%, 1.5 at.% 내지 10 at.%, 1.5 at.% 내지 5 at.%, 2 at.% 내지 20 at.%, 2 at.% 내지 15 at.%, 2 at.% 내지 10 at.%, 2 at.% 내지 5 at.%, 2.5 at.% 내지 20 at.%, 2.5 at.% 내지 15 at.%, 2.5 at.% 내지 10 at.%, 2.5 at.% 내지 5 at.%, 3 at.% 내지 20 at.%, 3 at.% 내지 15 at.%, 3 at.% 내지 10 at.%, 3 at.% 내지 5 at.%, 3.5 at.% 내지 20 at.%, 3.5 at.% 내지 15 at.%, 3.5 at.% 내지 10 at.%, 3.5 at.% 내지 5 at.%, 4 at.% 내지 20 at.%, 4 at.% 내지 15 at.%, 4 at.% 내지 10 at.%, 또는 4 at.% 내지 5 at.%이다.
할로겐이 프로세싱 챔버 내에 존재할 수 있지만, 할로겐 함량은 최대 약 25 at.% 또는 최대 약 15 at.%일 수 있다. 다른 실시 예들에서, 할로겐 함량은 약 0 at.% 내지 1 at.%, 0 at.% 내지 1.5 at.%, 0 at.% 내지 2 at.%, 0 at.% 내지 3 at.%, 0 at.% 내지 4 at.%, 0 at.% 내지 5 at.%, 0 at.% 내지 8 at.%, 0 at.% 내지 10 at.%, 0 at.% 내지 12 at.%, 0 at.% 내지 15 at.%, 0 at.% 내지 18 at.%, 0 at.% 내지 20 at.%, 0 at.% 내지 22 at.%, 0.1 at.% 내지 1 at.%, 0.1 at.% 내지 1.5 at.%, 0.1 at.% 내지 2 at.%, 0.1 at.% 내지 3 at.%, 0.1 at.% 내지 4 at.%, 0.1 at.% 내지 5 at.%, 0.1 at.% 내지 8 at.%, 0.1 at.% 내지 10 at.%, 0.1 at.% 내지 12 at.%, 0.1 at.% 내지 15 at.%, 0.1 at.% 내지 18 at.%, 0.1 at.% 내지 20 at.%, 0.1 at.% 내지 22 at.%, 또는 0.1 at.% 내지 25 at.%을 포함하는, 약 0.1 at.% 내지 2 at.% 또는 약 0 at.% 내지 25 at.%이다.
수소와 할로겐의 조합은 약 35 at.% 미만 또는 최대 약 7 at.%의 양으로 존재할 수 있다. 다른 실시 예들에서, 수소와 할로겐의 조합은 약 1 at.% 내지 40 at.%, 예컨대 1 at.% 내지 35 at.%, 1 at.% 내지 30 at.%, 1 at.% 내지 25 at.%, 1 at.% 내지 20 at.%, 1 at.% 내지 15 at.%, 1 at.% 내지 10 at.%, 2 at.% 내지 40 at.%, 2 at.% 내지 35 at.%, 2 at.% 내지 30 at.%, 2 at.% 내지 25 at.%, 2 at.% 내지 20 at.%, 2 at.% 내지 15 at.%, 2 at.% 내지 10 at.%, 3 at.% 내지 40 at.%, 3 at.% 내지 35 at.%, 3 at.% 내지 30 at.%, 3 at.% 내지 25 at.%, 3 at.% 내지 20 at.%, 3 at.% 내지 15 at.%, 3 at.% 내지 10 at.%, 4 at.% 내지 40 at.%, 4 at.% 내지 35 at.%, 4 at.% 내지 30 at.%, 4 at.% 내지 25 at.%, 4 at.% 내지 20 at.%, 4 at.% 내지 15 at.%, 4 at.% 내지 10 at.%, 5 at.% 내지 40 at.%, 5 at.% 내지 35 at.%, 5 at.% 내지 30 at.%, 5 at.% 내지 25 at.%, 5 at.% 내지 20 at.%, 5 at.% 내지 15 at.%, 5 at.% 내지 10 at.%, 10 at.% 내지 40 at.%, 10 at.% 내지 35 at.%, 10 at.% 내지 30 at.%, 10 at.% 내지 25 at.%, 10 at.% 내지 20 at.%, 또는 10 at.% 내지 15 at.%일 수 있다.
탄소 함량은 적어도 약 90 at.%, 91 at.%, 92 at.%, 93 at.%, 94 at.%, 95 at.%, 96 at.%, 97 at.%, 98 at.%, 99 at.%, 또는 그 이상일 수 있다.
저 수소 함량에 더하여, 일부 경우들에서, AHM 막은 고 모듈러스 및/또는 저 응력을 특징으로 할 수 있다. 메커니즘에 의해 제한되지 않길 바라며, 모듈러스는 sp3 함량을 결정하기 위한 하나의 비제한적인 프록시 (proxy) 고, 그리고 에칭 선택도를 촉진하기 위해 더 높은 모듈러스가 유리하다. 응력은 C-C 결합 변형에 대한 하나의 비제한적인 프록시고, 그리고 더 낮은 응력이 선호된다. 일부 실시 예들에서, 막은 약 75 % 내지 95 %의 sp2 함량; 및/또는 적어도 약 50 GPa 또는 적어도 약 70 GPa의 모듈러스를 갖는다. 다른 실시 예들에서, AHM 막은 약 50 내지 190 GPa 또는 약 60 내지 190 GPa의 탄성 모듈러스를 갖는다. 도핑되지 않은 AHM 막에 대해, 막은 최대 약 -1000 MPa, 또는 약 -150 내지 -1000 MPa 또는 약 -200 내지 -1000 MPa의 내부 응력 크기를 가질 수 있다 (더 낮은 값들이 더 적은 내부 응력을 갖도록 음의 내부 응력은 압축 응력을 나타냄). 금속-도핑된 AHM 막들에 대해, 막은 최대 약 1000 MPa의 내부 응력 크기 (양의 내부 응력은 인장 응력을 나타냄) 또는 약 -500 MPa 내지 1000 MPa의 응력을 가질 수 있다.
일부 실시 예들에서, AHM 막은 적어도 약 5 GPa 또는 적어도 약 10 GPa의 경도를 갖는다. 다른 실시 예들에서, 경도는 약 5 GPa 내지 약 24 GPa이다. 일부 실시 예들에서, AHM 막은 적어도 약 1.7 g/㎤, 또는 약 1.7 g/㎤ 내지 약 2.3 g/㎤의 밀도를 갖는다.
일부 실시 예들에서, 본 명세서에 개시된 방법들에 따라 증착된 AHM 막의 두께는 적어도 약 1 ㎛ 또는 적어도 약 2 ㎛ 또는 약 1 ㎛ 내지 약 3.5 ㎛이다. 일반적으로, AHM 막의 목표된 두께는 에칭될 하부 층들의 두께 및 AHM의 에칭 선택도에 따라 가변할 수도 있고, 에칭될 하부 층들이 두꺼울수록 더 두꺼운 AHM을 필요로 한다. 상기 논의된 바와 같이, AHM 막들은 다양한 하부 재료들을 에칭하기 위해 사용되고, 재료 각각에 대해 상이한 에칭 선택도를 가질 수도 있다. AHM의 에칭 선택도는 재료의 에칭 레이트와 AHM의 에칭 레이트의 비로서 나타낼 수 있고, 상이한 재료들 및 에칭 화학 물질들에 대해 가변할 수도 있다.
일부 실시 예들에서, AHM 막은 약 0.6 내지 1.0 또는 약 0.6 내지 0.8의 정규화된 에칭량을 갖는다. 정규화된 에칭량은 650 ℃에서 증착된 AHM 막 (650 ℃과 같은 표준으로 정규화될 수 있다. 정규화된 에칭량은 또한 백분율로 해석될 수 있고, 0.8의 정규화된 에칭량은 블랭킷 에칭 동안 650 ℃과 같이 표준보다 20 % 더 느리게 에칭될 프로세스를 제공한다.
일부 실시 예들에서, 본 명세서에 기술된 방법들에 따라 생성된 AHM 막은 633 ㎚에서 적어도 1.8, 또는 약 1.80 내지 2.70 또는 약 2.3 내지 2.6의 굴절률 (n 또는 RI) 을 갖는다. 굴절률은 일반적으로 재료의 선택도에 대한 프록시로서 사용될 수 있고, 더 높은 굴절률은 AHM의 더 높은 선택도를 나타낸다. 일부 실시 예들에서, 본 명세서에 기술된 방법들에 따라 생성된 AHM 막은 633 ㎚에서 최대 약 1.1, 또는 약 0.5 내지 1.3 또는 약 0.8 내지 1.1의 흡광 계수 (k) 를 갖는다.
적용 예들
AHM들은 통상적으로 기판의 하나 이상의 하부 층들을 에칭함으로써 집적 회로들과 같은 전자 디바이스들의 피처들을 생성하기 위해 사용된다. AHM을 사용하여 에칭될 수도 있는 재료들은 실리콘 (단결정, 폴리실리콘, 또는 비정질 실리콘), 실리콘 옥사이드, 실리콘 나이트라이드, 및 텅스텐을 포함할 수도 있다. 일부 실시 예들에서, 복수의 층들은 단일 AHM을 사용하여 스택되고 에칭된다. 이러한 스택들의 예들은 실리콘 층과 실리콘 옥사이드 층, 및 텅스텐 층과 실리콘 나이트라이드 층을 포함한다. 일부 실시 예들에서, 스택은 단일 AHM을 사용하여 에칭되는 반복 층들을 포함한다. 이러한 반복 층들의 예들은 실리콘 옥사이드/폴리실리콘 (OPOP) 또는 실리콘 옥사이드와 실리콘 나이트라이드 (ONON) 의 반복 층들을 포함한다. FEOL (Front End Of Line) 및 BEOL (Back End Of Line) 피처들은 본 명세서에 개시된 바와 같이 AHM을 사용하여 에칭될 수도 있다. 메모리 또는 로직 디바이스 피처들이 패터닝될 수도 있다. 메모리 디바이스들의 예들은 DRAM, NAND 및 3D NAND를 포함한다.
통상적으로, 더 낮은 수소 함량, 더 낮은 RI, 더 높은 밀도, 더 낮은 응력 및/또는 더 높은 모듈러스를 갖는 AHM은 이온 충격을 수반하는 에칭 프로세스에서 더 높은 에칭 레이트들을 견딜 수 있다. 따라서, 더 낮은 수소 함량, 더 낮은 RI, 더 높은 밀도, 더 낮은 응력 및/또는 더 높은 모듈러스를 갖는 AHM들은 더 높은 선택도 및 더 낮은 에칭 레이트를 갖고, 따라서 HAR 반도체 프로세스들에 대해 더 효율적이고 효과적으로 사용될 수 있다. 따라서, 본 명세서의 프로세스들은 적어도 약 5:1의 종횡비를 갖는 HAR 구조체들의 에칭을 제공할 수 있다.
장치
도 3은 PECVD (plasma enhanced chemical vapor deposition) 를 사용하여 재료를 증착하도록 사용될 수도 있는 프로세스 스테이션 (300) 의 실시 예를 개략적으로 도시한다. 간략함을 위해, 프로세싱 스테이션 (300) 은 저압 분위기를 유지하기 위한 프로세싱 챔버 바디 (302) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (300) 이 공통 프로세스 툴 환경에 포함될 수도 있다는 것이 인식될 것이다. 또한, 일부 실시 예들에서, 이하에 상세히 논의된 하드웨어 파라미터들을 포함하는, 프로세스 스테이션 (300) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (300) 은 분배 샤워헤드 (306) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (301) 과 유체로 연통한다 (fluidly communicate). 반응 물질 전달 시스템 (301) 은 샤워헤드 (306) 로 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (304) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (320) 은 프로세스 가스들의 혼합 용기 (304) 로의 도입을 제어할 수도 있다. 유사하게, 샤워헤드 유입구 밸브 (305) 는 샤워헤드 (306) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예를 들어, 도 3의 실시 예는 혼합 용기 (304) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (303) 을 포함한다. 일부 실시 예들에서, 기화 지점 (303) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 반응 물질 증기가 다운스트림 (downstream) 전달 파이핑 (piping) 에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 막고 (clog), 밸브 동작을 방해하고 (impede), 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이핑을 스윕핑 (sweep) 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이핑을 스윕핑하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋 (throughput) 을 저하시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (303) 의 다운스트림 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (304) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (303) 의 다운스트림 파이프는 혼합 용기 (304) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 반응 물질 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 더 높은 압력으로부터 더 낮은 압력으로 액체를 플래싱함으로써 (flash) 반응 물질을 기화시킬 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 후속하여 기화되는 분산된 (disperse) 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 더 작은 액적들은 더 큰 액적들보다 더 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 인식될 것이다. 더 빠른 기화는 기화 지점 (303) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (304) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (306) 에 바로 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (303) 의 업스트림의 액체 유량 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (300) 으로의 전달을 위해 액체의 질량 유량 (mass flow) 를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 (plunger) 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, LFC는 LFC 및 PID 제어기의 센싱 튜브를 디스에이블함으로써 (disable) 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (306) 는 기판 (312) 을 향해 프로세스 가스들을 분배한다. 도 3에 도시된 실시 예에서, 기판 (312) 은 샤워헤드 (306) 밑에 위치되고, 페데스탈 (308) 상에 놓인 것으로 도시된다. 샤워헤드 (306) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (312) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 마이크로볼륨 (307) 이 샤워헤드 (306) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 ALD 및/또는 CVD 프로세스를 수행하는 것은 반응 물질 노출 및 스윕핑 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 스테이션 로봇들의 프로세스 가스들로의 노출을 제한할 수도 있는, 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적들을 포함한다. 이 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클 당 증착 레이트가 떨어지지만, 사이클 시간 또한 동시에 감소한다. 특정한 경우들에서, 후자의 효과는 미리 결정된 (given) 타깃 두께의 막에 대한 모듈의 전체 쓰루풋을 개선하기에 충분히 극적이다.
일부 실시 예들에서, 페데스탈 (308) 은 기판 (312) 을 마이크로볼륨 (307) 에 노출하고 그리고/또는 마이크로볼륨 (307) 의 체적을 가변시키도록 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (308) 은 기판 (312) 으로 하여금 페데스탈 (308) 상으로 로딩되게 하도록 하강될 수도 있다. 증착 프로세스 페이즈 동안, 페데스탈 (308) 은 마이크로볼륨 (307) 내에 기판 (312) 을 포지셔닝시키도록 (position) 상승될 수도 있다. 일부 실시 예들에서, 마이크로볼륨 (307) 은 증착 프로세스 동안 고 플로우 (high flow) 임피던스 (impedance) 의 영역을 생성하도록 페데스탈 (308) 의 일부뿐만 아니라 기판 (312) 을 완전히 인클로징할 (enclose) 수도 있다.
선택 가능하게, 페데스탈 (308) 은 마이크로볼륨 (307) 내에서 프로세스 압력, 반응 물질 농도, 등을 조절하기 (modulate) 위해 증착 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세싱 챔버 바디 (302) 가 증착 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (308) 을 하강시키는 것은 마이크로볼륨 (307) 으로 하여금 배기되게 할 수도 있다. 마이크로볼륨 대 프로세싱 챔버 체적의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비들을 포함한다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (308) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변되게 할 수도 있다. 증착 프로세스 페이즈의 종료 시, 페데스탈 (308) 은 페데스탈 (308) 로부터 기판 (312) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이 조정 가능한 페데스탈을 참조하지만, 일부 실시 예들에서, 샤워헤드 (306) 의 포지션은 마이크로볼륨 (307) 의 체적을 가변시키도록 페데스탈 (308) 에 대해 조정될 수도 있다는 것이 인식될 것이다. 또한, 페데스탈 (308) 및/또는 샤워헤드 (306) 의 수직 포지션은 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (308) 은 기판 (312) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
도 3에 도시된 실시 예를 다시 참조하면, 샤워헤드 (306) 및 페데스탈 (308) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (314) 및 매칭 네트워크 (316) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (314) 및 매칭 네트워크 (316) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (314) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (314) 는 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 (discretely) 또는 연속적으로 (continuously) 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충돌 (ion bombardment) 을 감소시키도록 간헐적으로 펄싱될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 (in situ) 로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서, 전류 센서 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광법 (optical emission spectroscopy; OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 플라즈마는 입력/출력 제어 (input/output control; IOC) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈에 대한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 증착 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 증착 프로세스 페이즈에 대한 모든 인스트럭션들이 해당 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속 레시피 페이즈는 플라즈마 생성기를 인에이블하기 (enable) 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (308) 은 히터 (310) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시 예들에서, 증착 프로세스 스테이션 (300) 에 대한 압력 제어가 버터플라이 밸브 (318) 에 의해 제공될 수도 있다. 도 3의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (318) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (300) 의 압력 제어는 또한 프로세스 스테이션 (300) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
도 4는 인바운드 로드 록 (402) 및 아웃바운드 로드 록 (404) 을 갖는 멀티-스테이션 프로세싱 툴 (400) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (402) 및 아웃바운드 로드 록 (404) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (406) 은, 카세트로부터 포드 (pod) (408) 를 통해 인바운드 로드 록 (402) 으로 로딩된 웨이퍼들을 대기 포트 (410) 를 통해 이동시키도록 구성된다. 웨이퍼는 로봇 (406) 에 의해 인바운드 로드 록 (402) 내의 페데스탈 (412) 상에 배치되고, 대기 포트 (410) 는 폐쇄되고, 그리고 로드 록은 펌프 다운된다 (pump down). 인바운드 로드 록 (402) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (414) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (402) 내에서도 가열될 수도 있다. 다음에, 프로세싱 챔버 (414) 로의 챔버 이송 포트 (416) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 4에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (414) 는 도 4에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 418로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (414) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 4는 또한 프로세싱 챔버 (414) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (490) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (490) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 4는 또한 프로세스 툴 (400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (450) 의 실시 예를 도시한다. 시스템 제어기 (450) 는 하나 이상의 메모리 디바이스들 (456), 하나 이상의 대용량 저장 디바이스들 (454), 및 하나 이상의 프로세서들 (452) 을 포함할 수도 있다. 프로세서 (452) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부, 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 는 프로세스 툴 (400) 의 모든 액티비티들을 제어한다. 시스템 제어기 (450) 는 대용량 저장 디바이스 (454) 에 저장되고 메모리 디바이스 (456) 내로 로딩되고, 그리고 프로세서 (452) 상에서 실행되는 시스템 제어 소프트웨어 (458) 를 실행한다. 시스템 제어 소프트웨어 (458) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (400) 에 의해서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 개시된 방법들에 따른 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (458) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (450) 와 연관된 대용량 저장 디바이스 (454) 및/또는 메모리 디바이스 (456) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (418) 상에 기판을 로딩하고 기판과 프로세스 툴 (400) 의 다른 부분들 사이의 간격을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 임의의 개시된 범위들 내에서 가스 조성 및 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 임의의 개시된 압력 범위들 내에서 프로세스 스테이션의 압력을 유지하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하는 데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 임의의 개시된 범위들 내에서 기판의 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 예를 들어 본 명세서에 개시된 임의의 RF 전력 레벨들을 사용하여, 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 플라즈마 노출 각각의 지속 기간을 제어하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 전력 레벨들, 주파수, 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (450) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (400) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 플로우 레이트 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
임의의 적합한 챔버가 개시된 실시 예들을 구현하는 데 사용될 수도 있다. 예시적인 증착 장치들은 이로 제한되는 것은 아니지만, California, Fremont 소재의 Lam Research Corp.로부터 각각 입수 가능한 ALTUS® 제품군, VECTOR® 제품군, 및/또는 SPEED® 제품군으로부터의 장치, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 스테이션들 중 2개 이상이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 개 이상의 스테이션들은 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같이 특정한 기능/방법을 수행하도록 설계/구성될 수 있다.
도 5는 특정한 실시 예들에 따른 박막 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (500) 은 이송 모듈 (503) 을 포함한다. 이송 모듈 (503) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린, 가압된 분위기를 제공한다. 이송 모듈 (503) 상에 특정한 실시 예들에 따라 ALD 및/또는 CVD를 각각 수행할 수 있는, 2 개의 멀티-스테이션 반응기들 (509 및 510) 이 장착된다. 반응기들 (509 및 510) 은 개시된 실시 예들에 따라 순차적으로 또는 비순차적으로 동작들을 수행할 수도 있는 복수의 스테이션들 (511, 513, 515, 및 517) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 분산 (dispersion) 플레이트를 포함할 수도 있다.
또한, 플라즈마 또는 화학적 (비플라즈마) 사전-세정들, 또는 개시된 방법들과 관련하여 기술된 임의의 다른 프로세스들을 수행할 수 있는 하나 이상의 단일 스테이션 모듈들 또는 멀티-스테이션 모듈들 (507) 이 이송 모듈 (503) 상에 장착될 수도 있다. 모듈 (507) 은 일부 경우들에서, 예를 들어, 증착 프로세스를 위해 기판을 준비하도록 다양한 처리들에 사용될 수도 있다. 모듈 (507) 은 또한 에칭 또는 폴리싱과 같은 다양한 다른 프로세스들을 수행하도록 설계/구성될 수도 있다. 시스템 (500) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (501) 을 포함한다. 대기 이송 챔버 (519) 의 대기 로봇 (미도시) 가 소스 모듈들 (501) 로부터 로드 록들 (loadlocks) (521) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (503) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드 록들 (521) 로부터 이송 모듈 (503) 상에 장착된 모듈들로 그리고 이들 사이에 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (529) 가 증착 동안 프로세스 조건들을 제어하기 위해 채용된다. 제어기 (529) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (529) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (529) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 무선 주파수 전력 레벨들, 웨이퍼 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (529) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (529) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 (hard code) 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로들 (application-specific integrated circuits) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스의 게르마늄-함유 환원제 펄스들, 수소 플로우 및 텅스텐-함유 전구체 펄스들, 그리고 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어 (assembly language), C, C++, Pascal, Fortran, 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예컨대, 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 레시피의 형태로 사용자들에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (529) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (500) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계될 수도 있거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 실시 예들에 따른 증착 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드 및 히터 제어 코드를 포함한다.
도 6a 내지 도 6c는 본 명세서에 기술된 에칭 동작들을 수행하기 위해 사용될 수도 있는 조정 가능한 갭 (gap) 용량 커플링 한정된 (confine) RF 플라즈마 반응기 (600) 의 일 실시 예를 예시한다. 도시된 바와 같이, 진공 챔버 (602) 는 하부 전극 (606) 을 하우징하는 내부 공간을 둘러싸는, 챔버 하우징 (604) 을 포함한다. 챔버 (602) 의 상부 부분에서 상부 전극 (608) 은 하부 전극 (606) 으로부터 수직으로 이격된다. 상부 전극 (608) 및 하부 전극 (606) 의 평면 표면들은 실질적으로 평행하고 전극들 사이의 수직 방향에 직교한다. 바람직하게 상부 전극 (608) 및 하부 전극 (606) 은 원형이고 수직 축에 대해 동축이다. 상부 전극 (608) 의 하부 표면은 하부 전극 (606) 의 상부 표면과 대면한다 (face). 이격된 대면 전극 표면들은 그 사이에 조정 가능한 갭 (610) 을 규정한다. 동작 동안, 하부 전극 (606) 은 RF 전력 공급부 (매칭부) (620) 에 의해 RF 전력이 공급된다. RF 전력은 RF 공급 도관 (622), RF 스트랩 (624) 및 RF 전력 부재 (626) 를 통해 하부 전극 (606) 에 공급된다. 접지 차폐부 (636) 는 하부 전극 (606) 에 더 균일한 RF 필드를 제공하도록 RF 전력 부재 (626) 를 둘러쌀 수도 있다. 전체 내용이 본 명세서에 참조로서 인용된, 미국 특허 제 7,732,728 호에 기술된 바와 같이, 웨이퍼는 웨이퍼 포트 (682) 를 통해 삽입되고 프로세싱을 위해 하부 전극 (606) 상의 갭 (610) 내에 지지되고, 프로세스 가스가 갭 (610) 으로 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (608) 은 전력 공급되거나 (powered) 접지될 수 있다.
도 6a 내지 도 6c에 도시된 실시 예에서, 하부 전극 (606) 은 하부 전극 지지 플레이트 (616) 상에 지지된다. 하부 전극 (606) 과 하부 전극 지지 플레이트 (616) 사이에 개재된 (interpose) 절연체 링 (614) 은 지지 플레이트 (616) 로부터 하부 전극 (606) 을 절연한다.
RF 바이어스 하우징 (630) 은 RF 바이어스 하우징 보울 (bowl) (632) 상의 하부 전극 (606) 을 지지한다. 보울 (632) 은 RF 바이어스 하우징 (630) 의 암 (arm) (634) 에 의해 챔버 벽 플레이트 (618) 의 개구부를 통해 도관 지지 플레이트 (638) 에 연결된다. 바람직한 실시 예에서, RF 바이어스 하우징 보울 (632) 및 RF 바이어스 하우징 암 (634) 은 일 컴포넌트로서 일체로 형성되지만, 암 (634) 및 보울 (632) 은 또한 함께 볼트 결합되거나 결합된 2 개의 별개의 컴포넌트들일 수 있다.
RF 바이어스 하우징 암 (634) 은 RF 전력을 통과시키기 위한 하나 이상의 중공형 (hollow) 통로들 및 설비들, 예컨대 하부 전극 (606) 의 후면 상의 공간에서 진공 챔버 (602) 외부로부터 진공 챔버 (602) 내부로 가스 냉각제, 액체 냉각제, RF 에너지, 리프트 핀 제어를 위한 케이블들, 전기적 모니터링 및 작동 신호들을 포함한다. RF 공급 도관 (622) 은 RF 바이어스 하우징 암 (634) 으로부터 절연되고, RF 바이어스 하우징 암 (634) 은 RF 전력 공급부 (620) 로의 RF 전력을 위한 리턴 경로를 제공한다. 설비 도관 (640) 은 설비 컴포넌트들을 위한 통로를 제공한다. 설비 컴포넌트들의 추가 상세들은 미국 특허 제 5,948,704 호 및 제 7,732,728 호에 기술되고 기술의 간략함을 위해 본 명세서에 도시되지 않았다. 갭 (610) 은 바람직하게 한정 고리 어셈블리 또는 슈라우드 (미도시) 에 의해 둘러싸이고, 그 세부 사항들은 본 명세서에 참조로서 인용된, 미국 특허 제 7,740,736 호에서 발견될 수 있다. 진공 챔버 (602) 의 내부는 진공 포털 (680) 을 통해 진공 펌프에 연결함으로써 저압으로 유지된다.
도관 지지 플레이트 (638) 는 작동 메커니즘 (642) 에 부착된다. 작동 메커니즘의 세부 사항들은 본 명세서에 상기에 인용된, 미국 특허 제 7,732,728 호에 기술된다. 서보 기계 모터, 스텝퍼 모터 등과 같은, 작동 메커니즘 (642) 은 예를 들어, 볼 스크루 및 볼 스크루를 회전시키기 위한 모터와 같은 스크루 기어 (646) 에 의해 수직 선형 베어링 (644) 에 부착된다. 갭 (610) 의 사이즈를 조정하기 위한 동작 동안, 작동 메커니즘 (642) 은 수직 선형 베어링 (644) 을 따라 이동한다. 도 6a는 작동 메커니즘 (642) 이 작은 갭 (610a) 을 발생시키는 선형 베어링 (644) 상의 높은 포지션에 있을 때의 배열을 예시한다. 도 6b는 작동 메커니즘 (642) 이 선형 베어링 (644) 상의 중간 포지션에 있을 때의 배열을 예시한다. 도시된 바와 같이, 하부 전극 (606), RF 바이어스 하우징 (630), 도관 지지 플레이트 (638), RF 전력 공급부 (620) 는 모두 챔버 하우징 (604) 및 상부 전극 (608) 에 대해 하부로 이동하여, 중간 사이즈의 갭 (610b) 을 발생시킨다.
도 6c는 작동 메커니즘 (642) 이 선형 베어링 상의 낮은 포지션에 있을 때의 큰 갭 (610c) 을 예시한다. 바람직하게, 상부 전극 (608) 및 하부 전극 (606) 은 갭 조정 동안 동축으로 유지되고 그리고 갭에 걸친 상부 전극 및 하부 전극의 대면 표면들은 평행하게 유지된다.
이 실시 예는 예를 들어, 300 ㎜ 웨이퍼들 또는 평판 디스플레이들과 같은 큰 직경의 기판에 걸쳐 균일한 에칭을 유지하기 위해, 조정될 다단계 프로세스 레시피들 (BARC, HARC, 및 STRIP 등) 동안 CCP 챔버 (602) 내 하부 전극 (606) 과 상부 전극 (608) 사이의 갭 (610) 을 허용한다. 특히, 이 챔버는 하부 전극 (606) 과 상부 전극 (608) 사이에 조정 가능한 갭을 제공하기 위해 필요한 선형 운동을 허용하는 기계적 배열에 속한다.
도 6a는 도관 지지 플레이트 (638) 에 대한 근위 단부에서 그리고 챔버 벽 플레이트 (618) 의 단차진 (stepped) 플랜지 (628) 에 대한 원위 단부에서 시일링된 (seal) 측방향으로 편향된 (deflect) 벨로우즈 (bellows) (650) 를 예시한다. 단차진 플랜지의 내경은 RF 바이어스 하우징 암 (634) 이 통과하는 챔버 벽 플레이트 (618) 내에 개구부 (612) 를 규정한다. 벨로우즈 (650) 의 원위 단부는 클램프 고리 (652) 에 의해 클램핑된다.
측방향으로 편향된 벨로우즈 (650) 는 RF 바이어스 하우징 (630), 도관 지지 플레이트 (638) 및 작동 메커니즘 (642) 의 수직 이동을 허용하는 동안 진공 시일을 제공한다. RF 바이어스 하우징 (630), 도관 지지 플레이트 (638) 및 작동 메커니즘 (642) 은 캔틸레버 어셈블리 (cantilever assembly) 로 지칭될 수 있다. 바람직하게, RF 전력 공급부 (620) 는 캔틸레버 어셈블리와 함께 이동하고, 도관 지지 플레이트 (638) 에 부착될 수 있다. 도 6b는 캔틸레버 어셈블리가 중간 포지션에 있을 때 중립 포지션에 있는 벨로우즈 (650) 를 도시한다. 도 6c는 캔틸레버 어셈블리가 낮은 포지션에 있을 때 측방향으로 편향된 벨로우즈 (650) 를 도시한다.
래비린스 (labyrinth) 시일 (648) 이 벨로우즈 (650) 와 플라즈마 프로세싱 챔버 하우징 (604) 의 내부 사이에 입자 배리어를 제공한다. 고정된 차폐부 (656) 는 이동식 차폐 플레이트 (658) 가 캔틸레버 어셈블리의 수직 이동을 수용하도록 수직으로 이동하는 래비린스 홈 (groove) (660) (슬롯) 을 제공하기 위해 챔버 벽 플레이트 (618) 에서 챔버 하우징 (604) 의 내측 벽 내부에 고정되게 부착된다. 이동식 차폐 플레이트 (658) 의 외측 부분은 하부 전극 (606) 의 모든 수직 포지션들에서 슬롯 내에 남아 있다.
도시된 실시 예에서, 래비린스 시일 (648) 은 래비린스 홈 (660) 을 규정하는 챔버 벽 플레이트 (618) 내의 개구부 (612) 의 주변부에서 챔버 벽 플레이트 (618) 의 내측 표면에 부착된 고정된 차폐부 (656) 를 포함한다. 이동식 차폐 플레이트 (658) 는 부착되고 RF 바이어스 하우징 암 (634) 으로부터 방사상으로 연장하고, 여기서 암 (634) 은 챔버 벽 플레이트 (618) 내의 개구부 (612) 를 통과한다. 이동식 차폐 플레이트 (658) 는 제 1 갭만큼 고정된 차폐부 (656) 로부터 이격되고 그리고 제 2 갭만큼 챔버 벽 플레이트 (618) 의 내부 표면으로부터 이격되는 동안 래비린스 홈 (660) 내로 연장하여, 캔틸레버 어셈블리로 하여금 수직으로 이동하게 한다. 래비린스 시일 (648) 은 진공 챔버 내부 (605) 로 벨로우즈 (650) 로부터 쪼개진 (spall) 입자들의 마이그레이션 (migration) 을 차단하고, 라디칼들이 후속하여 쪼개지는 증착물들을 형성할 수 있는 벨로우즈 (650) 로 프로세스 가스 플라즈마로부터의 라디칼들이 마이그레이팅하는 것을 차단한다.
도 6a는 캔틸레버 어셈블리가 높은 포지션 (작은 갭 (610a)) 에 있을 때 RF 바이어스 하우징 암 (634) 위의 래비린스 홈 (660) 의 더 높은 포지션에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 도 6c는 캔틸레버 어셈블리가 낮은 포지션 (큰 갭 (610c)) 에 있을 때 RF 바이어스 하우징 암 (634) 위의 래비린스 홈 (660) 의 더 낮은 포지션에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 도 6b는 캔틸레버 어셈블리가 중간 포지션 (중간 갭 (610b)) 에 있을 때 래비린스 홈 (660) 내의 중립 또는 중간 포지션에 있는 이동식 차폐 플레이트 (658) 를 도시한다. 래비린스 시일 (648) 이 RF 바이어스 하우징 암 (634) 을 중심으로 대칭인 것으로 도시되지만, 다른 실시 예들에서, 래비린스 시일 (648) 은 RF 바이어스 하우징 암 (634) 을 중심으로 비대칭적일 수도 있다.
도 7은 본 명세서의 특정한 실시 예들에 따른, 유도 커플링 플라즈마 에칭 장치 (700) 의 단면도를 개략적으로 도시한다. CA, Fremont 소재의 Lam Research Corp. 에 의해 생산된KiyoTM 반응기는 본 명세서에 기술된 기법들을 구현하기 위해 사용될 수도 있는 적합한 반응기의 일 예이다. 유도 커플링 플라즈마 에칭 장치 (700) 는 챔버 벽들 (701) 및 윈도우 (711) 에 의해 구조적으로 규정된 전체 에칭 챔버를 포함한다. 챔버 벽들 (701) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (711) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (750) 가 전체 에칭 챔버를 상부 서브챔버 (702) 및 하부 서브챔버 (703) 로 분할한다. 플라즈마 그리드 (750) 는 단일 그리드 또는 복수의 개별 그리드들을 포함할 수도 있다. 임의의 실시 예들에서, 플라즈마 그리드 (750) 는 제거될 수도 있고, 이에 따라 서브챔버들 (702 및 703) 로 이루어진 챔버 공간을 활용한다.
척 (717) 이 하단 내측 표면 근방의 하부 서브챔버 (703) 내에 포지셔닝된다. 척 (717) 은 에칭 프로세스가 수행되는 반도체 웨이퍼 (719) 를 수용하고 홀딩하도록 구성된다. 척 (717) 은 존재한다면 웨이퍼 (719) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 고리 (미도시) 이 척 (717) 을 둘러싸고, 척 (717) 위에 존재한다면 웨이퍼 (719) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (717) 은 또한 웨이퍼를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (717) 으로부터 웨이퍼 (719) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (717) 은 RF 전력 공급부 (723) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (723) 는 연결부 (727) 를 통해 매칭 회로 (721) 에 접속된다. 매칭 회로 (721) 는 연결부 (725) 를 통해 척 (717) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (723) 는 척 (717) 에 접속된다.
코일 (733) 이 윈도우 (711) 위에 포지셔닝된다. 코일 (733) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 7에 도시된 예시적인 코일 (733) 은 3 개의 턴들을 포함한다. 코일 (733) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "
Figure pct00001
를 갖는 코일들은 페이지로부터 회전하여 연장한다. RF 전력 공급부 (741) 가 코일 (733) 에 RF 전력을 공급하도록 구성된다. 일반적으로, RF 전력 공급부 (741) 는 연결부 (745) 를 통해 매칭 회로 (739) 에 접속된다. 매칭 회로 (739) 는 연결부 (743) 를 통해 코일 (733) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (741) 는 코일 (733) 에 접속된다. 선택 가능한 패러데이 차폐부 (749) 가 코일 (733) 과 윈도우 (711) 사이에 포지셔닝된다. 패러데이 차폐부 (749) 는 코일 (733) 에 대해 이격된 관계로 유지된다. 패러데이 차폐부 (749) 는 윈도우 (711) 바로 위에 배치된다. 코일 (733), 패러데이 차폐부 (749), 및 윈도우 (711) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부는 금속 또는 다른 종이 플라즈마 챔버의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 챔버 내에 포지셔닝된 주 주입 포트 (760) 를 통해 그리고/또는 때때로 STG로 지칭되는 측면 주입 포트 (770) 를 통해 공급될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (740) 가, 동작 가능한 플라즈마 프로세싱 동안 프로세싱 챔버로부터 프로세스 가스들을 인출하고 쓰로틀 (throttle) 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 사용함으로써 프로세싱 챔버 (701) 내의 압력을 유지하도록 사용될 수도 있다.
장치의 동작 동안, 하나 이상의 반응 물질 가스들이 주입 포트들 (760 및/또는 770) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 가스는 주 주입 포트 (760) 를 통해서만, 또는 측면 주입 포트 (770) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 주입 포트들은 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (749) 및/또는 선택 가능한 그리드 (750) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (749) 및 선택 가능한 그리드 (750) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다.
RF 전류로 하여금 코일 (733) 을 통해 흐르게 하도록, RF 전력 공급부 (741) 로부터 코일 (733) 로 무선 주파수 전력이 공급된다. 코일 (733) 을 통해 흐르는 RF 전류는 코일 (733) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (702) 내에 유도 전류를 생성한다. 웨이퍼 (719) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브챔버 (702) 및 하부 서브챔버 (703) 모두가 있도록 플라즈마 그리드 (750) 가 사용된다면, 유도 전류는 상부 서브챔버 (702) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (702) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (750) 는, 존재한다면, 하부 서브챔버 (703) 내의 핫 (hot) 전자들의 수를 제한하도록 작용할 수도 있다. 일부 실시 예들에서, 장치는 하부 서브챔버 (703) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다. 다른 실시 예들에서, 장치는 하부 서브챔버 (703) 내에 존재하는 플라즈마가 전자-이온 플라즈마이도록 설계되고 동작될 수도 있다. 내부 플라즈마 그리드들 및 이온-이온 플라즈마는, 2013년 11월 15일 출원되고 그리고 명칭이 "INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION"인 미국 특허 출원 번호 제 14/082,009 호, 및 미국 특허 번호 제 9,245,761 호에서 더 논의되고, 각각은 본 명세서에서 전체가 참조로서 인용된다.
휘발성 에칭 부산물들은 포트 (722) 를 통해 하부 서브챔버 (703) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (717) 은 약 30 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 일부 경우들에서, 척 (717) 은 또한 예를 들어 척 (717) 이 능동적으로 냉각될 때보다 더 낮은 온도들에서 동작할 수도 있다. 이러한 경우들에서 척 (717) 은 목표된 바와 같이 실질적으로 더 낮은 온도들에서 동작할 수도 있다. 온도는 에칭 프로세스 동작 및 특정한 레시피에 종속될 것이다. 일부 실시 예들에서, 챔버 (701) 는 약 1 mTorr 내지 약 95 mTorr 범위의 압력들에서 동작할 수도 있다. 특정한 실시 예들에서, 압력은 더 높을 수도 있다.
챔버 (701) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관 (plumbing) 을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (701) 에 커플링된다. 부가적으로, 챔버 (701) 는 로봇들로 하여금 통상적인 자동화를 사용하여 챔버 (701) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (730) 가 에칭 챔버의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (730) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 시스템 제어기 (730) 와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기 (730) 는 시스템 제어 소프트웨어를 실행한다.
일부 경우들에서, 시스템 제어기 (730) 는 가스 농도, 웨이퍼 이동, 및/또는 코일들 (733) 및/또는 정전 척 (717) 에 공급된 전력을 제어한다. 시스템 제어기 (730) 는 예를 들어, 적절한 농도(들)로 필요한 반응 물질(들)을 제공하는 하나 이상의 유입구 가스 스트림을 생성하도록 관련 밸브들을 개방 및 폐쇄함으로써 가스 농도를 제어할 수도 있다. 웨이퍼 이동은 예를 들어, 웨이퍼 포지셔닝 시스템이 목표된 대로 이동하도록 지시함으로써 제어될 수도 있다. 코일들 (733) 및/또는 척 (717) 에 공급된 전력은 특정한 RF 전력 레벨들을 제공하도록 제어될 수도 있다. 유사하게, 내부 그리드 (750) 가 사용된다면, 그리드에 인가된 임의의 RF 전력은 시스템 제어기 (730) 에 의해 조정될 수도 있다.
시스템 제어기 (730) 는 센서 출력 (예를 들어, 전력, 전위, 압력, 등이 특정한 문턱값에 도달할 때), 동작의 타이밍 (예를 들어, 프로세스의 특정한 시간들에 밸브들을 개방, 등) 에 기초하여, 또는 사용자로부터 수신된 인스트럭션들에 기초하여 이들 및 다른 양태들을 제어할 수도 있다. 예시적인 제어기는 이하에 더 논의된다.
도 8은 VTM (Vacuum Transfer Module) (838) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처 (cluster architecture) 를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 기판들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 로드 록 또는 이송 모듈로 또한 공지된 에어록 (830) 은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는, 4 개의 프로세싱 모듈들 (820a 내지 820d) 을 갖는 VTM (838) 에 도시된다. 예로서, 프로세싱 모듈들 (820a 내지 820d) 은 기판 에칭, 증착, 이온 주입, 기판 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들뿐만 아니라 레이저 계측 및 다른 결함 검출 및 결함 식별 방법들을 수행하도록 구현될 수도 있다. 프로세싱 모듈들 중 하나 이상 (820a 내지 820d 중 임의의 프로세싱 모듈) 이 본 명세서에 개시된 바와 같이, 즉, 기판들 내로 리세스된 피처들을 에칭하기 위해 구현될 수도 있다. 에어록 (830) 및 프로세스 모듈들 (820a 내지 820d) 은 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 스테이션을 VTM (838) 에 인터페이싱하는 패싯 (facet) (836) 을 갖는다. 패싯들 내부에서, 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 기판 (826) 의 통과를 검출하기 위해 사용된다.
로봇 (822) 은 스테이션들 사이에서 기판들을 이송한다. 일 구현 예에서, 로봇은 하나의 암을 가질 수도 있고, 또 다른 구현 예에서, 로봇은 2 개의 암들을 가질 수도 있고, 암 각각은 이송을 위해 기판들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (824) 를 갖는다. ATM (Atmospheric Transfer Module) (840) 의 프론트-엔드 로봇 (832) 은 카세트 또는 LPM (Load Port Module) (842) 의 FOUP (Front Opening Unified Pod) (834) 로부터 에어록 (830) 으로 기판들을 이송하기 위해 사용될 수도 있다. 프로세스 모듈들 (820a 내지 820d) 내부의 모듈 중심 (828) 은 기판을 배치하기 위한 일 위치일 수도 있다. ATM (840) 내의 정렬기 (aligner) (844) 는 기판들을 정렬하기 위해 사용될 수도 있다.
예시적인 프로세싱 방법에서, 기판은 LPM (842) 내의 FOUP들 (834) 중 하나에 배치된다. 프론트-엔드 로봇 (832) 은 FOUP (834) 로부터 기판 (826) 으로 하여금 에칭되거나, 증착되거나, 달리 프로세싱되기 전에 적절히 센터링되게 하는, 정렬기 (844) 로 기판을 이송한다. 정렬된 후, 기판은 프론트-엔드 로봇 (832) 에 의해 에어록 (830) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 기판은 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (830) 로부터, 기판은 로봇 (822) 에 의해 VTM (838) 을 통해 그리고 프로세스 모듈들 (820a 내지 820d) 중 하나, 예를 들어 프로세스 모듈 (820a) 내로 이동된다. 이 기판 이동을 달성하기 위해, 로봇 (822) 은 암들 각각 상의 엔드 이펙터들 (824) 을 사용한다. 일단 웨이퍼 (826) 가 프로세싱되면, 웨이퍼는 로봇 (822) 에 의해 프로세스 모듈들 (820a 내지 820d) 로부터 에어록 모듈 (830) 로 이동된다. 여기서부터, 웨이퍼 (826) 는 프론트-엔드 로봇 (832) 에 의해 FOUP들 (834) 중 하나 또는 정렬기 (844) 로 이동될 수도 있다.
기판 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치 (electronics) 와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들 (dies) 의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 시스템에 프로세스 레시피들을 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제작 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
정의들
"지방족 (aliphatic)"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖는 하이드로카본기를 의미하고, 알칸들 (또는 알킬), 알켄들 (또는 알케닐), 알킨들 (또는 알키닐), 이들의 사이클릭 버전들을 포함하고, 직쇄 배열 및 분지쇄 배열을 더 포함하고, 또한 모든 입체 이성질체 및 위치 이성질체을 포함한다. 이러한 지방족은 알킬기에 대해 본 명세서에 기술된 기들과 같은 하나 이상의 기들로 치환되거나 치환되지 않을 수 있다. 또 다른 치환기들은 방향족, 할로방향족, 할로, 나이트레이트, 시아노, 설포네이트, 설포닐, 또는 다른 것들을 포함할 수 있다.
"알칸"은 본 명세서에 정의된 바와 같은 하나 이상의 알킬기를 갖는 화합물을 의미한다. 알칸은 예를 들어, 알킬에 대해, 본 명세서에 기술된 하나 이상의 치환기로 치환되거나 비치환될 수 있다.
"알켄"은 본 명세서에 정의된 바와 같이, 하나 이상의 알케닐기를 갖는 화합물을 의미한다. 알켄은 예를 들어, 알킬에 대해, 본 명세서에 기술된 하나 이상의 치환기로 치환되거나 비치환될 수 있다.
"알킨"은 본 명세서에 정의된 바와 같이, 하나 이상의 알키닐기를 갖는 화합물을 의미한다. 알킨은 예를 들어, 알킬의 경우와 같이, 본 명세서에 기술된 하나 이상의 치환기로 치환되거나 비치환될 수 있다.
"알케닐"은 적어도 2 개의 탄소 원자 내지 50 개의 탄소 원자들 (C2-50), 예컨대 2 내지 25 개의 탄소 원자들 (C2-25), 또는 2 내지 10 개의 탄소 원자들 (C2-10) 및 적어도 하나의 탄소-탄소 이중 결합을 갖는 불포화된 1가 (monovalent) 하이드로카본을 의미하고, 불포화 1가 하이드로카본은 모 알켄 (parent alkene) 의 하나의 탄소 원자로부터 하나의 수소 원자를 제거하는 것으로부터 유도될 수 있다. 알케닐기는 분지형, 직쇄, 사이클릭 (예를 들어, 사이클로알케닐), 시스, 또는 트랜스 (예를 들어, E 또는 Z) 일 수 있다. 예시적인 알케닐은 하나 이상의 이중 결합들을 갖는 선택 가능하게 (optionally) 치환된 C2-24 알킬기를 포함한다. 알케닐기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알콕시 (alkoxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 지방족기이다. 예시적인 알콕시기들은 이로 제한되는 것은 아니지만, 메톡시,에톡시, n-프로폭시, 이소프로폭시, n-부톡시, t-부톡시, sec-부톡시, n-펜톡시, 트리할로알콕시, 예컨대 트리플루오로메톡시, 등을 포함한다. 알콕시기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알콕시기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알콕시기들은 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시기들을 포함한다.
"알킬"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖는 포화된 1가 하이드로카본을 의미하고, 여기서 포화된 1가 하이드로카본은 모 화합물 (예를 들어, 알칸) 의 하나의 탄소 원자로부터 하나의 수소 원자를 제거함으로써 유도될 수 있다. 알킬기는 분지형, 직쇄, 또는 사이클릭 (예를 들어, 사이클로알킬) 일 수 있다. 예시적인 알킬은 1 내지 24 개의 탄소 원자들의 분지형 또는 비분지형 포화 하이드로카본기, 예컨대 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, t-부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실 (eicosyl), 테트라코실, 등을 포함한다. 알킬기는 또한 치환되거나 치환되지 않을 수 있다. 알킬기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 예를 들어, 알킬기는 1, 2, 3 또는 2 개 이상의 탄소들의 알킬기들의 경우, 다음으로 구성된 기로부터 독립적으로 선택된 4 개의 치환기들로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); (2) C1-6 알킬술피닐 (예를 들어, -S(O)-R, 여기서 R은 C1-6 알킬임); (3) C1-6 알킬술포닐 (예를 들어, -SO2-R, 여기서 R은 C1-6 알킬); (4) 아민 (예를 들어, -C(O)NR1R2 또는 -NHCOR1, 여기서 R1 및 R2 각각은, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 또는 R1 및 R2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성함); (5) 아릴; (6) 아릴알콕시 (예를 들어, L은 알킬이고 R은 아릴인-O-L-R); (7) 아릴로일 (예를 들어, R이 아릴인-C(O)-R); (8) 아지도 (예를 들어, -N3); (9) 시아노 (예를 들어, -CN); (10) 알데하이드 (예를 들어, -C(O)H); (11) C3-8 사이클로알킬; (12)할로; (13) 헤테로사이클릴 (예를 들어, 본 명세서에 정의된 바와 같이, 예컨대 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 함유하는 5 원 고리, 6 원 고리 또는 7 원 고리); (14) 헤테로사이클릴옥시(예를 들어, -O-R, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴임); (15) 헤테로사이클릴로일 (예를 들어, -C(O)-R, 여기서 R은 본 명세서에 정의된 바와 같은, 헤테로사이클릴); (16) 하이드록실 (예를 들어, -OH); (17) N-보호된 아미노; (18) 니트로 (예를 들어, -NO2); (19) 옥소 (예를 들어, =O); (20) C1-6 티오알콕시 (예를 들어, -S-R, 여기서 R은 알킬임); (21) 티올 (예를 들어, -SH); (22) -CO2R1, 여기서 R1은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 기로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (23) -C(O)NR1R2, 여기서 R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 기로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (24) -SO2R1, 여기서 R1은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) C1-6 알킬-C4-18 아릴로 구성된 기로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (25) -SO2NR1R2, 여기서 R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 기로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (26) -SiR1R2R3, 여기서 R1 및 R2 및 R3 각각은 (a) 수소, (b) F, Cl, Br, 또는 I와 같은 할로, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, 또는 (f) C1-6 알콕시로 구성된 기로부터 독립적으로 선택됨 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); 및 (27) -NR1R2, 여기서 R1 및 R2 각각은, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, (f) C4-18 아릴, (g) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임), (h) C3-8 사이클로알킬, 및 (i) C1-6 알킬-C3-8 사이클로알킬로 구성된 기로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임), 일 실시 예에서, 카르보닐기 또는 술포닐기를 통해 질소 원자에 결합되는 2 개의 기들은 없다. 알킬기는 하나 이상의 치환기들 (예를 들어, 하나 이상의 할로 또는 알콕시) 로 치환된 1 차, 2 차, 또는 3 차 알킬기일 수 있다. 일부 실시 예들에서, 치환되지 않은 알킬기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알킬기이다.
"알키닐"은 적어도 2 개의 탄소 원자 내지 50 개의 탄소 원자들 (C2-50), 예컨대 2 내지 25 개의 탄소 원자들 (C2-25), 또는 2 내지 10 개의 탄소 원자들 (C2-10) 및 적어도 하나의 탄소-탄소 삼중 결합을 갖는 불포화된 1가 (monovalent) 하이드로카본을 의미하고, 불포화 1가 하이드로카본은 모 알킨의 하나의 탄소 원자로부터 하나의 수소 원자를 제거하는 것으로부터 유도될 수 있다. 알키닐기는 분지형, 직쇄, 또는 사이클릭 (예를 들어, 사이클로알키닐) 일 수 있다. 예시적인 알키닐은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 포함한다. 알키닐기는 사이클릭 또는 어사이클릭 (acyclic) 일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"방향족 (aromatic)"은 달리 명시되지 않는 한, 단일 고리 (예를 들어, 페닐) 또는 적어도 하나의 고리가 방향족인 복수의 축합 고리들 (예를 들어, 나프틸, 인돌릴, 또는 피라졸로피리디닐) 을 갖는 5 내지 15 개의 고리 원자들로부터 사이클릭, 공액기 또는 모이어티를 의미한다; 즉, 적어도 하나의 고리, 및 선택 가능하게 복수의 축합 고리들은 연속적인, 비국소 π-전자 시스템을 갖는다. 통상적으로, 평면 외 (out of plane) π-전자들의 수는 Huckel 규칙 (4n + 2) 에 대응한다. 모 구조체에 대한 부착 지점은 통상적으로 축합된 고리 시스템의 방향족 부분을 통한다. 이러한 방향족은 치환되지 않거나 알킬기 또는 아릴기에 대해 본 명세서에 기술된 기들과 같은 하나 이상의 기들로 치환될 수 있다. 또 다른 치환기들은 지방족, 할로지방족, 할로, 나이트레이트 (nitrate), 시아노, 술포네이트, 술포닐, 또는 다른 것들을 포함할 수 있다.
"아릴"은 적어도 5 개의 탄소 원자들 내지 15 개의 탄소 원자들 (C5-15), 예컨대 5 내지 10 개의 탄소 원자들 (C5-10) 을 포함하고, 단일 고리 또는 복수의 축합된 고리들을 갖는 방향족 카보사이클릭기를 의미하고, 축합된 고리들은 본 명세서에 개시된 화합물들의 나머지 위치에 대한 부착 지점이 방향족 카보사이클릭기의 원자를 통한다면 방향족일 수 있거나 아닐 수도 있다. 아릴기들은 수소 이외의 하나 이상의 기들, 예컨대 지방족, 헤테로지방족, 방향족, 다른 작용기들, 또는 이들의 임의의 조합으로 치환될 수도 있다. 예시적인 아릴기들은 벤질, 나프탈렌, 페닐, 비페닐, 페녹시벤젠, 등을 포함하지만, 이로 제한되지 않는다. 용어 아릴은 또한 방향족기의 고리 내에 혼입된 적어도 하나의 헤테로원자를 갖는 방향족기를 함유하는 기로서 정의되는, 헤테로아릴 (heteroaryl) 을 포함한다. 헤테로원자들의 예들은 이로 제한되는 것은 아니지만, 질소, 산소, 황, 및 인을 포함한다. 유사하게, 용어 아릴에 또한 포함되는 용어 비헤테로아릴 (non-heteroaryl) 은 헤테로원자를 함유하지 않는 방향족기를 함유하는 기를 정의한다. 아릴기는 치환되거나 치환되지 않을 수 있다. 아릴기는 다음으로 구성된 기로부터 독립적으로 선택된 1, 2, 3, 4 또는 5 개의 치환기들로 치환될 수 있다: (1) C1-6 알카노일 (예를 들어, -C(O)-R, 여기서 R은 C1-6 알킬임); (2) C1-6 알킬; (3) C1-6 알콕시 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); (4) C1-6 알콕시-C1-6 알킬 (예를 들어, -L-O-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬임); (5) C1-6 알킬술피닐 (예를 들어, -S(O)-R, 여기서 R은 C1-6 알킬임); (6) C1-6 알킬술피닐-C1-6 알킬 (예를 들어, -L-S(O)-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬임); (7) C1-6 알킬술포닐 (예를 들어, -SO2-R, 여기서 R은 C1-6 알킬임); (8) C1-6 알킬술포닐-C1-6 알킬 (예를 들어, -L-SO2-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬); (9) 아릴; (10) 아민 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 또는 각각이 부착된 질소 원자와 함께 취해진 R1 및 R2는 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성함); (11) C1-6 아미노알킬 (예를 들어, -L1-NR1R2 또는 -L2-C(NR1R2)(R3)-R4, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 C1-6 알킬이고; R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되거나, R1 및 R2는 각각이 부착된 질소 원자와 함께 취해져, 본 명세서에 정의된 바와 같은, 헤테로사이클릴기를 형성하고; R3 및 R4 각각은 독립적으로 H 또는 C1-6 알킬임); (12) 헤테로아릴; (13) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (14) 아릴로일 (예를 들어, -C(O)-R, R은 아릴임); (15) 아지도 (예를 들어, -N3); (16) 시아노 (예를 들어, -CN); (17) C1-6 아지도알킬 (예를 들어, -L-N3, 여기서 L은 C1-6 알킬임); (18) 알데하이드 (예를 들어, -C(O)H); (19) 알데하이드-C1-6 알킬 (예를 들어, -L-C(O)H, 여기서 L은 C1-6 알킬임); (20) C3-8 사이클로알킬; (21) C1-6 알킬-C3-8 사이클로알킬 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임); (22) 할로; (23) C1-6할로알킬 (예를 들어, -L1-X 또는 -L2-C(X)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 C1-6 알킬이고; X는 플루오로, 브로모, 클로로, 또는 아이오드고; 그리고 R1 및 R2 각각은 독립적으로 H 또는 C1-6 알킬임); (24) 헤테로사이클릴 (예를 들어, 본 명세서에 정의된 바와 같이, 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 함유하는 5 원 고리, 6 원 고리 또는 7 원 고리); (25) 헤테로사이클릴옥시(예를 들어, -O-R, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴임); (26) 헤테로사이클릴로일 (예를 들어, -C(O)-R, 여기서 R은 본 명세서에 정의된 바와 같이, 헤테로사이클릴임); (27) 하이드록실 (-OH); (28) C1-6 하이드록시알킬 (예를 들어, -L1-OH 또는 -L2-C(OH)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 알킬이고; 그리고 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같은 H 또는 C1-6 알킬임); (29) 니트로; (30) C1-6 니트로알킬 (예를 들어, -L1-NO 또는 -L2-C(NO)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 알킬이고; 그리고 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같은 H 또는 C1-6 알킬임); (31) N-보호된 아미노; (32) N-보호된 아미노-C1-6 알킬; (33) 옥소 (예를 들어, =O); (34) C1-6 티오알콕시 (예를 들어, -S-R, 여기서 R은 C1-6 알킬임); (35) 티오-C1-6 알콕시-C1-6 알킬 (예를 들어, -L-S-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬임); (36) -(CH2)rCO2R1, 여기서 r은 0 내지 4의 정수이고, R1은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 기로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (37) -(CH2)rCONR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 기로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (38) -(CH2)rSO2R1, 여기서 r은 0 내지 4의 정수이고, R1은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) C1-6 알킬-C4-18 아릴로 구성된 기로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (39) -(CH2)rSO2NR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은, (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 기로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴); (40) -(CH2)rNR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, (f) C4-18 아릴, (g) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임), (h) C3-8 사이클로알킬, 및 (i) C1-6 알킬-C3-8 사이클로알킬로 구성된 기로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임), 일 실시 예에서 카르보닐기 또는 술포닐기를 통해 질소 원자에 결합되는 2 개의 기들은 없음; (41) 티올 (예를 들어, -SH); (42) 퍼플루오로알킬 (예를 들어, -(CF2)nCF3, 여기서 n은 0 내지 10의 정수임); (43) 퍼플루오로알콕시 (예를 들어, -O-(CF2)nCF3, 여기서 n은 0 내지 10의 정수임); (44) 아릴옥시(예를 들어, -O-R, R은 아릴임); (45) 사이클로알콕시 (예를 들어, -O-R, 여기서 R은 사이클로알킬임); (46) 사이클로알킬알콕시 (예를 들어, -O-L-R, 여기서 L은 알킬이고 R은 사이클로알킬임); 및 (47) 아릴알콕시 (예를 들어, -O-L-R, L은 알킬이고 R은 아릴임). 특정한 실시 예들에서, 치환되지 않은 아릴기는 C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, 또는 C6-10 아릴기이다.
"카보사이클"은 본 명세서에 정의된 바와 같이, 하나 이상의 지환족 또는 다중 지환족 모이어티들을 갖는 화합물을 의미한다. 카보사이클은 예를 들어, 알킬에 대해, 본 명세서에 기술된 하나 이상의 치환기로 치환되거나 비치환될 수 있다 .
"시아노 (cyano)"는 -CN기를 의미한다.
"시아노알칸"은 본 명세서에서 정의된 바와 같이, 하나 이상의 수소 원자들이 시아노로 대체된 알칸을 의미한다.
"시아노방향족"은 본 명세서에 정의된 바와 같이, 하나 이상의 수소 원자들이 시아노로 대체된 방향족을 의미한다.
"지환족"은 본 명세서에 정의된 바와 같이, 사이클릭인 지방족기를 의미한다. 이러한 지환족기들은 포화되거나 불포화될 수 있다.
"사이클로알킬 (cycloalkyl)"은 달리 명시되지 않는 한, 3 내지 8 개의 탄소들의 1가 포화 또는 불포화 비방향족 사이클릭 하이드로카본기를 의미하고, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 사이클로헵틸, 바이사이클로[2.2.1.헵틸] 등으로 예시된다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"할로"는 F, Cl, Br, 또는 I를 의미하고, 접두사로서 사용될 때, "할로"는 기가 하나 이상의 할로 치환들을 포함할 수 있다는 것을 나타낸다.
"할로지방족"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 규정된 바와 같은 지방족기를 의미한다.
"할로알칸"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 규정된 바와 같은 알칸을 의미한다.
"할로알켄"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 규정된 바와 같은 알켄을 의미한다.
"할로알케닐"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 정의된 바와 같은 알케닐기를 의미한다.
"할로알킬"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 정의된 바와 같은 알킬기를 의미한다. 독립적인 실시 예에서, 할로알킬은 -CX3기일 수 있고, 여기서 X 각각은 독립적으로 플루오로, 브로모, 클로로, 또는 아이오드로부터 선택될 수 있다. 일부 실시 예들에서, 할로알킬기는 -L-X이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, X는 플루오로, 브로모, 클로로, 또는 아이오드다. 다른 실시 예들에서, 할로알킬기는 -L-C(X)(R1)-R2이고, 여기서 L은 본 명세서에 정의된 바와 같은 공유 결합 또는 알킬기이고; X는 플루오로, 브로모, 클로로 또는 아이오드고; R1 및 R2 각각은 독립적으로 H 또는 본 명세서에 정의된 바와 같은 알킬이다.
"할로알킨"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 규정된 바와 같은 알킨을 의미한다.
"할로알키닐"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 정의된 바와 같은 알키닐기를 의미한다.
"할로방향족"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 규정된 바와 같은 방향족을 의미한다. 할로겐으로 대체될 이러한 수소 원자(들)는 고리 내에 또는 고리 상에 배치된 치환 내에 존재할 수 있다.
"할로아릴"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 정의된 바와 같은 아릴기를 의미한다. 독립적인 실시 예에서, 할로아릴은 -Ph-CX3기일 수 있고, 여기서 Ph는 페닐이고 그리고 X 각각은 독립적으로 플루오로, 브로모, 클로로, 또는 아이오드로부터 선택될 수 있다. 일부 실시 예들에서, 할로아릴기는 -L-X이고, 여기서 L은 본 명세서에 정의된 바와 같은 아릴기이고, X는 플루오로, 브로모, 클로로, 또는 아이오드다. 다른 실시 예들에서, 할로아릴기는 -L-C(X)(R1)-R2이고, 여기서 L은 본 명세서에 정의된 바와 같은 아릴기이고; X는 플루오로, 브로모, 클로로 또는 아이오드고; R1 및 R2 각각은 독립적으로 H 또는 본 명세서에 정의된 바와 같은 알킬이다.
"할로카보사이클"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 규정된 바와 같은 카보사이클을 의미한다.
"할로헤테로지방족"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 아이오드로 대체되는, 본 명세서에 정의된 바와 같은 헤테로지방족을 의미한다.
"할로설포닐"은 -S(O)2X기 또는 -OS(O)X기이고, 여기서 X는 할로 (예를 들어, 플루오로, 브로모, 클로로, 또는 아이오드) 이다.
"헤테로지방족"은 본 명세서에 정의된 바와 같이, 이로 제한되는 것은 아니지만, 기 내에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태들로부터 선택될 수 있는 적어도 1 내지 20 개의 헤테로 원자들, 예컨대 1 내지 15 개의 헤테로 원자들, 또는 1 내지 5 개의 헤테로 원자들을 포함하는 지방족기를 의미한다.
"헤테로알킬", "헤테로알케닐" 및 "헤테로알키닐"은 각각 본 명세서에 정의된 바와 같은 알킬기, 알케닐기, 또는 알키닐기 (분지쇄, 직쇄, 또는 사이클릭일 수 있음) 를 의미하고, 이로 제한되는 것은 아니지만, 기 내에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태들로부터 선택될 수 있는 적어도 1 개의 헤테로원자 내지 20 개의 헤테로원자들, 예컨대 1 내지 15 개의 헤테로원자들, 또는 1 내지 5 개의 헤테로원자들을 포함한다.
"헤테로사이클"은 하나 이상의 헤테로사이클릴 모이어티들을 갖는 화합물을 의미한다. 비제한적인 헤테로사이클들은 선택 가능하게 치환된 이미다졸, 선택 가능하게 치환된 트리아졸, 선택 가능하게 치환된 테트라졸, 선택 가능하게 치환된 피라졸, 선택 가능하게 치환된 이미다졸린, 선택 가능하게 치환된 피라졸린, 선택 가능하게 치환된 이미다졸리딘, 선택 가능하게 치환된 피라졸리딘, 선택 가능하게 치환된 피롤, 선택 가능하게 치환된 피롤린, 선택 가능하게 치환된 피롤리딘, 선택 가능하게 치환된 테트라하이드로푸란, 선택 가능하게 치환된 푸란, 선택 가능하게 치환된 티오펜, 선택 가능하게 치환된 옥사졸, 선택 가능하게 치환된 이속사졸, 선택 가능하게 치환된 이소티아졸, 선택 가능하게 치환된 티아졸, 선택 가능하게 치환된 옥사티올란, 선택 가능하게 치환된 옥사디아졸, 선택 가능하게 치환된 티아디아졸, 선택 가능하게 치환된 설포란, 선택 가능하게 치환된 숙신이미드, 선택 가능하게 치환된 티아졸리딘디온, 선택 가능하게 치환된 옥사졸리돈, 선택 가능하게 치환된 히단토인, 선택 가능하게 치환된 피리딘, 선택 가능하게 치환된 피페리딘, 선택 가능하게 치환된 피리다진, 선택 가능하게 치환된 피페라진, 선택 가능하게 치환된 피리미딘, 선택 가능하게 치환된 피라진, 선택 가능하게 치환된 트리아진, 선택 가능하게 치환된 피란, 선택 가능하게 치환된 피리륨, 선택 가능하게 치환된 테트라하이드로피란, 선택 가능하게 치환된 다이옥신, 선택 가능하게 치환된 다이옥산, 선택 가능하게 치환된 디티안, 선택 가능하게 치환된 트리티안, 선택 가능하게 치환된 티오피란, 선택 가능하게 치환된 티안, 선택 가능하게 치환된 옥사진, 선택 가능하게 치환된 모르폴린, 선택 가능하게 치환된 티아진, 선택 가능하게 치환된 티오모르폴린, 선택 가능하게 치환된 사이토신, 선택 가능하게 치환된 티민, 선택 가능하게 치환된 우라실, 선택 가능하게 치환된 티오모르폴린 디옥사이드, 선택 가능하게 치환된 인덴, 선택 가능하게 치환된 인돌린, 치환된 인돌, 선택 가능하게 치환된 이소인돌, 선택 가능하게 치환된 인돌리진, 선택 가능하게 치환된 인다졸, 선택 가능하게 치환된 벤즈이미다졸, 선택 가능하게 치환된 아자인돌, 선택 가능하게 치환된 아자인다졸, 선택 가능하게 치환된 피라졸로피리미딘, 선택 가능하게 치환된 퓨린, 선택 가능하게 치환된 벤조푸란, 선택 가능하게 치환된 이소벤조푸란, 선택 가능하게 치환된 벤조티오펜, 선택 가능하게 치환된 벤즈이속사졸, 선택 가능하게 치환된 안트라닐, 선택 가능하게 치환된 벤즈이소티아졸, 선택 가능하게 치환된 벤족사졸, 선택 가능하게 치환된 벤즈티아졸, 선택 가능하게 치환된 벤즈티아디아졸, 선택 가능하게 치환된 아데닌, 선택 가능하게 치환된 구아닌, 선택 가능하게 치환된 테트라하이드로퀴놀린, 선택 가능하게 치환된 디하이드로퀴놀린, 선택 가능하게 치환된 디하이드로이소퀴놀린, 선택 가능하게 치환된 퀴놀린, 선택 가능하게 치환된 이소퀴놀린, 선택 가능하게 치환된 퀴놀리진, 선택 가능하게 치환된 퀴녹살린, 선택 가능하게 치환된 프탈라진, 선택 가능하게 치환된 퀴나졸린, 선택 가능하게 치환된 신놀린, 선택 가능하게 치환된 나프티리딘, 선택 가능하게 치환된 피리도피리미딘, 선택 가능하게 치환된 피리도피라진, 선택 가능하게 치환된 프테리딘, 선택 가능하게 치환된 크로멘, 선택 가능하게 치환된 이소크로멘, 선택 가능하게 치환된 크로메논, 선택 가능하게 치환된 벤족사진, 선택 가능하게 치환된 퀴놀리논, 선택 가능하게 치환된 이소퀴놀리논, 선택 가능하게 치환된 카바졸, 선택 가능하게 치환된 디벤조푸란, 선택 가능하게 치환된 아크리딘, 선택 가능하게 치환된 페나진, 선택 가능하게 치환된 페녹사진, 선택 가능하게 치환된 페노티아진, 선택 가능하게 치환된 페녹사티인, 선택 가능하게 치환된 퀴누클리딘, 선택 가능하게 치환된 아자다만탄, 선택 가능하게 치환된 디하이드로아제핀, 선택 가능하게 치환된 아제핀, 선택 가능하게 치환된 디아제핀, 선택 가능하게 치환된 옥 세판, 선택 가능하게 치환된 티에핀, 선택 가능하게 치환된 티아제핀, 선택 가능하게 치환된 아조칸, 선택 가능하게 치환된 아조신, 선택 가능하게 치환된 티오칸, 선택 가능하게 치환된 아조난, 선택 가능하게 치환된 아제신, 등을 포함한다. 선택적인 치환들은 아릴에 대해 본 명세서에 기술된 임의의 치환을 포함한다. 헤테로사이클들은 또한 이들 중 임의의 양이온들 및/또는 염들을 포함할 수 있다.
"헤테로사이클릴 (heterocyclyl)"은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 기로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로 원자들을 포함하는 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 3 원 고리는 0 내지 1 개의 이중 결합들을 갖고, 4 원 및 5 원 고리는 0 내지 2 개의 이중 결합들을 갖고, 6 원 및 7 원 고리는 0 내지 3 개의 이중 결합들을 갖는다. 용어 "헤테로사이클릴"은 또한 상기 헤테로사이클릴 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리, 예컨대 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라하이드로퀴놀릴, 벤조푸릴, 벤조티에닐, 등으로 구성된 기로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리들에 융합된 바이사이클릭 (bicyclic) 기, 트리사이클릭 (tricyclic) 기 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 헤테로사이클릭들은 아크리디닐, 아데닐, 알록사지닐, 아자아다만타닐, 아자벤즈이미다졸릴, 아자바이사이클로노닐, 아자사이클로헵틸, 아자사이클로옥틸, 아자사이클로노닐, 아자히폭산티닐, 아자인다졸릴, 아자인돌릴, 아제시닐, 아제파닐, 아제피닐, 아제티디닐, 아제틸, 아지리디닐, 아지리닐, 아조카닐, 아조시닐, 아조나닐, 벤즈이미다졸릴, 벤즈이소티아졸릴, 벤즈이속사졸릴, 벤조디아제피닐, 벤조디아조디아조시닐, 벤조디하이드로푸릴, 벤조디옥세피닐, 벤조디옥시닐, 벤조디옥사닐, 벤조디옥소시닐, 벤조디옥솔릴, 벤조디티에피닐, 벤조디티이닐, 벤조디옥소시닐, 벤조푸라닐, 벤조페나지닐, 벤조피라노닐, 벤조피라닐, 벤조피레닐, 벤조피로닐, 벤조퀴놀리닐, 벤조퀴놀리지닐, 벤조티아디아제피닐, 벤조티아디아졸릴, 벤조티아제피닐, 벤조티아조시닐, 벤조티아졸릴, 벤조티에닐, 벤조티오페닐, 벤조티아지노닐, 벤조티아지닐, 벤조티오피라닐, 벤조티오피로닐, 벤조트리아제피닐, 벤조트리아지노닐, 벤조트리아지닐, 벤조트리아졸릴, 벤족사티이닐, 벤조트리옥세피닐, 벤족사디아제피닐, 벤족사티아제피닐, 벤족사티아에피닐, 벤족사티오시닐, 벤족사제피닐, 벤족사지닐, 벤족사조시닐, 벤족사졸리노닐, 벤족사졸리닐, 벤족사졸릴, 벤질술타밀 벤질술티밀, 비피라지닐, 비피리디닐, 카르바졸릴 (예를 들어, 4H-카르바졸릴), 카르볼리닐 (예를 들어, β-카르볼리닐), 크로마노닐, 크로마닐, 크로메닐, 시놀리닐, 코우마리닐, 시트디닐, 사이토시닐, 데카하이드로이소퀴놀리닐, 데카하이드로퀴놀리닐, 디아자바이사이클로옥틸, 디아제틸, 디아지리디네티오닐, 디아지리디노닐, 디아지리디닐, 디아지리닐, 디벤즈이소퀴놀리닐, 디벤조아크리디닐, 디벤조카르바졸릴, 디벤조푸라닐, 디벤조페나지닐, 디벤조피라노닐, 디벤조피로닐 (잔토닐 (xanthonyl)), 디벤조퀴녹살리닐, 디벤조티아제피닐, 디벤조티에피닐, 디벤조티오페닐, 디벤조제피닐, 디하이드로아제피닐, 디하이드로아제틸, 디하이드로푸라닐, 디하이드로푸릴, 디하이드로이소퀴놀리닐, 디하이드로피라닐, 디하이드로피리디닐, 디하이드로이피리딜 (dihydroypyridyl), 디하이드로퀴놀리닐, 디하이드로티에닐, 디하이드로 인돌릴, 디옥사닐, 디옥사지닐, 디옥신돌릴, 디옥시라닐, 디옥세닐 (dioxenyl), 디옥시닐 (dioxinyl), 디옥소벤조푸라닐, 디옥소릴 (dioxolyl), 디옥소테트라하이드로푸라닐, 디옥소티오모르폴리닐, 디티아닐, 디티아졸릴, 디티에닐, 디티이닐, 푸라닐, 푸라자닐, 푸로일, 푸릴, 구아니닐, 호모피페라지닐, 호모피페리디닐, 하이포잔티닐, 하이단토이닐, 이미다졸리디닐, 이미다졸리닐, 이미다졸릴, 인다졸릴 (예를 들어, 1H-인다졸릴), 인돌레닐, 인돌리닐, 인돌리지닐, 인돌릴 (예를 들어, 1H-인돌릴 또는 3H-인돌릴), 이사티닐, 이사틸, 이소벤조푸라닐, 이소크로마닐, 이소크로메닐, 이소인다조일 (isoindazoyl), 이소인돌리닐, 이소인돌릴, 이소피라졸로닐, 이소피라졸릴, 이속사졸리디닐, 이속사졸릴, 이소퀴놀리닐, 이소티아졸리디닐, 이소티아졸릴, 모르포리닐, 나프트인다졸릴, 나프트인돌일, 나프트이리디닐, 나프토피라닐, 나프토티아졸릴, 나프토티옥솔릴, 나프토트리아졸릴, 나프톡신돌릴, 나프트이리디닐, 옥타하이드로이소퀴놀리닐, 옥사바이사이클로헵틸, 옥사우라실, 옥사디아졸릴, 옥사지닐, 옥사지리디닐, 옥사졸리디닐, 옥사졸리도닐, 옥사졸리닐, 옥사졸로닐, 옥사졸릴, 옥세파닐 (oxepanyl), 옥세타노닐 (oxetanonyl), 옥세타닐, 옥세틸, 옥스테나일 (oxtenayl), 옥스인돌릴 (oxindolyl), 옥시라닐, 옥소벤조이소티아졸릴, 옥소크로메닐, 옥소이소퀴놀리닐, 옥소퀴놀리닐, 옥소티올라닐, 페난트리디닐, 페난트롤리닐, 페나지닐, 페노티아지닐, 페노티에닐 (벤조티오푸라닐 (benzothiofuranyl)), 페녹사티이닐, 페녹사지닐, 프탈라지닐, 프탈라조닐, 프탈리딜, 프탈이미디닐, 피페라지닐, 피페리디닐, 피페리도닐 (예를 들어, 4-피페리도닐), 프테리디닐 (pteridinyl), 퓨리닐, 피라닐, 피라지닐, 피라졸리디닐, 피라졸리닐, 피라졸로피리미디닐, 피라졸릴, 피리다지닐, 피리디닐, 피리도피라지닐, 피리도피리미디닐, 피리딜, 피리미디닐, 피리미딜, 피로닐, 피롤리디닐, 피롤리도닐 (예를 들어, 2-피롤리도닐), 피롤리닐, 피롤리지디닐, 피롤릴 (예를 들어, 2H-피롤릴), 피릴리움, 퀴나졸리닐, 퀴놀리닐, 퀴놀리지닐 (예를 들어, 4H-퀴놀리지닐), 퀴녹살리닐, 퀴누클리디닐, 셀레나지닐, 셀레나졸릴, 셀레노페닐, 숙신이미딜, 술포라닐, 테트라하이드로푸라닐, 테트라하이드로푸릴, 테트라하이드로이소퀴놀리닐, 테트라하이드로이소퀴놀릴, 테트라하이드로피리디닐, 테트라하이드로피리딜 (피페리딜), 테트라하이드로피라닐, 테트라하이드로피로닐, 테트라하이드로퀴놀리닐, 테트라하이드로퀴놀릴, 테트라하이드로티에닐, 테트라하이드로티오페닐, 테트라지닐, 테트라졸릴, 티아디아지닐 (예를 들어, 6H-1,2,5-티아디아지닐 또는 2H, 6H-1,5,2-디티아지닐), 티아디아졸릴, 티안트레닐, 티아닐, 티아나프테닐, 티아제피닐, 티아지닐, 티아졸리딘디오닐, 티아졸리디닐, 티아졸릴, 티에닐, 티에파닐, 티에피닐, 티에타닐, 티에틸, 티라닐, 티오카닐, 티오크로마노닐, 티오크로마닐, 티오크로메닐, 티오디아지닐, 티오디아졸릴, 티오인독실, 티오모르폴리닐, 티오페닐, 티오피라닐, 티오피로닐, 티오트리아졸릴, 티오우라졸릴, 티옥사닐, 티옥소릴, 타이미디닐, 타이미닐, 트리아지닐, 트리아졸릴, 트리티아닐, 우라지닐, 우라졸릴, 우레티디닐, 우레티닐, 우리실, 우리디닐, 잔테닐 (xanthenyl), 잔티닐, 잔티오닐, 등, 뿐만 아니라 이들의 개질된 형태들 (예를 들어, 하나 이상의 옥소 및/또는 아미노를 포함함) 및 이들의 염들을 포함한다. 헤테로사이클릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로사이클릴기는 아릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"다중 지환족"은 본 명세서에 정의된 바와 같이, 2개 이상의 사이클릭기를 갖는 지방족기를 의미한다.
"나이트레이트"는 -ONO2기를 의미한다.
"설포닐"은 -S(O)2-기 또는 -OS(O)-기를 의미한다.
"설포네이트"는 -OS(O)2-기를 의미한다. 비제한적인 설포네이트기들은 -OSO2R을 포함하고, 여기서 R은 수소, 할로, 지방족, 알킬, 헤테로지방족, 헤테로알킬, 알콕시, 할로지방족, 할로알킬, 할로헤테로지방족, 방향족, 아릴, 할로아릴, 또는 이들의 임의의 조합으로부터 선택된다. 다른 비제한적인 설포네이트기들은 트리플레이트 (-OTf 또는 -OS(O)2CF3), 메실레이트 (-OMs 또는 -O(SO)2CH3), 토실레이트 (-OTs 또는 -O(SO)2(p-톨릴)), 브로실레이트 (-OBs 또는 -O(SO)2(p-브로모페닐)), 노실레이트 (-ONs 또는 -O(SO)2(p-니트로페닐)), 또는 트레실레이트 (-OS(O)2CH2CF3) 를 포함한다.
당업자는 상기 제공된 정의들이 용인할 수 없는 치환 패턴들 (예를 들어, 5 개의 상이한 기들로 치환된 메틸, 등) 을 포함하도록 의도되지 않는다는 것을 인식할 것이다. 이러한 용인할 수 없는 치환 패턴들은 당업자에 의해 용이하게 인식된다. 본 명세서에 개시되고 그리고/또는 상기 정의된 모든 작용기는, 달리 지시되지 않는 한, 치환되거나 치환되지 않을 수 있다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 명세서에 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 또한, 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 특정한 실시 예들은 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (30)

  1. 할로겐-함유 전구체에 프로세싱 챔버 내 반도체 기판의 표면을 노출하는 단계로서, 상기 할로겐-함유 전구체는 상기 프로세싱 챔버로 전달되거나 상기 프로세싱 챔버 내에서 인 시츄 (in situ) 로 형성되는, 상기 표면을 노출하는 단계; 및
    플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스에 의해 상기 표면 상에 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 막을 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 노출하는 단계는 상기 할로겐-함유 전구체를 상기 프로세싱 챔버로 전달하는 단계를 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 할로겐-함유 전구체는 직쇄 또는 분지쇄 지방족 모이어티, 방향족 모이어티, 지환족 모이어티, 또는 다중 지환족 (multicycloaliphatic) 모이어티를 포함하고, 모이어티 각각은 하나 이상의 할로 치환들을 포함하는, 방법.
  4. 제 3 항에 있어서,
    상기 하나 이상의 할로 치환들은 sp 탄소, sp2 탄소, sp3 탄소, 분지형 부분, 또는 비분지형 부분 상에 제공되는, 방법.
  5. 제 3 항에 있어서,
    상기 할로겐-함유 전구체는 할로카본, 할로지방족, 할로알칸, 할로알켄, 할로알킨, 할로방향족, 또는 할로카보사이클을 포함하는, 방법.
  6. 제 1 항에 있어서,
    상기 노출하는 단계는 상기 할로겐-함유 전구체 및 불활성 가스를 포함하는 프로세스 가스를 전달하는 단계를 더 포함하는, 방법.
  7. 제 1 항에 있어서,
    상기 노출하는 단계는 유기 반응 물질 및 할로겐-함유 반응 물질에 의해 상기 프로세싱 챔버 내에서 상기 할로겐-함유 전구체를 인 시츄로 형성하는 단계를 포함하는, 방법.
  8. 제 7 항에 있어서,
    상기 유기 반응 물질은 순수 하이드로카본 반응 물질, 할로카본 반응 물질, 이탈기를 갖는 하이드로카본 반응 물질, 또는 헤테로사이클 반응 물질을 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 순수 하이드로카본 반응 물질은 알칸, 알켄, 알킨, 방향족, 또는 카보사이클을 포함하는, 방법.
  10. 제 8 항에 있어서,
    상기 할로카본 반응 물질은 하나 이상의 할로 치환들을 포함하는 알칸, 알켄, 알킨, 방향족, 또는 카보사이클을 포함하는, 방법.
  11. 제 8 항에 있어서,
    상기 이탈기를 갖는 상기 하이드로카본 반응 물질은 하나 이상의 이탈기들을 포함하는 알칸, 알켄, 알킨, 방향족, 또는 카보사이클을 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 이탈기를 갖는 상기 하이드로카본 반응 물질은 할로설포닐기, 설포네이트기, 시아노기, 나이트로어스 옥사이드기 (N2O), 또는 나이트레이트기 (-ONO2) 를 포함하는, 방법.
  13. 제 11 항에 있어서,
    상기 이탈기를 갖는 상기 하이드로카본 반응 물질은 알킬 설포닐 할라이드, 아릴 설포닐 할라이드, 알킬 트리플레이트, 아릴 트리플레이트, 알킬 메실레이트, 아릴 메실레이트, 시아노알칸, 시아노방향족, 알킬 나이트레이트, 또는 아릴 나이트레이트를 포함하는, 방법.
  14. 제 7 항에 있어서,
    상기 할로겐-함유 반응 물질은 할라이드, 할로겐 가스, 테트라할로실란, 트리할로실란, 디할로실란, 모노할로실란, 또는 그리냐르 (Grignard) 시약을 포함하는, 방법.
  15. 제 7 항에 있어서,
    상기 노출하는 단계는 제 1 불활성 가스와 함께 상기 유기 반응 물질을 포함하는 제 1 프로세스 가스 및 제 2 불활성 가스와 함께 상기 할로겐-함유 반응 물질을 포함하는 제 2 프로세스 가스를 전달하는 단계를 더 포함하는, 방법.
  16. 제 1 항에 있어서,
    상기 할로겐-함유 전구체는 적어도 약 1:3의 탄소 원자들 대 할로겐 원자들의 비 (C:H 비) 및/또는 적어도 약 1:20의 할로겐 원자들 대 수소 원자들의 비 (X:H 비) 를 포함하는, 방법.
  17. 제 1 항에 있어서,
    상기 노출하는 단계는 수소 (H2) 가스를 전달하는 단계를 더 포함하는, 방법.
  18. 제 1 항에 있어서,
    상기 노출하는 단계는 상기 할로겐-함유 전구체와 함께 하이드로카본 전구체를 전달하는 단계를 더 포함하는, 방법.
  19. 제 18 항에 있어서,
    상기 하이드로카본 전구체는 알칸, 알켄, 알킨, 방향족, 또는 카보사이클을 포함하는, 방법.
  20. 제 1 항에 있어서,
    상기 노출하는 단계는 금속-함유 반응 물질을 전달하는 단계를 더 포함하고, 그리고 상기 증착하는 단계는 금속-도핑된 AHM을 제공하는, 방법.
  21. 제 20 항에 있어서,
    상기 금속-함유 반응 물질은 유기 금속 시약, 금속 할라이드, 또는 금속 하이드라이드를 포함하는, 방법.
  22. 제 1 항에 있어서,
    상기 PECVD 프로세스는 저 주파수 (low frequency; LF) 컴포넌트 및/또는 고 주파수 (high frequency; HF) 컴포넌트를 포함하는 플라즈마를 점화하는 단계를 포함하는, 방법.
  23. 제 1 항에 있어서,
    상기 방법은 상기 AHM을 포함하는 다층 스택을 제공하는, 방법.
  24. 제 1 항에 있어서,
    상기 AHM을 패터닝하는 단계로서, 상기 패터닝은 패터닝된 AHM을 제공하는, 상기 패터닝하는 단계; 및
    상기 반도체 기판 내에 상기 AHM의 피처들을 규정하도록 상기 패터닝된 AHM을 에칭하는 단계를 더 포함하는, 방법.
  25. 제 24 항에 있어서,
    상기 패터닝된 AHM 하부 (underlying) 상기 반도체 기판 내에 하나 이상의 층들을 에칭하는 단계; 및
    상기 패터닝된 AHM을 제거하는 단계를 더 포함하는, 방법.
  26. 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 를 형성하기 위한 장치에 있어서,
    프로세싱 챔버;
    상기 프로세싱 챔버 내의 기판 홀더;상기 프로세싱 챔버 내로 가스들을 흘리기 위한 하나 이상의 가스 유입구들;
    상기 프로세싱 챔버 내에 플라즈마들을 생성하기 위한 플라즈마 생성기; 및
    하나 이상의 제어기들을 포함하고, 상기 하나 이상의 제어기들은 반도체 기판 상에 AHM을 증착하도록 상기 기판 홀더, 상기 하나 이상의 가스 유입구들 및 상기 플라즈마 생성기를 동작시키기 위한 머신-판독 가능 인스트럭션들을 포함하고, 상기 하나 이상의 제어기들의 상기 인스트럭션들은,
    (a) 할로겐-함유 반응 물질과 함께 할로겐-함유 전구체 또는 유기 반응 물질을 상기 프로세싱 챔버 내로 흘리기 위한 상기 하나 이상의 가스 유입구들의 동작을 유발하기 위한 인스트럭션들―상기 유기 반응 물질 및 상기 할로겐-함유 반응 물질은 상기 할로겐-함유 전구체를 형성함―로서, 이에 따라 상기 기판 홀더 내에 홀딩된 상기 반도체 기판의 표면을 상기 할로겐-함유 전구체에 노출하는, 상기 하나 이상의 가스 유입구들의 동작을 유발하기 위한 인스트럭션들; 및
    (b) 상기 표면 상에 상기 AHM을 형성하도록 플라즈마를 생성하기 위한 상기 플라즈마 생성기의 동작을 유발하기 위한 인스트럭션들을 포함하는, 장치.
  27. 제 26 항에 있어서,
    상기 하나 이상의 제어기들의 상기 인스트럭션들은,
    (c) 상기 플라즈마 생성기의 동작을 유발하기 전에, 상기 프로세싱 챔버 내로 수소 (H2) 가스를 흘리기 위한 상기 하나 이상의 가스 유입구들의 동작을 더 유발하기 위한 인스트럭션들을 더 포함하는, 장치.
  28. 제 26 항에 있어서,
    상기 하나 이상의 제어기들의 상기 인스트럭션들은,
    (c) 상기 플라즈마 생성기의 동작을 유발하기 전에, 상기 프로세싱 챔버 내로 금속-함유 반응 물질을 흘리기 위한 상기 하나 이상의 가스 유입구들의 동작을 더 유발하기 위한 인스트럭션들을 더 포함하는, 장치.
  29. 제 26 항에 있어서,
    상기 하나 이상의 제어기들의 상기 인스트럭션들은,
    (c) 적어도 약 50 ℃의 온도에서 상기 기판 홀더의 동작을 유발하기 위한 인스트럭션들을 더 포함하는, 장치.
  30. 제 26 항에 있어서,
    상기 플라즈마 생성기는 저 주파수 (LF) 컴포넌트 및/또는 고 주파수 (HF) 컴포넌트를 포함하는 플라즈마를 제공하도록 동작되는, 장치.
KR1020227044415A 2020-09-25 2021-09-23 견고한 애싱 가능한 하드 마스크 (robust ashable hard mask) KR20230078588A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198061P 2020-09-25 2020-09-25
US63/198,061 2020-09-25
PCT/US2021/051776 WO2022066927A1 (en) 2020-09-25 2021-09-23 Robust ashable hard mask

Publications (1)

Publication Number Publication Date
KR20230078588A true KR20230078588A (ko) 2023-06-02

Family

ID=80845836

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227044415A KR20230078588A (ko) 2020-09-25 2021-09-23 견고한 애싱 가능한 하드 마스크 (robust ashable hard mask)

Country Status (6)

Country Link
US (1) US20230360922A1 (ko)
JP (1) JP2023542919A (ko)
KR (1) KR20230078588A (ko)
CN (1) CN115735262A (ko)
TW (1) TW202225448A (ko)
WO (1) WO2022066927A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Also Published As

Publication number Publication date
CN115735262A (zh) 2023-03-03
JP2023542919A (ja) 2023-10-12
WO2022066927A1 (en) 2022-03-31
US20230360922A1 (en) 2023-11-09
TW202225448A (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
KR102535093B1 (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
KR101931134B1 (ko) 2개의 스테이지들에서의 균일한 건식 에칭
KR102668418B1 (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
KR20200006628A (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
KR20140016903A (ko) 원격으로-여기된 불소 및 수증기 식각
TW201133609A (en) Smooth siconi etch for silicon-containing films
KR20190050854A (ko) 상호접속 구조체들을 위한 합성 유전체 계면 층들
TWI806140B (zh) 用於選擇性乾式蝕刻氧化鎵的方法
US20220362803A1 (en) SELECTIVE ATTACHMENT TO ENHANCE SiO2:SiNx ETCH SELECTIVITY
KR20230078588A (ko) 견고한 애싱 가능한 하드 마스크 (robust ashable hard mask)
KR20220002748A (ko) 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
US20230386831A1 (en) Selective deposition of metal oxides using silanes as an inhibitor
US20240030028A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
KR20240090737A (ko) 플라즈마 에칭의 인 시츄 디클로깅 (declogging)
TW202400828A (zh) 選擇性沉積方法及化學品輸送系統
KR20220148249A (ko) EUV 패터닝의 결함 감소를 위한 다층 하드마스크 (multi-layer hardmask)
WO2023069120A1 (en) In situ declogging in plasma etching