KR20220113516A - 선택적 갭 충전을 위한 저온 플라즈마 사전-세정 - Google Patents

선택적 갭 충전을 위한 저온 플라즈마 사전-세정 Download PDF

Info

Publication number
KR20220113516A
KR20220113516A KR1020227024402A KR20227024402A KR20220113516A KR 20220113516 A KR20220113516 A KR 20220113516A KR 1020227024402 A KR1020227024402 A KR 1020227024402A KR 20227024402 A KR20227024402 A KR 20227024402A KR 20220113516 A KR20220113516 A KR 20220113516A
Authority
KR
South Korea
Prior art keywords
dielectric
substrate
plasma
pedestal
processing chamber
Prior art date
Application number
KR1020227024402A
Other languages
English (en)
Inventor
이 쑤
유페이 후
카즈야 다이토
제럴딘 엠. 바스케스
다 헤
잘레팔리 라비
유 레이
디엔-예 우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220113516A publication Critical patent/KR20220113516A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

금속 및 유전체 표면들을 갖는 기판들을 사전-세정하기 위한 방법들이 설명된다. 기판이 위치되는 냉각 피처를 포함하는 페디스털의 온도가 100℃ 이하로 세팅된다. 기판은 금속 최하부, 유전체 측벽들, 및/또는 유전체 필드를 포함하는 기판의 피처들로부터 화학적 잔류물 및/또는 불순물들을 제거하고 그리고/또는 유전체 측벽들 및/또는 유전체 필드의 표면 결함들을 보수하기 위해 플라즈마 처리에 노출된다. 플라즈마 처리는 산소 플라즈마, 예컨대 다이렉트 산소 플라즈마일 수 있다. 방법을 실시하기 위한 프로세싱 툴들 및 컴퓨터 판독가능 매체들이 또한 설명된다.

Description

선택적 갭 충전을 위한 저온 플라즈마 사전-세정
[0001] 본 개시내용의 실시예들은 일반적으로, 반도체들에서 갭들을 충전하기 위한 방법들에 관한 것이다. 특히, 본 개시내용의 실시예들은 금속 증착 선택도를 개선시키기 위해 기판을 사전-세정하기 위한 방법들에 관한 것이다.
[0002] 상호연결 금속화는 로직 및 메모리 디바이스들에서 널리 사용된다. 벌크-증착된 CVD/PVD 막이 뒤따르는 라이너 막이 통상적으로 비아/트렌치 갭 충전 애플리케이션들에 대해 사용된다. 그러나, 피처 사이즈가 감소함에 따라, 비아/트렌치 구조들이 더 작아지고, 라이너 막의 볼륨 비가 증가하여, 결함이 없고 낮은 저항의 금속 갭 충전을 달성하는 것을 어렵게 한다.
[0003] 선택적 증착 프로세스는 증착 동안 하나의 표면 재료 대 다른 표면 재료에 대한 배양 차이를 이용한다. 이러한 배양 지연은 이음매(seam)/공극 및 라이너 막 없이 상향식 갭 충전을 가능하게 하도록 레버리징(leverage)될 수 있다. 그러나, 이러한 기법이 더 광범위하게 적용되는 것을 방해하는 여러가지 난제들이 존재한다. 예컨대, 비아 최하부 및 유전체 표면 상의 불순물들은 금속 표면 대 유전체 필드 상의 선택적 금속 성장의 선택도를 감소시킬 수 있다. 표면 오염물들(예컨대, 산소, 탄소, 불소, 염소)을 세정하기 위해 가열된 플라즈마(예컨대, H2 플라즈마 또는 O2 플라즈마)를 이용하는 현재 프로세스들은 불안정한 웨이퍼 온도들, 및 대략 30℃ 내지 100℃의 웨이퍼들의 온도 증가들을 초래할 수 있다. 결국, 금속 산화의 증가, 및 다음의 처리 및 증착 프로세스들에 대한 난제들이 존재한다.
[0004] 일반적으로, 여전히 필드 상에서 성장을 전혀 유지하지 않거나 최소의 성장을 유지하면서 금속 표면을 효율적으로 세정하는 것은 광범위한 사용을 방해하는 주요 난제이다. 또한, 상이한 에칭 잔류물들 또는 오염물들을 갖는 상이한 표면 구조들은 선택적 성장을 가능하게 하기 위해 상이한 사전-세정 프로세스들을 필요로 할 수 있다.
[0005] 따라서, 선택적 증착을 위해 기판 표면들을 사전-세정하기 위한 개선된 방법들 및 장치에 대한 지속적인 필요성이 당업계에 존재한다.
[0006] 본 개시내용의 하나 이상의 실시예들은 사전-세정 방법들에 관한 것이다. 금속 최하부, 유전체 측벽들, 및 유전체 필드를 갖는 표면 구조를 포함하는 기판은 냉각 피처를 포함하는 페디스털 상에 있다. 페디스털의 온도는 100℃ 이하로 세팅된다. 기판은 금속 최하부, 유전체 측벽들, 및/또는 유전체 필드로부터 화학적 잔류물 및/또는 불순물들을 제거하고 그리고/또는 유전체 측벽들 및/또는 유전체 필드의 표면 결함들을 보수하기 위해 플라즈마 처리에 노출된다.
[0007] 본 개시내용의 부가적인 실시예들은 금속 최하부, 유전체 측벽들, 및 유전체 필드를 갖는 표면 구조를 포함하는 기판을 사전-세정하는 방법에 관한 것이다. 기판은 RF(radio frequency) 능력 및 냉각 피처를 포함하는 페디스털 상의 프로세싱 챔버에 포지셔닝된다. 페디스털의 온도는 100℃ 이하로 세팅된다. 기판은 금속 최하부, 유전체 측벽들, 및/또는 유전체 필드로부터 화학적 잔류물 및/또는 불순물들을 제거하고 그리고/또는 유전체 측벽들 및/또는 유전체 필드의 표면 결함들을 보수하기 위해 프로세싱 챔버에서 플라즈마 처리에 노출되며, 여기서 플라즈마 처리는 수소 플라즈마 및 산소 플라즈마를 포함한다.
[0008] 본 개시내용의 추가적인 실시예들은 프로세싱 챔버의 제어기에 의해 실행될 때, 프로세싱 챔버로 하여금, 제1 프로세싱 챔버 내의 페디스털 상에 기판을 포지셔닝시키고 - 페디스털은 냉각 피처를 포함함 -; 제1 프로세싱 챔버에서 플라즈마 처리에 기판을 노출시키고; 그리고 페디스털의 온도를 100℃ 이하로 세팅하는 동작들을 수행하게 하는 명령들을 포함하는 비-일시적인 컴퓨터 판독가능 매체에 관한 것이다.
[0009] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있는데, 이러한 실시예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 실시예들만을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 이상의 실시예들에 따른 기판 구조의 개략적인 표현을 도시한다.
[0011] 도 2는 본 개시내용의 하나 이상의 실시예들에 따른 방법의 흐름도를 예시한다.
[0012] 본 개시내용의 여러가지 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에 기재되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0013] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이라는 용어는 프로세스가 작용하는 표면 또는 표면의 일부를 지칭한다. 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 언급이 또한 기판의 일부만을 지칭할 수 있다는 것이 당업자들에 의해 또한 이해될 것이다. 부가적으로, 기판 상에 증착하는 것에 대한 언급은 베어 기판(bare substrate), 및 하나 이상의 막들 또는 피처들이 상부에 증착되거나 형성되어 있는 기판 둘 모두를 의미할 수 있다.
[0014] 본 명세서에서 사용되는 바와 같은 "기판"은 막 프로세싱이 제조 프로세스 동안 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 변형된 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 제한 없이 포함한다. 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 기판의 표면 그 자체 상의 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시된 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층에 대해 수행될 수 있으며, "기판 표면"이라는 용어는 문맥상 표시되는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면은 기판 표면이 된다.
[0015] 본 개시내용의 하나 이상의 실시예들은 유리하게, 더 넓은 범위의 구조 타입들 및 선택도 윈도우들에 대해 기판을 사전-세정하기 위한 방법들을 제공한다. 일부 실시예들은 유리하게, 저온 플라즈마 페디스털을 이용한 저온 처리를 제공하며, 이는 플라즈마의 존재 시에 사전-세정 동안 실온 내지 영하의 온도에서 안정한 온도 윈도우를 제공할 수 있다. 본 명세서의 프로세스들을 이용하여, 유리하게는 유전 선택도가 개선되고, 불순물 제거 능력이 유지되면서, 금속 산화(바람직하지 않음)가 억제된다. 그 후, 금속 증착의 선택도가 개선된다. 하나 이상의 실시예들에서, 임의의 산소 플라즈마, 구체적으로는 다이렉트(direct) 산소 플라즈마의 존재 시에 저온 사전-세정 후에 텅스텐(W) 증착의 선택도가 개선된다.
[0016] 본 개시내용의 일부 실시예들은 최소 배양을 위해 시작하도록 깨끗한 표면을 요구하는 콘택들 또는 비아 최하부들에서의 선택적 금속 증착 프로세스들을 위한 방법들을 제공한다. 일부 실시예들에서, 선택적 증착 프로세스를 방해하고 상당한 배양 지연을 야기할 수 있는, 금속 산화물/금속 질화물/금속 탄화물 등을 포함하는(그러나 이에 제한되지 않음) 화학적 잔류물 및/또는 불순물들이 제거된다. 일부 실시예들은 콘택/비아 구조를 선택적 프로세스에 대해 바람직하게 유지하면서 금속 오염물들을 효과적으로 세정할 수 있다.
[0017] 본 개시내용의 프로세스들은 냉각된 페디스털을 사용한다. 페디스털은, 기판의 온도가 원하는 온도 미만으로 안정적으로 유지되도록, 플라즈마에 의해 생성된 열이 소산될 수 있게 하는 냉각 피처를 포함한다. 하나 이상의 실시예들에서, 페디스털의 냉각 피처는 열 교환 채널(예시되지 않음)일 수 있으며, 이를 통해, 열 교환 유체가 기판의 온도를 제어하도록 유동된다. 예시적인 열 교환 유체들은 에틸렌 글리콜 또는 물이다. 하나 이상의 실시예들에서, 페디스털은 RF(radio frequency) 능력을 포함한다.
[0018] 하나 이상의 실시예들에서, 페디스털의 온도는 -20℃ 이상 내지 85℃ 이하, 60℃ 이하, 40℃ 이하, 35℃ 이하, 및 이들 사이의 모든 값들 및 하위범위들을 포함하여 100℃ 이하로 세팅된다. 하나 이상의 실시예들에서, 냉각된 페디스털은 RF(radio frequency) 능력을 갖는다. 프로세스들 동안, 기판은 금속 최하부, 유전체 측벽들, 및/또는 유전체 필드로부터 화학적 잔류물 및/또는 불순물들을 제거하고 그리고/또는 유전체 측벽들 및/또는 유전체 필드의 표면 결함들을 보수하기 위해 플라즈마 처리에 노출된다. 하나 이상의 실시예들에서, 플라즈마 처리는 산소 플라즈마를 포함한다. 하나 이상의 실시예들에서, 산소 플라즈마는 다이렉트 플라즈마이다. 하나 이상의 실시예들에서, 플라즈마 처리는 수소 플라즈마, 예컨대 다이렉트 수소 플라즈마를 더 포함한다.
[0019] 예시적인 비제한적인 실시예에서, 세정 프로세스 시퀀스는 다음을 포함한다: (1) 프로세싱 챔버에서 RF(radio frequency) 가능 페디스털의 온도를 -20℃ 내지 100℃의 범위로 세팅하고 유지하는 것; (2) 프로세싱 챔버에서 기판의 다이렉트 플라즈마 처리를 수행하는 것 - 플라즈마는 수소를 포함한다. 수소 플라즈마는 주요 금속 오염물을 환원시키는 것이며, 즉 순수한 금속으로의 금속 산화물 및 금속 질화물 환원임 -; 및 (3) 프로세싱 챔버에서 기판의 다이렉트 플라즈마 처리를 수행하는 것 - 플라즈마는 산소를 포함함 -. 이러한 단계에서, 산화 프로세스는 잔류 금속 질화물들을 산화물들로 변환할 수 있고(이는 다음 단계에서 순수한 금속으로 환원될 수 있음), 그리고/또는 초기 수소 플라즈마 프로세스 동안 손상되었을 수 있는 측벽 및 최상부 필드 유전체 재료들(산화물 및 질화물)을 산화시킬 수 있다. 일부 실시예들에서, 산화 프로세스는 강한 산화를 이용하여 유전체 표면을 보수하여, 선택적 금속 증착을 위해 높은 선택도를 유지한다. 산소 처리는 또한 탄소/유기-기반 잔류물들에 의한 오염을 감소시킬 수 있다. 산소 플라즈마 노출 동안, 0 W 이상이며 1000 W 이하인 범위(200 W 이상이며 600 W 이하를 포함하여, 이들 사이의 모든 값들 및 범위들을 포함함)의 바이어스가 기판에 인가된다. 이러한 프로세스의 하나의 장점은 고전력 및 저온 O2 처리가 강력한 유전체 회복 및 약한 금속 산화를 제공한다는 것이다.
[0020] 하나 이상의 실시예들에서, 냉각된 페디스털 상의 기판을 플라즈마 처리에 노출시키는 것은 기판을 처리하거나 세정한다. 하나 이상의 실시예들에서, 기판은 적어도 하나의 피처를 포함한다. 적어도 하나의 피처는 트렌치, 비아, 또는 피크를 포함하는(그러나 이에 제한되지 않음) 당업자에게 알려진 임의의 피처를 포함할 수 있다. 기판을 원격 플라즈마 및/또는 다이렉트 플라즈마에 노출시키는 것이 기판을 처리하거나 세정하는 실시예들에서, 처리 또는 세정은, 예컨대 이전의 프로세싱으로부터의 잔류물, 및/또는 자연 산화물 중 하나 이상을 제거한다.
[0021] 실험들은, 온도에 관계없이 고전력(예컨대, 저온 산소 플라즈마 처리 동안 200 W 내지 600 W)에서 강한 유전체 보수가 발생했다는 것을 보여주었다. 실험들은 또한, 전력에 관계없이 저온(예컨대 산소 플라즈마 처리 동안 100℃, 60℃, 또는 35℃)에서 약한 금속 산화가 발생했다는 것을 보여주었다.
[0022] 도 1은 하나 이상의 실시예들에 따라 사용되는 콘택 구조를 예시한다. 도 1에 예시된 기판(100)은 제1 재료(102) 및 제2 재료(104)에 의해 경계지어진 구조(130)를 포함한다. 예시된 실시예에서, 최하부(132) 및 측부들(134)을 포함하는 구조(130)는 비아 또는 트렌치이다. 구조는 제1 재료(102)에 의해 최하부(132) 상에서 그리고 제1 재료(102)와 상이한 제2 재료(104)에 의해 측부들(134) 상에서 경계지어진다. 일부 실시예들의 제1 재료(102)는 구조의 금속 최하부(115)를 형성하는 금속(110)을 포함한다. 금속(110)은 텅스텐(W), 코발트(Co) 및/또는 루테늄(Ru)을 포함하는(그러나 이에 제한되지 않음) 임의의 적합한 금속일 수 있다. 일부 실시예들에서, 구조(130)의 최하부(132) 및 제1 재료(102)는 비금속을 포함한다. 적합한 비금속들은 금속 질화물들(예컨대, 티타늄 질화물(TiN)), 금속 실리사이드들(예컨대, 티타늄 실리사이드(TiSi)) 또는 실리콘(Si)을 포함한다(그러나 이에 제한되지 않음). 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 아래첨자들에 의해 달리 명시되지 않는 한, 화학식은 원소 아이덴티티를 나타내며, 임의의 특정 화학량론적 비율들을 암시하는 것으로 의도되지 않는다. 예컨대, 티타늄 질화물(TiN) 막은 티타늄 및 질소 원자들의 임의의 적합한 조합을 가질 수 있고, 단일 관계로 제한되지 않는다.
[0023] 일부 실시예들에서, 제2 재료(104)는 유전체(120)를 포함한다. 구조(130)의 측벽들(134)은 유전체(120)의 측벽들(122)에 의해 형성된다. 제2 재료(104)의 최상부 표면(106)은 또한 필드로 지칭된다. 일부 실시예들에서, 제2 재료(104)는 측벽들(122) 및 필드(124)를 갖는 유전체(120)를 포함한다. 유전체(120)는 실리콘 산화물(SiO), 실리콘 이산화물(SiO2), 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 알루미늄 질화물(AlN), 알루미늄 산화물(AlO) 또는 하이-k(high-k) 유전체 재료를 포함하는(그러나 이에 제한되지 않음) 임의의 적합한 재료일 수 있다. 일부 실시예들에서, 제2 재료(104)는 하드마스크 재료(예컨대, 탄소(C))를 포함한다.
[0024] 도 2는 선택적 증착 전에 기판을 사전-세정하기 위한, 하나 이상의 실시예들에 따른 방법(200)을 예시한다. 일부 실시예들의 표면 오염물들은 산소, 질소, 탄소 또는 할로겐(예컨대, 불소, 염소, 브롬 또는 요오드) 중 하나 이상을 포함한다. 일부 실시예들에서, 오염물들은 유기 화합물들을 포함한다.
[0025] 동작(202)에서, 기판은 선택적으로, 프로세싱 챔버 내의 페디스털 상에 배치된다. 동작(204)에서, 냉각 피처를 포함하는 페디스털이 냉각된다. 페디스털의 하나 이상의 실시예들은 RF(radio frequency) 능력을 포함한다. 페디스털의 온도는 85℃, 60℃, 및 35℃ 이하를 포함하여 100℃ 미만으로 유지된다. 동작(206)에서, 기판은 플라즈마 처리에 노출된다. 플라즈마 처리는 산소 플라즈마, 예컨대 다이렉트 산소 플라즈마를 포함한다. 선택적으로, 플라즈마 처리는 수소 플라즈마, 예컨대 다이렉트 수소 플라즈마를 더 포함한다.
[0026] 하나 이상의 실시예들에서, 기판은 산소 플라즈마에 대한 노출 동안 -20℃ 내지 100℃의 범위의 온도로 유지된다.
[0027] 일부 실시예들에서, 산소 플라즈마는 CCP(capacitively-coupled plasma)이다. 일부 실시예들에서, 산소(O2) 플라즈마는 고밀도, 고에너지 플라즈마이다. 일부 실시예들에서, 저에너지 플라즈마는 100 와트 이상 내지 600 와트 이하의 전력을 갖는다.
[0028] 일부 실시예들에서, 산소 플라즈마는 1 Torr 내지 30 Torr의 범위의 압력을 갖는다.
[0029] 일부 실시예들에서, 수소(H2) 플라즈마는 CCP(capacitively-coupled plasma)이다. 일부 실시예들에서, H2 플라즈마는 저에너지 플라즈마이다.
[0030] 하나 이상의 실시예들에서, 수소 플라즈마는 1 Torr 내지 30 Torr의 범위의 압력을 갖는다.
[0031] 이어서, 동작(206) 이후, 기판은 선택적인 금속 증착을 위해 추가로 프로세싱될 수 있다. 하나 이상의 실시예들에서, 세정 프로세스 이후, 기판은 기판 상에 금속 막을 선택적으로 형성하기 위해 금속의 적어도 하나의 전구체에 노출된다. 하나 이상의 실시예들에서, 방법은 PECVD(plasma enhanced chemical vapor deposition) 프로세스 또는 PEALD(plasma enhanced atomic layer deposition) 프로세스를 통해 기판 상에 막을 증착하도록 기판을 적어도 하나의 전구체에 노출시키는 단계를 더 포함한다. 당업자에게 알려진 임의의 적절한 전구체가 기판 상에 막을 형성하는 데 사용될 수 있다.
[0032] 일부 실시예들에서, 도 2에 예시된 사전-세정 방법은 잔류물들을 효율적으로 세정하고, 후속 증착 프로세스의 선택도를 향상시킨다.
[0033] 방법(200)을 구현하기 위해 다양한 하드웨어 어레인지먼트(arrangement)들이 사용될 수 있다. 일부 실시예들에서, 표면 세정을 위해, 다수의 프로세스들을 달성하도록 하나 또는 2개의 챔버들이 적용될 수 있다. 챔버들은 상이한 가스 종을 이용하는 O2/H2 플라즈마 처리들을 위해 사용할 수 있다. 일부 실시예들에서, H2 및 O2 처리는 하나의 챔버에서 수행된다.
[0034] 본 개시내용의 부가적인 실시예들은 본 명세서에 설명된 방법들을 실행하기 위한 프로세싱 시스템에 관한 것이다.
[0035] 일반적으로, 클러스터 툴은 기판 중심-찾기 및 배향, 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 프로세싱 챔버들 및 로드 록(load lock) 챔버들 사이에서 기판들을 실어 나를 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 통상적으로 진공 상태로 유지되며, 하나의 챔버로부터 다른 챔버로 그리고/또는 클러스터 툴의 프론트 엔드에 포지셔닝된 로드 록 챔버로 기판들을 실어 나르기 위한 중간 스테이지를 제공한다. 본 개시내용에 적합할 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이며, 이들 둘 모두는 캘리포니아 산타클라라 소재의 Applied Materials, Inc.로부터 입수가능하다. 그러나, 챔버들의 정확한 어레인지먼트 및 조합은 본 명세서에 설명된 바와 같은 프로세스의 특정 부분들을 수행할 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은 CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에칭, 사전-세정, 화학적 세정, 열 처리, 이를테면 RTP, 플라즈마 질화, 탈기, 배향, 수산화 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지 않음). 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들로 인한 기판의 표면 오염이 후속 막을 증착하기 전에 산화 없이 회피될 수 있다.
[0036] 적어도 하나의 제어기는 제1 챔버 및 중앙 이송 챔버 중 하나 또는 둘 모두에 커플링될 수 있다. 일부 실시예들에서, 개별 챔버들 또는 스테이션들에 연결된 하나 초과의 제어기가 존재하고, 1차 제어 프로세서는 시스템을 제어하도록 별개의 프로세서들 각각에 커플링된다. 제어기는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서, 마이크로제어기, 마이크로프로세서 등 중 하나일 수 있다.
[0037] 적어도 하나의 제어기는 프로세서, 프로세서에 커플링된 메모리, 프로세서에 커플링된 입력/출력 디바이스들, 및 상이한 전자 컴포넌트들 사이의 통신을 위한 지원 회로들을 가질 수 있다. 메모리는 일시적 메모리(예컨대, 랜덤 액세스 메모리) 및 비-일시적 메모리(예컨대, 저장소) 중 하나 이상을 포함할 수 있다.
[0038] 프로세서의 메모리 또는 컴퓨터-판독가능 매체는 로컬 또는 원격인 용이하게 이용가능한 메모리, 이를테면 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 메모리는 시스템의 파라미터들 및 컴포넌트들을 제어하도록 프로세서(952)에 의해 동작가능한 명령 세트를 보유할 수 있다. 지원 회로들은 종래의 방식으로 프로세서를 지원하기 위해 프로세서에 커플링된다. 회로들은, 예컨대, 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로부, 서브시스템들 등을 포함할 수 있다.
[0039] 프로세스들은 일반적으로, 프로세서에 의해 실행될 때, 프로세스 챔버로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 메모리에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 프로세서에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법들 중 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 그러므로, 프로세스는 소프트웨어로 구현되며, 컴퓨터 시스템을 사용하여, 하드웨어로, 예컨대 주문형 집적 회로 또는 다른 타입의 하드웨어 구현으로서 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환한다.
[0040] 일부 실시예들에서, 제어기는 방법을 수행하기 위해 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성들을 갖는다. 제어기는 중간 컴포넌트들에 연결될 수 있고 이들을 동작시켜 방법들의 기능들을 수행하도록 구성될 수 있다. 예컨대, 제어기는 가스 밸브들, 액추에이터들, 모터들, 슬릿 밸브들, 진공 제어 등 중 하나 이상에 연결되고 이들을 제어하도록 구성될 수 있다.
[0041] 일부 실시예들의 제어기는 복수의 프로세싱 챔버들과 계측 스테이션 사이에서 로봇 상의 기판을 이동시키기 위한 구성; 시스템으로부터 기판들을 로딩 및/또는 언로딩하기 위한 구성; 중앙 이송 스테이션과 프로세싱 챔버들 사이에서 기판을 이동시키기 위한 구성으로부터 선택된 하나 이상의 구성들을 갖는다.
[0042] 하나 이상의 실시예들은, 프로세싱 챔버의 제어기에 의해 실행될 때, 프로세싱 챔버로 하여금, 제1 프로세싱 챔버 내의 페디스털 상에 기판을 포지셔닝시키고 - 페디스털은 냉각 피처를 포함함 -; 제1 프로세싱 챔버에서 플라즈마 처리에 기판을 노출시키고; 페디스털의 온도를 100℃ 이하로 세팅하는 동작들을 수행하게 하는 명령들을 포함하는 비-일시적인 컴퓨터 판독가능 매체에 관한 것이다. 하나 이상의 실시예들에서, 비-일시적인 컴퓨터 판독가능 매체는, 프로세싱 챔버의 제어기에 의해 실행될 때, 프로세싱 챔버로 하여금, 기판 상에 막을 형성하기 위해 기판을 적어도 하나의 전구체에 노출시키는 동작을 수행하게 하는 명령들을 포함한다.
[0043] 본 명세서 전반에 걸쳐 "하나의 실시예", "특정한 실시예들", "하나 이상의 실시예들" 또는 "일 실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 장소들에서의 "하나 이상의 실시예들에서", "특정한 실시예들에서", "하나의 실시예에서" 또는 "일 실시예에서"와 같은 어구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0044] 본 명세서의 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 당업자들은, 설명된 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들의 예시일 뿐이라는 것을 이해할 것이다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 당업자들에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함할 수 있다.

Claims (20)

  1. 사전-세정 방법으로서,
    페디스털 상의, 금속 최하부, 유전체 측벽들, 및 유전체 필드를 갖는 표면 구조를 포함하는 기판을 플라즈마 처리에 노출시켜, 상기 금속 최하부, 상기 유전체 측벽들, 및/또는 상기 유전체 필드로부터 화학적 잔류물 및/또는 불순물들을 제거하고 그리고/또는 상기 유전체 측벽들 및/또는 상기 유전체 필드에서 표면 결함들을 보수하는 단계; 및
    냉각 피처(cooling feature)를 포함하는 상기 페디스털의 온도를 100℃ 이하로 세팅하는 단계를 포함하는, 사전-세정 방법.
  2. 제1항에 있어서,
    상기 페디스털은 RF(radio frequency) 능력을 포함하는, 사전-세정 방법.
  3. 제1항에 있어서,
    상기 플라즈마 처리는 산소 플라즈마를 포함하는, 사전-세정 방법.
  4. 제3항에 있어서,
    상기 산소 플라즈마는 다이렉트 플라즈마(direct plasma)인, 사전-세정 방법.
  5. 제3항에 있어서,
    상기 플라즈마 처리는 수소 플라즈마를 더 포함하는, 사전-세정 방법.
  6. 제1항에 있어서,
    0 W 이상이며 1000 W 이하인 범위의 바이어스가 플라즈마 노출 동안 상기 기판에 인가되는, 사전-세정 방법.
  7. 제1항에 있어서,
    상기 페디스털은 플라즈마 노출 동안 -20℃ 이상 내지 100℃ 이하의 범위의 온도로 유지되는, 사전-세정 방법.
  8. 제1항에 있어서,
    상기 유전체는 실리콘 질화물(SiN), 실리콘 산화물(SiO), 실리콘 산질화물(SiON), 또는 하이-k(high-k) 유전체 중 하나 이상을 포함하고,
    상기 금속은 텅스텐(W), 코발트(Co), 또는 루테늄(Ru) 중 하나 이상을 포함하는, 사전-세정 방법.
  9. 프로세싱 방법으로서,
    프로세싱 챔버 내의 페디스털의 온도를 100℃ 이하로 세팅하는 단계 - 상기 페디스털은 RF(radio frequency) 능력 및 냉각 피처를 포함함 -;
    상기 페디스털 상의, 금속 최하부, 유전체 측벽들, 및 유전체 필드를 갖는 표면 구조를 포함하는 기판을 상기 프로세싱 챔버에서 산소 플라즈마를 포함하는 플라즈마 처리에 노출시켜, 상기 금속 최하부, 상기 유전체 측벽들, 및/또는 상기 유전체 필드로부터 화학적 잔류물 및/또는 불순물들을 제거하고 그리고/또는 상기 유전체 측벽들 및/또는 상기 유전체 필드에서 표면 결함들을 보수하는 단계; 및
    상기 기판을 상기 금속의 적어도 하나의 전구체에 노출시켜, 상기 기판 상에 금속 막을 선택적으로 형성하는 단계를 포함하는, 프로세싱 방법.
  10. 제9항에 있어서,
    상기 산소 플라즈마는 다이렉트 플라즈마인, 프로세싱 방법.
  11. 제9항에 있어서,
    0 W 이상이며 1000 W 이하인 범위의 바이어스가 플라즈마 노출 동안 상기 기판에 인가되는, 사전-세정 방법.
  12. 제9항에 있어서,
    상기 페디스털은 플라즈마 노출 동안 -20℃ 이상 내지 100℃ 이하의 범위의 온도로 유지되는, 프로세싱 방법.
  13. 제9항에 있어서,
    상기 유전체는 실리콘 질화물(SiN), 실리콘 산화물(SiO), 실리콘 산질화물(SiON), 또는 하이-k 유전체 중 하나 이상을 포함하고,
    상기 금속은 텅스텐(W), 코발트(Co), 또는 루테늄(Ru) 중 하나 이상을 포함하는, 프로세싱 방법.
  14. 비-일시적인 컴퓨터 판독가능 매체로서,
    프로세싱 챔버의 제어기에 의해 실행될 때, 상기 프로세싱 챔버로 하여금,
    제1 프로세싱 챔버 내의, 냉각 피처를 포함하는 페디스털 상의 기판을 상기 제1 프로세싱 챔버에서 플라즈마 처리에 노출시키고; 그리고
    상기 페디스털의 온도를 100℃ 이하로 세팅하는
    동작들을 수행하게 하는 명령들을 포함하는, 비-일시적인 컴퓨터 판독가능 매체.
  15. 제14항에 있어서,
    상기 페디스털은 RF(radio frequency) 능력을 포함하는, 비-일시적인 컴퓨터 판독가능 매체.
  16. 제14항에 있어서,
    상기 프로세싱 챔버의 제어기에 의해 실행될 때, 상기 프로세싱 챔버로 하여금, 상기 기판을 산소 플라즈마에 노출시키는 동작을 수행하게 하는 명령들을 더 포함하는, 비-일시적인 컴퓨터 판독가능 매체.
  17. 제16항에 있어서,
    상기 산소 플라즈마는 다이렉트 플라즈마인, 비-일시적인 컴퓨터 판독가능 매체.
  18. 제14항에 있어서,
    상기 프로세싱 챔버의 제어기에 의해 실행될 때, 상기 프로세싱 챔버로 하여금, 플라즈마 노출 동안 0 W 이상이며 1000 W 이하인 범위의 바이어스를 상기 기판에 인가하는 동작을 수행하게 하는 명령들을 더 포함하는, 비-일시적인 컴퓨터 판독가능 매체.
  19. 제14항에 있어서,
    상기 프로세싱 챔버의 제어기에 의해 실행될 때, 상기 프로세싱 챔버로 하여금, 플라즈마 노출 동안 -20℃ 이상 내지 100℃ 이하의 범위의 온도로 상기 페디스털을 유지하는 동작을 수행하게 하는 명령들을 더 포함하는, 비-일시적인 컴퓨터 판독가능 매체.
  20. 제14항에 있어서,
    상기 유전체는 실리콘 질화물(SiN), 실리콘 산화물(SiO), 실리콘 산질화물(SiON), 또는 하이-k 유전체 중 하나 이상을 포함하고,
    상기 금속은 텅스텐(W), 코발트(Co), 또는 루테늄(Ru) 중 하나 이상을 포함하는, 비-일시적인 컴퓨터 판독가능 매체.
KR1020227024402A 2020-06-22 2021-06-18 선택적 갭 충전을 위한 저온 플라즈마 사전-세정 KR20220113516A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/908,076 2020-06-22
US16/908,076 US11955381B2 (en) 2020-06-22 2020-06-22 Low-temperature plasma pre-clean for selective gap fill
PCT/US2021/038014 WO2021262542A1 (en) 2020-06-22 2021-06-18 Low-temperature plasma pre-clean for selective gap fill

Publications (1)

Publication Number Publication Date
KR20220113516A true KR20220113516A (ko) 2022-08-12

Family

ID=79021962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227024402A KR20220113516A (ko) 2020-06-22 2021-06-18 선택적 갭 충전을 위한 저온 플라즈마 사전-세정

Country Status (6)

Country Link
US (1) US11955381B2 (ko)
JP (1) JP2023514804A (ko)
KR (1) KR20220113516A (ko)
CN (1) CN114930520A (ko)
TW (1) TW202204055A (ko)
WO (1) WO2021262542A1 (ko)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
EP1081751A3 (en) 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US10049886B2 (en) 2014-10-30 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for damage reduction in light-assisted processes
CN105097902A (zh) * 2015-06-11 2015-11-25 京东方科技集团股份有限公司 一种薄膜晶体管、阵列基板及其制备方法、显示装置
WO2018227110A1 (en) * 2017-06-10 2018-12-13 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
US10535527B2 (en) 2017-07-13 2020-01-14 Applied Materials, Inc. Methods for depositing semiconductor films

Also Published As

Publication number Publication date
CN114930520A (zh) 2022-08-19
US20210398850A1 (en) 2021-12-23
US11955381B2 (en) 2024-04-09
TW202204055A (zh) 2022-02-01
WO2021262542A1 (en) 2021-12-30
JP2023514804A (ja) 2023-04-11

Similar Documents

Publication Publication Date Title
US7910497B2 (en) Method of forming dielectric layers on a substrate and apparatus therefor
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
US20200303250A1 (en) Method for forming a metal gapfill
US20180144973A1 (en) Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TWI821158B (zh) 用於半導體製程的整合系統
US20240038859A1 (en) Metal cap for contact resistance reduction
US11776806B2 (en) Multi-step pre-clean for selective metal gap fill
US20230045689A1 (en) Method of forming interconnect for semiconductor device
US11955381B2 (en) Low-temperature plasma pre-clean for selective gap fill
US20220262619A1 (en) Sequential plasma and thermal treatment
JP7465287B2 (ja) 自己形成バリア層を備えた低誘電率誘電体
US11189479B2 (en) Diffusion barrier layer
US20210404056A1 (en) Ultra-thin films with transition metal dichalcogenides
US20240194605A1 (en) Post-treatment for removing residues from dielectric surface
US20230323543A1 (en) Integrated cleaning and selective molybdenum deposition processes
US20230178375A1 (en) Methods for forming work function modulating layers
WO2023229612A1 (en) Selective metal removal with flowable polymer

Legal Events

Date Code Title Description
E902 Notification of reason for refusal