KR20220097502A - 마스크 패턴 생성의 일관성을 개선하기 위한 방법 - Google Patents

마스크 패턴 생성의 일관성을 개선하기 위한 방법 Download PDF

Info

Publication number
KR20220097502A
KR20220097502A KR1020227019546A KR20227019546A KR20220097502A KR 20220097502 A KR20220097502 A KR 20220097502A KR 1020227019546 A KR1020227019546 A KR 1020227019546A KR 20227019546 A KR20227019546 A KR 20227019546A KR 20220097502 A KR20220097502 A KR 20220097502A
Authority
KR
South Korea
Prior art keywords
variables
pattern
values
target
target pattern
Prior art date
Application number
KR1020227019546A
Other languages
English (en)
Inventor
추안 장
타퉁 차우
빈-데르 첸
옌-웬 루
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220097502A publication Critical patent/KR20220097502A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • G06N3/0454
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Computing Systems (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Probability & Statistics with Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

기판 상에 인쇄될 타겟 패턴에 대한 마스크 패턴을 결정하는 방법이 본 명세서에 설명된다. 방법은 타겟 패턴을 포함하는 설계 레이아웃의 부분을 타겟 패턴 상의 주어진 위치를 참조하여 복수의 셀로 분할하는 단계; 복수의 셀 중 특정 셀 내에서 복수의 변수를 할당하는 단계- 특정 셀은 타겟 패턴 또는 그의 일부를 포함함 -; 및 복수의 변수의 값들에 기초하여, 마스크 패턴을 이용하는 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 타겟 패턴에 대한 마스크 패턴을 결정하는 단계를 포함한다.

Description

마스크 패턴 생성의 일관성을 개선하기 위한 방법
관련 출원들에 대한 상호 참조
본 출원은 2019년 12월 13일자로 출원된 미국 출원 제62/947,707호의 우선권을 주장하며, 이는 그 전체가 본 명세서에 참고로 포함된다.
분야
본 개시는 리소그래피 기술들에 관한 것으로서, 구체적으로는 패터닝 디바이스 패턴을 생성하는 메커니즘에 관한 것이다.
리소그래피 장치는 기판의 타겟 부분 상에 원하는 패턴을 적용하는 기계이다. 리소그래피 장치는 예를 들어 집적 회로들(IC들)의 제조에서 사용될 수 있다. 그러한 상황에서, 대안적으로 마스크 또는 레티클이라고 지칭되는 패터닝 디바이스는 IC의 개별 층에 대응하는 회로 패턴을 생성하는 데 사용될 수 있고, 이 패턴은 복사선 민감 재료(레지스트)의 층을 갖는 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟 부분(예를 들어, 하나 또는 여러 개의 다이의 부분을 포함함) 상에 이미징될 수 있다. 일반적으로, 단일 기판은 연속적으로 노광되는 인접한 타겟 부분들의 네트워크를 포함한다. 공지된 리소그래피 장치는 소위 스테퍼들(steppers)- 여기서 각각의 타겟 부분은 전체 패턴을 타겟 부분 상에 한꺼번에 노광함으로써 조사됨 -, 및 소위 스캐너들- 여기서 각각의 타겟 부분은 주어진 방향("스캐닝" 방향)으로 빔을 통해 패턴을 스캐닝하는 동시에 이 방향에 평행하게 또는 반평행하게 기판을 스캐닝함으로써 조사됨 -을 포함한다.
회로 패턴을 패터닝 디바이스로부터 기판으로 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 프로세스들을 겪을 수 있다. 노광 후에, 기판은 노광 후 베이크(post-exposure bake; PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 프로세스들을 겪을 수 있다. 이러한 프로세스들의 어레이는 디바이스, 예를 들어 IC 디바이스의 개별 층을 만들기 위한 기초로서 사용된다. 다음으로, 기판은 에칭, 이온 주입(도핑), 금속화(metallization), 산화, 화학-기계적 폴리싱(chemo-mechanical polishing) 등과 같은 디바이스의 개별 층을 생성하기 위한 다양한 프로세스들을 겪을 수 있다. 디바이스에서 여러 층이 요구되는 경우, 전체 절차, 또는 그 변형이 각각의 층에 대해 반복될 수 있다. 결국, 디바이스는 기판 상의 각각의 타겟 부분에 존재할 것이다. 다음으로, 이러한 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기법에 의해 서로 분리되고, 그에 의해 개별 디바이스들은 캐리어 상에 장착될 수 있고, 핀들에 연결될 수 있고, 기타 등등일 수 있다.
따라서, 반도체 디바이스들을 제조하는 것은 통상적으로, 디바이스들의 다양한 피처들 및 다수의 층을 형성하기 위해 다수의 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 퇴적, 리소그래피, 에칭, 화학-기계적 폴리싱, 및 이온 주입을 사용하여 제조되고 처리된다. 다수의 디바이스가 기판 상의 복수의 다이 상에 제조된 다음, 개별 디바이스들로 분리될 수 있다. 디바이스 제조는 통상적으로 패터닝 프로세스를 포함한다. 패터닝 프로세스는 패터닝 디바이스 상의 패턴을 기판에 전사하기 위해 리소그래피 장치에서 패터닝 디바이스(예를 들어, 마스크)를 사용하는 광학 및/또는 나노임프린트 리소그래피와 같은 패터닝 단계를 수반하지만, 통상적으로, 선택적으로, 현상 장치에 의한 레지스트 현상, 베이크 툴을 사용한 기판의 베이킹, 에칭 장치를 사용한 패턴을 사용한 에칭 등과 같은 하나 이상의 관련된 패턴 처리 단계를 수반한다.
요약
일 실시예에서, 기판 상에 인쇄될 타겟 패턴에 대한 마스크 패턴을 결정하는 방법이 제공된다. 방법은 타겟 패턴을 포함하는 설계 레이아웃의 부분을 복수의 셀로 분할하는 단계- 각각의 셀은 타겟 패턴 상의 주어진 위치와 관계를 가짐 -; 복수의 셀 중 특정 셀 내에서 복수의 변수를 할당하는 단계- 특정 셀은 상기 타겟 패턴 또는 그의 부분을 포함함 -; 및 복수의 변수의 값들에 기초하여, 마스크 패턴을 이용하는 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 타겟 패턴에 대한 마스크 패턴을 결정하는 단계를 포함한다. 일 실시예에서, 마스크 패턴을 결정하는 단계는 타겟 패턴 및 복수의 변수를 사용하여, 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 복수의 변수의 값들을 결정하기 위해 패터닝 프로세스를 시뮬레이션하는 단계; 및 복수의 변수의 결정된 값들에 기초하여, 타겟 패턴에 대한 마스크 패턴을 생성하는 단계를 포함한다.
더구나, 일 실시예에서, 명령어들을 포함하는 비일시적 컴퓨터 판독 가능 매체가 제공되며, 명령어들은 하나 이상의 프로세서에 의해 실행될 때 타겟 패턴을 포함하는 설계 레이아웃의 부분을 복수의 셀로 분할하는 동작- 각각의 셀은 타겟 패턴 상의 주어진 또는 선택된 위치와 관계를 가짐 -; 복수의 셀 중 특정 셀 내에서 복수의 변수를 할당하는 동작 - 특정 셀은 타겟 패턴 또는 그의 일부를 포함함 -; 및 복수의 변수의 값들에 기초하여, 마스크 패턴을 이용하는 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 타겟 패턴에 대한 마스크 패턴을 결정하는 동작을 포함하는 동작들을 유발한다. 마스크 패턴을 결정하는 동작은 타겟 패턴 및 복수의 변수를 사용하여, 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 복수의 변수의 값들을 결정하기 위해 패터닝 프로세스를 시뮬레이션하는 동작 및 복수의 변수의 결정된 값들에 기초하여, 타겟 패턴에 대한 마스크 패턴을 생성하는 동작을 포함한다.
이제, 첨부한 도면들을 참조하여 실시예들을 단지 예로서 설명할 것이다. 도면들에서:
도 1은 일 실시예에 따른 리소그래피 시스템의 다양한 서브시스템들의 블록도를 도시한다.
도 2는 일 실시예에 따른 패터닝 프로세스의 적어도 일부를 모델링 및/또는 시뮬레이션하기 위한 예시적인 흐름도를 도시한다.
도 3a 및 3b는 일 실시예에 따른 패터닝 프로세스의 시뮬레이션과 관련된 예시적인 그리드 의존 에러를 예시한다.
도 4a 및 도 4b는 일 실시예에 따른 마스크 패턴을 생성하기 위한 흐름도이다.
도 5는 일 실시예에 따른 제1 좌표계와 제2 좌표계 사이의 예시적인 변환이다.
도 6은 일 실시예에 따른 셀 및 도 4a의 방법에 관련된 셀에서 할당된 복수의 변수를 분할하는 예이다.
도 7a는 도 4a의 방법에 따라 분할된 예시적인 설계 레이아웃 공간이다.
도 7b는 일 실시예에 따른 비-반복 모드에서의 변수의 예이다.
도 7c는 일 실시예에 따른 반복 모드에서의 변수들의 예를 예시한다.
도 8은 도 4a의 방법을 사용하여 생성된 예시적인 연속 송신 맵(CTM)이다.
도 9는 일 실시예에 따른 도 4a의 방법의 결과들을 사용하여 풀-칩에 대한 마스크 패턴을 결정하기 위한 예시적인 방법의 흐름도이다.
도 10은 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 11은 일 실시예에 따른 예시적인 리소그래피 투영 장치의 도면이다.
도 12는 일 실시예에 따른 예시적인 극자외선(EUV) 리소그래피 투영 장치의 도면이다.
도 13은 일 실시예에 따른 도 12의 예시적인 장치의 더 상세한 도면이다.
도 14는 일 실시예에 따른 도 12 및 도 13의 장치의 소스 수집기 모듈의 더 상세한 도면이다.
도 1은 본 개시의 일 실시예에 따른 예시적인 리소그래피 투영 장치(10A)를 예시한다. 장치(10A)는 심자외선(DUV) 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함하는 다른 타입의 소스일 수 있는 복사선 소스(12A)를 포함한다. 그러나, 전술한 바와 같이, 일부 다른 실시예들에서, 복사선 소스는 리소그래피 투영 장치 자체의 구성 요소가 아닐 수 있다. 장치(10A)는, 예를 들어 부분 응집성(시그마로 표시됨)을 정의하고, 소스(12A)로부터의 복사선을 성형하도록 구성되는 광학계(14A, 16Aa, 16Ab)를 포함할 수 있는 조명 광학계; 패터닝 디바이스(18A); 및 패터닝 디바이스 패턴의 이미지를 기판 평면(22A) 상에 투영하는 투과 광학계(16Ac)를 더 포함한다. 투영 광학계의 동공 평면에서의 조정 가능 필터 또는 개구(20A)는 기판 평면(22A) 상에 충돌하는 빔 각도들의 범위를 제한할 수 있으며, 최대 가능 각도는 투영 광학계의 개구수 NA = nsin(Θmax)를 정의하고, 여기서 n은 기판과 투영 광학계의 최종 요소 사이의 매질의 굴절률이고, Θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학계로부터 출사되는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 조명(즉, 복사선)을 패터닝 디바이스에 제공하고, 투영 광학계는 조명을 패터닝 디바이스를 통해 기판 상에 지향시키고 성형한다. 투영 광학계는 컴포넌트들(14A, 16Aa, 16Ab, 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 복사선 강도 분포이다. 기판 상의 레지스트 층은 노광되고, 에어리얼 이미지는 레지스트 층에 그 안의 잠재적 "레지스트 이미지"(RI)로서 전사된다. 레지스트 이미지(RI)는 레지스트 층 내의 레지스트의 용해도의 공간 분포로서 정의될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있고, 그 예는 본 명세서에 그 개시의 전체가 참고로 포함되는 미국 특허 출원 공개 번호 US 20090157360호에서 발견될 수 있다. 레지스트 모델은 레지스트 층의 특성들, 예를 들어 노광, PEB 및 현상 동안에 발생하는 화학 프로세스들의 효과들과 관련된다. 리소그래피 투영 장치의 광학 특성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학계의 특성들)은 에어리얼 이미지를 지시한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스가 변경될 수 있으므로, 패터닝 디바이스의 광학 특성들을 적어도 소스 및 투영 광학계를 포함하는 리소그래피 투영 장치의 나머지의 광학 특성들로부터 분리하는 것이 바람직할 수 있다.
일 실시예에서, 보조 피처들(하위 해상도 보조 피처들 및/또는 인쇄 가능한 해상도 보조 피처들)은 본 개시의 방법들에 따라 설계 레이아웃이 어떻게 최적화되는지에 기초하여 설계 레이아웃에 배치될 수 있다. 일 실시예에서, 기계 학습 기반 모델이 패터닝 디바이스 패턴을 결정하는 데 사용된다. 기계 학습 모델은 빠른 속도로 정확한 예측들을 획득하기 위해 특정 방식으로 훈련될 수 있는 컨볼루션 신경망과 같은 신경망일 수 있고, 따라서 패터닝 프로세스의 풀-칩 시뮬레이션을 가능하게 한다.
신경망은 훈련 데이터의 세트를 사용하여 훈련될 수 있다(즉, 그의 파라미터들이 결정됨). 훈련 데이터는 훈련 샘플들의 세트를 포함하거나 그것들로 구성될 수 있다. 각각의 샘플은 한 쌍의 입력 객체(통상적으로 특징 벡터라고 불릴 수 있는 벡터) 및 원하는 출력 값(감독 신호라고도 불림)일 수 있다. 훈련 알고리즘은 훈련 데이터를 분석하고, 훈련 데이터에 기초하여 신경망의 파라미터들(예를 들어, 하나 이상의 계층의 가중치들)을 조정함으로써 신경망의 거동을 조정한다. 훈련 후의 신경망은 새로운 샘플들을 매핑하기 위해 사용될 수 있다.
패터닝 디바이스 패턴을 결정하는 것과 관련하여, 특징 벡터는 패터닝 디바이스에 의해 포함되거나 형성된 설계 레이아웃의 하나 이상의 특성(예를 들어, 형상, 배열, 크기 등), 패터닝 디바이스의 하나 이상의 특성(예를 들어, 치수, 굴절률, 재료 조성 등과 같은 하나 이상의 물리적 특성), 및 리소그래피 프로세스에서 사용되는 조명의 하나 이상의 특성(예를 들어, 파장)을 포함할 수 있다. 감독 신호는 패터닝 디바이스 패턴의 하나 이상의 특성(예를 들어, 패터닝 디바이스 패턴의 CD, 윤곽 등)을 포함할 수 있다.
형태
Figure pct00001
의 N 개의 훈련 샘플들의 세트가 주어지면-
Figure pct00002
는 i 번째 예의 특징 벡터이고,
Figure pct00003
는 그것의 감독 신호임 -, 훈련 알고리즘은 신경망
Figure pct00004
를 찾고, 여기서 X는 입력 공간이고, Y는 출력 공간이다. 특징 벡터는 일부 객체를 표현하는 수치 특징들의 n-차원 벡터일 수 있다. 이러한 벡터들과 연관되는 벡터 공간은 종종 특징 공간으로 불린다. 때로는, 최고 스코어를 제공하는 y 값을 반환하는 것으로서 g가 정의되도록 스코어링 함수
Figure pct00005
를 사용하여 g를 표현하는 것이 편리하다:
Figure pct00006
. F는 스코어링 함수들의 공간을 나타낸다고 하자.
신경망은 확률적일 수 있고, g는 조건부 확률 모델
Figure pct00007
의 형태를 취하거나, f는 공동 확률 모델
Figure pct00008
의 형태를 취한다.
f 또는 g를 선택하기 위해 2 개의 접근법: 경험적 위험 최소화 및 구조적 위험 최소화가 사용될 수 있다. 경험적 위험 최소화는 훈련 데이터에 가장 잘 맞는 신경망을 찾는다. 구조적 위험 최소화는 바이어스/분산 트레이드오프를 제어하는 페널티 함수를 포함한다. 예를 들어, 일 실시예에서, 페널티 함수는 제곱 에러, 결함 수, EPE 등일 수 있는 비용 함수에 기초할 수 있다. 함수들(또는 함수 내의 가중치들)은 분산이 감소되거나 최소화되도록 수정될 수 있다.
두 경우 모두에서, 훈련 세트는 독립적이고 동일하게 분포된 쌍들
Figure pct00009
의 하나 이상의 샘플들을 포함하거나 이들로 구성된다고 가정된다. 일 실시예에서, 함수가 훈련 데이터에 얼마나 잘 맞는지를 측정하기 위해, 손실 함수
Figure pct00010
이 정의된다. 훈련 샘플
Figure pct00011
에 대해, 값
Figure pct00012
를 예측하는 손실은
Figure pct00013
이다.
함수 g의 위험 R(g)는 g의 예상 손실로서 정의된다. 이것은
Figure pct00014
로서 훈련 데이터로부터 추정될 수 있다.
일 실시예에서, 패터닝 프로세스의 기계 학습 모델들은 웨이퍼 상의 레지스트 및/또는 에칭된 이미지에서, 예를 들어, 윤곽들, 패턴들, 마스크 패턴에 대한 CD들, 및/또는 윤곽들, CD들, 에지 배치(예를 들어, 에지 배치 에러) 등을 예측하도록 훈련될 수 있다. 훈련의 목적은, 예를 들어, 웨이퍼 상의 인쇄된 패턴의 윤곽들, 에어리얼 이미지 강도 기울기, 및/또는 CD 등의 정확한 예측을 가능하게 하는 것이다. 의도된 설계(예를 들어, 웨이퍼 상에 인쇄될 웨이퍼 타겟 레이아웃)는 GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 광학 근접 보정(OPC) 전 설계 레이아웃으로서 일반적으로 정의된다.
패터닝 프로세스의 부분들을 모델링 및/또는 시뮬레이션하기 위한 예시적인 흐름도가 도 2에 도시되어 있다. 이해될 바와 같이, 모델들은 상이한 패터닝 프로세스를 나타낼 수 있고 아래에 설명되는 모든 모델들을 포함할 필요는 없다. 소스 모델(1200)은 패터닝 디바이스의 조명의 광학 특성들(복사선 강도 분포, 대역폭 및/또는 위상 분포를 포함함)을 나타낸다. 소스 모델(1200)은 개구수 설정들, 조명 시그마(σ) 설정들은 물론, 임의의 특정 조명 형상(예를 들어, 환상, 사중극, 쌍극 등과 같은 축외 복사선 형상)을 포함하지만 이에 한정되지 않는 조명의 광학 특성들을 나타낼 수 있으며, σ(또는 시그마)는 조명기의 외부 방사상 범위이다.
투영 광학계 모델(1210)은 투영 광학계의 (투영 광학계에 의해 유발되는 복사선 강도 분포 및/또는 위상 분포에 대한 변화들을 포함하는) 광학 특성들을 나타낸다. 투영 광학계 모델(1210)은 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등을 포함하는 투영 광학계의 광학 특성들을 나타낼 수 있다.
패터닝 디바이스/설계 레이아웃 모델 모듈(1220)은 설계 피처들이 패터닝 디바이스의 패턴 내에 어떻게 레이아웃되는지를 캡처하고, 예를 들어 그 전체가 참고로 포함되는 미국 특허 제7,587,704호에 설명된 바와 같은, 패터닝 디바이스의 상세한 물리적 특성들의 표현을 포함할 수 있다. 일 실시예에서, 패터닝 디바이스/설계 레이아웃 모델 모듈(1220)은 패터닝 디바이스 상의 또는 그에 의해 형성된 피처들의 배열의 표현인 설계 레이아웃(예를 들어, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 설계 레이아웃)의 광학 특성들(주어진 설계 레이아웃에 의해 야기된 복사선 강도 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스가 변경될 수 있기 때문에, 패터닝 디바이스의 광학 특성들을 적어도 조명 및 투영 광학계를 포함하는 리소그래피 투영 장치의 나머지의 광학 특성들로부터 분리하는 것이 바람직하다. 시뮬레이션의 목적은 종종, 예를 들어, 이후에 디바이스 설계와 비교될 수 있는 에지 배치들 및 CD들을 정확하게 예측하는 것이다. 디바이스 설계는 일반적으로 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 0PC 전 패터닝 디바이스 레이아웃으로서 정의된다.
에어리얼 이미지(1230)는 소스 모델(1200), 투영 광학 모델(1210) 및 패터닝 디바이스/설계 레이아웃 모델(1220)로부터 시뮬레이션될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 복사선 강도 분포이다. 리소그래피 투영 장치의 광학 특성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학계의 특성들)은 에어리얼 이미지를 지시한다.
기판 상의 레지스트 층은 에어리얼 이미지에 의해 노광되고, 에어리얼 이미지는 레지스트 층에 그 안의 잠재적 "레지스트 이미지"(RI)로서 전사된다. 레지스트 이미지(RI)는 레지스트 층 내의 레지스트의 용해도의 공간적 분포로서 정의될 수 있다. 레지스트 이미지(1250)는 레지스트 모델(1240)을 사용하여 에어리얼 이미지(1230)로부터 시뮬레이션될 수 있다. 레지스트 모델(1240)은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 사용될 수 있고, 그 예는 미국 특허 출원 공개 번호 US 20090157360에서 발견될 수 있고, 그 개시는 전체가 본 명세서에 참고로 포함된다. 레지스트 모델은 통상적으로, 예를 들어, 기판 상에 형성된 레지스트 피처들의 윤곽들을 예측하기 위해, 레지스트 노광, 노광 후 베이크(PEB) 및 현상 동안 발생하는 화학적 프로세스들의 효과들을 설명하고, 따라서 통상적으로 레지스트 층의 그러한 특성들(예를 들어, 노광, 노광 후 베이크 및 현상 동안 발생하는 화학적 프로세스들의 효과들)에만 관련된다. 일 실시예에서, 레지스트 층의 광학 특성들, 예를 들어, 굴절률, 막 두께, 전파 및 편광 효과들은 투영 광학 모델(1210)의 일부로서 캡처될 수 있다.
일반적으로, 광학 모델과 레지스트 모델 사이의 연결은 기판 상으로의 복사선의 투영, 레지스트 계면에서의 굴절 및 레지스트 막 스택에서의 다수의 반사로부터 발생하는 레지스트 층 내의 시뮬레이션된 에어리얼 이미지 강도이다. 복사선 강도 분포(에어리얼 이미지 강도)는 입사 에너지의 흡수에 의해 잠재적인 "레지스트 이미지"로 변환되고, 이는 확산 프로세스들 및 다양한 로딩 효과들에 의해 더 수정될 수 있다. 풀-칩 응용들에 대해 충분히 빠른 효율적인 시뮬레이션 방법들은 2 차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 스택 내의 현실적인 3 차원 강도 분포를 근사화한다.
일 실시예에서, 레지스트 이미지는 패턴 전사 후 프로세스 모델 모듈(1260)에 대한 입력으로서 사용될 수 있다. 패턴 전사 후 프로세스 모델(1260)은 하나 이상의 레지스트 현상 후 프로세스(예를 들어, 에칭, 현상 등)의 성능을 정의한다.
패터닝 프로세스의 시뮬레이션은, 예를 들어, 레지스트 및/또는 에칭된 이미지에서 윤곽들, CD들, 에지 배치(예를 들어, 에지 배치 에러) 등을 예측할 수 있다. 따라서, 시뮬레이션의 목적은, 예를 들어, 인쇄된 패턴의 에지 배치, 및/또는 에어리얼 이미지 강도 기울기, 및/또는 CD 등을 정확하게 예측하는 것이다. 이 값들은, 예를 들어, 패터닝 프로세스를 보정하고, 결함이 발생할 것으로 예측되는 곳을 식별하는 것 등을 위해 의도된 설계에 대해 비교될 수 있다. 의도된 설계는 GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 0PC 전 설계 레이아웃으로서 일반적으로 정의된다.
따라서, 모델 공식화는 전체 프로세스의 알려진 물리학 및 화학의 전부는 아니더라도 대부분을 설명하고, 모델 파라미터들 각각은 바람직하게 별개의 물리적 또는 화학적 효과에 대응한다. 따라서, 모델 공식화는 모델이 전체 제조 프로세스를 시뮬레이션하기 위해 얼마나 잘 사용될 수 있는지에 대한 상한을 설정할 수 있다.
연속 송신 마스크(CTM) 기법은 마스크 패턴에 대한 그레이스케일 안내 맵을 생성할 수 있는 역 리소그래피 솔루션이다. 그레이스케일 안내 맵에 기초하여, 설계 레이아웃의 메인 피처들에 대한 수정들 및 보조 피처들이 추출될 수 있다. 일 실시예에서, 이러한 안내 맵은 다각형 형상 피처들(예를 들어, 메인 피처들, 보조 피처들, SRAF들, SERIF들 등)을 생성하는 데 사용될 수 있다. CTM+ 기법들을 사용하여 생성된 패턴들을 사용하여 제조된 마스크들은 곡선 마스크들이라고 지칭된다. 일 실시예에서, CTM+ 기법은 레벨-설정 방법을 사용하여 안내 맵을 결정하는 것을 수반하고, 레벨-설정 임계값들은 곡선 다각형 형상 피처들(예를 들어, 메인 피처들, 보조 피처들, SRAF들, SERIF들 등)을 결정하는 것을 보조한다.
곡선 마스크를 생성하는 예시적인 방법은 PCT 특허 공개 번호 WO 2019179747 A1에서 논의되며, 이는 그 전체가 본 명세서에 참고로 포함된다. 예시적인 방법(예를 들어, CTM, CTM+ 또는 조합된 CTM 및 CTM+)에서, 리소그래피와 관련된 성능 메트릭(예를 들어, EPE, 사이드로브 등)에 대해 그레이스케일 이미지를 최적화함으로써 안내 맵이 생성된다. 이 방법은 그레이스케일 이미지로부터 추적된 곡선 다각형들을 포함하는 최적화된 곡선 마스크 패턴을 생성하는 데 사용될 수 있다.
다른 예시적인 방법에서, 풀-칩 능력은 2018년 12월 28일자로 출원된 미국 특허 출원 제62/785,981호에서 논의된 바와 같이 패치 경계 핸들링(patch boundary handling)에 의해 가능하게 되며, 이 미국 특허 출원은 그 전체가 본 명세서에 참고로 포함된다. 논의된 방법은 패치 경계에 의해 도입된 결과들의 어떠한 저하도 없이 상이한 패치 결과들의 매끄러운 전이를 보장한다.
다른 예시적인 방법에서, 마스크 제조가능성을 확실히 하기 위해, 이미지 기반 MRC 방법이 2018년 11월 30일자로 출원된 미국 특허 출원 제62/773,475호에서 논의되며, 이 미국 특허 출원은 그 전체가 본 명세서에 참고로 포함된다. 이 예시적인 방법은 MRC가 충족되도록 마스크 패턴 기하구조를 조절하는 데 도움을 준다.
전술한 방법에 의한 풀-칩 마스크 생성의 런타임을 줄이기 위해, 본 명세서에 그 전체가 참고로 포함되는 PCT 특허 공개 번호 WO 2018215188 A1에서 논의된 바와 같이, 기계 학습 기반 방법이 사용될 수 있다. 기계 학습 기반 방법은 DCNN 프레임워크를 사용하여 최적화된 마스크 패턴에 대응하는 그레이스케일 이미지를 예측하도록 기계 학습 모델을 훈련한다. 예측된 그레이스케일 이미지는 "실측 정보(ground truth)"에 매우 가깝고, 따라서 적은 수의 반복(예를 들어, 역 리소그래피 솔루션에서 필요한 반복 미만)만으로 최종 곡선 마스크 패턴이 생성될 수 있다.
전술한 CTM 및 CTM+ 기법들은 그리드 기반 패턴 수정을 사용하는 반복적 최적화를 수반한다. 이러한 그리드 기반 수정은 타겟 패턴의 그리드 위치들이 변경되는 경우 타겟 패턴에 대한 상이한 CTM을 생성할 수 있다. 또한, 일반적으로 알려진 바와 같이, 역 리소그래피는 다수의 솔루션을 갖는 문제를 가지며, 작은 또는 심지어 명확한 차이들을 갖는 솔루션들은 모두 리소그래피 성능(DOF, PVB 등)에 의해 판단될 때 허용 가능한 솔루션들로서 간주될 수 있다.
도 3a-3b는 그리드 의존 에러를 유발하는 그리드에 대한 예시적인 패턴 시프팅을 예시한다. 도 3a 및 3b는 예측된 윤곽(301/311)(점선) 및 입력 윤곽(302/312)(예로서, 설계 또는 원하는 윤곽)을 나타낸다. 도 3a에서, 전체 입력 윤곽(301)은 그리드 상에 있지만, 도 3b에서 입력 윤곽(311)의 일부는 그리드 밖에, 예를 들어 코너 포인트에 있다. 이것은 모델 예측 윤곽들(302, 312) 사이의 차이를 유발할 수 있다. 일 실시예, 예로서 리소그래피 제조 가능성 검사(LMC) 또는 0PC 응용들에서, 동일 패턴이 그리드 상의 상이한 위치들에서 반복 제시될 수 있고, 패턴의 위치에 관계없이 불변 모델 예측을 갖는 것이 바람직하다. 그러나, 모델들은 완벽한 시프트-불변을 거의 달성할 수 없다. 일부 잘못된 조건의 모델은 패턴 시프트들에서 큰 윤곽 차이를 제공할 수 있다.
일 실시예에서, 그리드 의존(GD) 에러는 다음과 같이 측정될 수 있다. GD 에러를 측정하기 위해, 패턴 및 윤곽을 따른 게이지는 서브픽셀 단계에서 함께 시프트된다. 예를 들어, 픽셀 크기 = 14nm에 대해, 패턴/게이지는 x 및/또는 y 방향을 따라 단계마다 1nm만큼 시프트될 수 있다. 각각의 시프트를 이용하여, 게이지를 따른 모델 예측 CD가 측정된다. 그 후, 모델 예측 CD들의 세트에서의 분산은 그리드 의존 에러를 나타낸다. 예측 윤곽들에서의 그러한 차이들은 사용자의 관점에서 수용 가능하지 않을 수 있다. 동일한 타겟 패턴에 대해, 고객들, 0PC 엔지니어들 또는 다른 패터닝 프로세스 관련 사용자들은 동일한 마스크를 사용하여 프로세스 변동에 대한 더 양호한 제어를 보장하는 것을 선호할 수 있다.
그리드 의존 문제는 CTM 및 CTM+를 생성하기 위해 사용되는 것과 같은 역 리소그래피의 적용으로 확장될 수 있다. CTM/CTM+ 생성 프로세스가 결과들(대응하는 그레이스케일 마스크 맵 및/또는 곡선 마스크)을 생성하기 위해 반복 최적화를 거침에 따라, 동일한 타겟 패턴들에 대한 결과들은 모델 그리드 의존의 효과로 인해, 그리고 각각의 반복 단계들에서 추가적인 그리드 의존을 야기할 수 있는 CTM/CTM+에서의 다른 처리들로 인해 최적화 동안 점점 더 상이하게 될 수 있다. 그리드 의존 문제는 또한 가속화를 위해 사용되는 기계 학습 기반 방법들, 예를 들어, SRAF(Sub-Resolution Assistant Feature) 생성의 적용으로 확장될 수 있다. 예를 들어, 상이한 해결책들이 실측 정보 데이터 자체에 존재함에 따라, 이는 기계 학습 모델 훈련이 실측 정보 결과로부터 더 낮은 RMS를 갖는 더 양호한 모델로 수렴하는 것을 어렵게 한다. 또한, 기계 학습 기반 방법들은 또한, 이들이 결과들을 예측하기 위해 적용될 때, 그리드 의존의 소스이다. 본 개시에서, 방법(예를 들어, 400)은 CTM/CTM+ 결과들의 변동을 감소시키고, 따라서 일관성을 개선하기 위해 논의된다.
도 4a는 본 개시의 일 실시예에 따른 하나 이상의 타겟 패턴들을 포함하는 임의의 설계 레이아웃을 위한 하나 이상의 마스크 패턴들을 결정하는 예시적인 방법의 흐름도이다. 예를 들면, 메모리 회로와 같은 하나 이상의 타겟 패턴들에 대응하는 하나 이상의 마스크 패턴들을 포함하는 마스크 레이아웃이 생성될 수 있다. 또한, 마스크 레이아웃을 사용하는 마스크가 기판 상에 타겟 패턴을 인쇄하는 데 사용될 수 있다.
일 실시예에서, 방법(400)은 여러 단계 또는 프로세스를 포함하고, (예를 들어, 프로세서 또는 컴퓨터 하드웨어 시스템을 통해) 실행될 때 원하는 패턴(예를 들어, 타겟 패턴)에 대한 마스크 패턴을 생성한다.
프로세스(P401)는 설계 레이아웃(401)의 일부를 복수의 셀(402)로 분할하는 것을 포함하고, 각각의 셀은 타겟 패턴 상의 주어진 위치(또는 선택된 위치)와 관계를 갖는다. 일 실시예에서, 관계는 각각의 셀에 의해 충족되는 등가 관계(예컨대, 대칭)일 수 있다. 일 실시예에서, 관계는 주어진 위치와 경계 사이의 함수, 예를 들어, 각각의 셀의 경계와 주어진 위치 사이의 최소 거리로서 표현될 수 있다. 이러한 관계는 설계 레이아웃(401)의 분할을 유발하는 각각의 셀의 경계들을 결정하는 데 사용될 수 있다. 일 실시예에서, 주어진 위치는 타겟 패턴의 중심, 타겟 패턴의 에지 상의 포인트, 또는 타겟 패턴들에 대한 미리 정의된 기하학적 관계(예컨대, 거리, 삼각법 등)에 의해 결정된 임의의 포인트들이다. 일 실시예에서, 설계 레이아웃(401)은 복수의 타겟 패턴(예컨대, 구멍, 막대, 선 등)을 포함하고, 그들의 주어진 위치들은 타겟 패턴들의 중심들, 타겟 패턴들의 에지들 상의 포인트들, 또는 타겟 패턴들에 대한 동일한 기하학적 관계들을 갖는 포인트들일 수 있다.
일 실시예에서, 설계 레이아웃의 일부의 분할은, 예를 들어, 보로노이(Voronoi) 방법을 사용하는 것에 의한 기하학적 분할에 기초하며, 여기서 복수의 셀(402)의 각각의 셀의 경계들은 셀의 경계와 타겟 패턴 상의 주어진 위치 사이의 거리에 기초하여 결정된다. 일 실시예에서, 복수의 셀(402)의 각각의 셀의 경계들은 각각의 셀 내의 포인트들이 설계 레이아웃 내의 다른 위치들보다 주어진 위치에 가장 가깝다고 결정된다.
일 실시예에서, 설계 레이아웃은 제1 좌표계와 연관되고, 복수의 셀(402)은 제2 좌표계와 연관된다. 일 실시예에서, 제1 좌표계는 기존의 방법들(예를 들어, 이전에 언급된 특허 공개 WO 2019179747 A1, 특허 출원 PCT/EP2019/081574, 및 PCT/EP2019/079562에서의 CTM/CTM+ 방법들)에서 사용되는 좌표계를 지칭한다.
일 실시예에서, 제2 좌표계는 원점이 셀의 베이스 포인트(예를 들어, 타겟 설계로부터의 포인트, 또는 코너)에 있고, 제1 축이 타겟 피처의 에지에 수직이고, 제2 축이 제1 축에 수직이도록(또는 좌표계를 정의하는 다른 등가의 선택들) 정의된다. 일 실시예에서, 예를 들어, 베이스 포인트는 타겟 패턴의 에지에 배치된 포인트일 수 있다. 일 실시예에서, 설계 레이아웃은 다수의 타겟 패턴들을 포함하고; 베이스 포인트는 특정 셀의 원점을 정의하기 위해 각각의 타겟 패턴의 각각의 에지 또는 분리된 에지에 배치될 수 있고; 좌표들은 타겟 패턴 각각에 관련된 원점에 대해 정의될 수 있다.
도 5는 본 개시의 일 실시예에 따른 예시적인 제1 좌표계 및 예시적인 제2 좌표계를 예시한다. 예를 들어, 제1 좌표계는 설계 레이아웃의 패치(510)의 그리드로서 표현될 수 있다. 일 실시예에서, 제1 좌표계에서의 원점은 패치(510)의 코너(예를 들어, 좌하 코너)일 수 있고, x 방향 및 y 방향은 그리드의 수평선 및 수직선을 따를 수 있다. 도시된 바와 같이, 그리드는 등거리 수직선들 및 등거리 수평선들을 배치함으로써 정의된다. 타겟 패턴(예를 들어, T1)이 이러한 그리드 상에 배치될 때, 타겟 패턴은 그리드를 사용하여 설명될 수 있다. 패치(510)의 그리드는 도 3a 및 도 3b와 관련하여 논의된 그리드와 유사하다.
도 5의 패치(520)를 참조하면, 원점(O1)이 타겟 패턴(T1)의 에지에 있고, x 방향이 에지에 수직이고, y 방향이 에지에 평행하도록 제2 좌표계가 정의된다. 일 실시예에서, 원점은 타겟 패턴(T1)의 에지 또는 분리된 에지와 연관된 평가 포인트 또는 기하학적 포인트일 수 있다. 일 실시예에서, 평가 포인트들은 CD, EPE 등과 같은 물리적 특성을 결정하기 위한 예상 측정 포인트들(예를 들어, 타겟 패턴의 에지들에 배치됨)이다. 예를 들어, 원점(O1)은 타겟 패턴의 에지의 중심에 위치된 EPE 평가 포인트일 수 있다. 따라서, 임의의 변수(예를 들어, 도 5의 셀(C1) 내의 점들에 의해 표현된 것)가 원점(예를 들어, 분리된 에지의 중심 포인트)을 참조하여 설명(예를 들어, 좌표들, 거리 등)될 수 있고, 이에 의해 임의의 유사한 타겟 패턴(예를 들어, T2)에 대한 일관된 기준 포인트를 제공할 수 있다. 일 실시예에서, 각각의 점은 변수인 이산 위치(예를 들어, 픽셀 위치)에 대응한다. 일 실시예에서, 각각의 점은 각각의 이산 위치와 연관된 값(예를 들어, 그 위치에서의 픽셀의 강도 값)에 대응하고, 이러한 값은 변수일 수 있다. 한편, 패치(510)의 그리드를 사용하는 것은 그러한 일관성을 제공하지 않는다. 반대로, 패치(510)의 그리드(또는 도 3a 및 도 3b의 그리드)는 평가 및 결과적인 OPC 패턴들에서 그리드 의존 에러들을 유발하였다.
도 4a를 다시 참조하면, 프로세스(P403)는 복수의 셀(402) 중 특정 셀 내에서 복수의 변수(403)를 할당하는 것을 포함하고, 특정 셀은 타겟 패턴 또는 그의 일부를 포함한다. 일 실시예에서, 할당은 또한 초기 값들을 복수의 변수(403)에 할당하는 것을 포함한다. 도 5에 도시된 바와 같이, 셀(C1)은 타겟 패턴(T1)의 일부를 포함한다. 복수의 변수(403)는 원하는 성능을 달성하기 위해 성능 메트릭(예를 들어, 프로세스 시뮬레이션에 사용됨)에 기초하여 (예를 들어, 패터닝 프로세스 시뮬레이션, CTM/CTM+ 시뮬레이션 등 동안) 그 값들이 수정될 수 있는 CTM 변수들로서 지칭될 수 있다. 복수의 변수의 초기 값들은 본 개시의 범위를 벗어나지 않고 임의의 적절한 방식으로, 예를 들어, 사용자 정의 값들, 랜덤 값들, 또는 CTM/CTM+ 시뮬레이션의 더 빠른 수렴을 위해 결정된 다른 초기 값들로 할당될 수 있다. 일 실시예에서, 복수의 변수(403)는 복수의 픽셀에 대응하고, 복수의 변수 중 주어진 변수의 값은 복수의 픽셀 중 주어진 픽셀 또는 픽셀들의 조합과 연관된 강도이다. 픽셀의 강도 값은 피처 윤곽(예를 들어, SRAF와 같은 OPC 피처들)의 에지를 나타낼 수 있다. 예를 들어, 강도 값들이 스케일 0 내지 1로 표현되는 경우, 0.75 이상의 강도 값들은 에지 픽셀들을 나타내는 반면, 0.75 미만의 강도 값은 비-에지 픽셀을 나타낼 수 있다. 다시 말해서, 강도 값들이 변함에 따라, 에지는 나타나거나 사라져서, 결국 패턴(예를 들어, SRAF)이 마스크 패턴에서 사용되게 한다.
일 실시예에서, 복수의 변수(403)의 값들은 제2 좌표계에 있고 제1 좌표계에서의 값들로 변환 가능하다. 예시적인 변환은 본 명세서에서 도 5를 참조하여 논의된다.
프로세스(P405)는, 복수의 변수(403)의 값들에 기초하여, 마스크 패턴을 이용하는 패터닝 프로세스의 성능 메트릭이 원하는 범위 내에 있도록 타겟 패턴에 대한 마스크 패턴(405)을 결정하는 단계를 포함한다. 일 실시예에서, 마스크 패턴(405)을 결정하는 단계는, 타겟 패턴 및 복수의 변수(403)를 사용하여, 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 복수의 변수(403)의 값들을 결정하기 위해 패터닝 프로세스를 시뮬레이션하는 단계; 및 복수의 변수(403)의 결정된 값들에 기초하여, 타겟 패턴에 대한 마스크 패턴(405)을 생성하는 단계를 포함한다.
일 실시예에서, 마스크 패턴(들)을 결정하는 단계는 반복 프로세스를 포함한다. 도 4b는 아래와 같이 상세히 논의되는 P411-P419와 같은 서브프로세스들을 포함하는 프로세스 P405에 대한 예시적인 흐름도를 예시한다.
프로세스 P411은 특정 셀의 복수의 변수(403) 중 하나 이상의 변수의 값들을 조정하는 것을 포함한다. 일 실시예에서, 하나 이상의 변수의 값들을 조정하는 것은 특정 셀 내의 강도들을 조정하는 것을 포함한다. 조정은 타겟 패턴과 연관된 특정 셀들 내의 하나 이상의 변수의 값들을 변경하는 것을 암시한다. 예를 들어, 도 5를 참조하면, 변수들의 세트 V1의 값들은 변경(예를 들어, 증가 또는 감소)된다. 일 실시예에서, (예를 들어, 세트 V1 내의) 하나 이상의 변수의 값들은 0 내지 1, -1 내지 1, 0 내지 100, 100 내지 1000의 임의의 값들, 또는 다른 실수 또는 정수 값 범위들일 수 있다. 예를 들어, 타겟 패턴 T1의 에지에 가까운 변수들은 타겟 패턴 T1으로부터 떨어진 변수들과 비교하여 비교적 더 높은 값으로 변경될 수 있다. 제1 반복에서, 변수들의 세트의 초기 값들은 동일할 수 있고, 후속 반복에서, 에지에서의 변수 값들은 증가될 수 있고, 에지로부터 떨어진 값들은 감소될 수 있다. 추가의 후속 반복에서, 변수 값들은 이전 반복에서의 값들로부터 증가 또는 감소될 수 있다. 일 실시예에서, 성능 메트릭(예를 들어, CD, EPE)과 연관된 기울기 맵은 변수들의 값들을 변경하기 위한 안내로서 사용될 수 있다. 예를 들어, 기울기 맵은 변수들 V1에 대한 EPE의 1차 도함수의 맵일 수 있다. 일 실시예에서, 프로세스 P411은 모든 셀(예를 들어, 도 4의 셀들 C1-C4)에 대해 반복된다.
프로세스 P413은 하나 이상의 변수의 조정된 값들을 설계 레이아웃의 제1 좌표계로 변환하는 것을 포함한다. 예를 들어, 셀들(예를 들어, 도 5의 C1, C2, C3, C4) 내의 (예를 들어, V1의) 하나 이상의 변수의 조정된 값들은 변수들의 조정된 값들의 영향이 평가될 수 있도록 마스크 이미지 또는 GDS 포맷으로서 패치의 제1 좌표계로 변환될 수 있다. 예를 들어, 영향은 예를 들어 도 2에서 논의된 패터닝 프로세스를 시뮬레이션하는 것을 통해 평가될 수 있다. 일 실시예에서, 영향은 CTM 또는 CTM+ 시뮬레이션 프로세스 흐름들(예를 들어, 이전에 언급된 특허 출원들 W0 2019179747 A1, 62/785981, 및 62/773475에서 논의됨)을 사용하여 평가될 수 있다. 따라서, 제2 좌표계로부터 제1 좌표계로의 조정된 값들의 변환은 원하는 성능이 달성될 수 있도록 성능 메트릭에 대한 그들의 영향을 평가하는 것을 허용한다.
일 실시예에서, 하나 이상의 변수의 조정된 값들을 변환하는 것은 설계 레이아웃의 제1 좌표계와 복수의 셀(402)의 제2 좌표계 사이의 상관을 확립하는 것을 포함한다. 예를 들어, 도 5를 참조하면, 패치(520)의 셀들(예를 들어, C1, C2, C3 등)과 패치(510)의 그리드 사이의 상관이 확립된다. 일 실시예에서, 상관은 제1 좌표계에서 설명된 타겟 패턴의 기하구조를 제2 좌표계에서 설명된 기하구조에 매핑할 수 있는 수학 함수일 수 있다. 상관에 기초하여, 하나 이상의 변수의 조정된 값들은 제2 좌표계로부터 설계 레이아웃의 제1 좌표계로 변환될 수 있다. 그 후, 하나 이상의 변수의 변환된 값들을 사용하여, 성능 메트릭을 결정하기 위해 패터닝 프로세스를 시뮬레이션할 수 있다.
일 실시예에서, 복수의 변수(403)는 복수의 픽셀에 상관되고, 복수의 변수 중 주어진 변수의 값은 복수의 픽셀 중 주어진 픽셀 또는 픽셀들의 조합과 연관된 강도이다.
도 5는 제1 좌표계와 제2 좌표계 사이에서 값들을 변환하는 예를 예시한다. 예를 들어, 제1 좌표계는 설계 레이아웃을 설명하는 데 사용되는 좌표계를 지칭한다. 일 실시예에서, 제1 좌표계는 설계 레이아웃의 패치(510)의 그리드와 같은 미리 결정된 그리드에 대해 타겟 패턴을 설명한다. 통상적으로, 패치(510)의 그리드는 등거리 수직선들 및 등거리 수평선들을 포함한다. 이후, 타겟 패턴들(예를 들어, T1 및 T2)의 위치들(예를 들어, 좌표들, 이웃하는 피처들에 대한 상대적 위치, 기타 등등) 및/또는 기하구조(예를 들어, 형상, 크기, 기타 등등)는 그리드에 대해 설명될 수 있다. 일 실시예에서, 그리드의 셀은 타겟 패턴 T1(또는 T2)에 의해 완전히 점유되거나, 부분적으로 점유되거나, 점유되지 않을 수 있다. OPC를 결정하는 데 사용되는 CTM/CTM+의 기존 방법들에서, 그리드 또는 제1 좌표계에 대한 타겟 패턴의 위치는 마스크 패턴을 생성하기 위한 광학 근접 보정(OPC)을 결정할 때 바람직하지 않게 중요할 수 있다. 예를 들어, 도 3a 및 3b를 참조하여 논의된 바와 같이, 그리드 의존 에러들이 OPC에서 유발될 수 있다. 그러한 그리드 의존 에러들은 일관성 없는 마스크 패턴들을 유발한다. 예를 들어, 마스크 패턴은 복수의 동일 타겟 패턴(예를 들어, 40nm의 접촉 구멍들)에 대응하는 상이한 OPC를 가질 수 있다.
한편, 본 개시의 일 실시예에 따르면, 설계 레이아웃의 패치는 예를 들어 패치(520)에 도시된 바와 같이 분할된다. 패치(520)는 타겟 피처(T1)를 포함하고, 패치(520)의 공간은 셀들(C1, C2, C3, C4 등)로 분할된다. 일 예에서, 패치(520)는 타겟 패턴(T1) 주위에 셀들(C1, C2, C3, C4)을 야기하는 보로노이 방법을 적용함으로써 분할될 수 있다. 이러한 보로노이 셀들은 510의 제1 좌표계와 상이한 좌표계에 있다. 따라서, 패치(520)의 특정 셀(예를 들어, C1) 내에 정의된 임의의 변수들, 예를 들어, 변수들(V1 및 V2)의 세트는 패치(510)의 그리드에 대해 상이한 포지셔닝을 가질 것이다. 패치(520)에서, 변수들(V1)의 세트는 점들에 의해 표현되고, 각각의 점은 상이한 변수를 나타낸다. 일 실시예에서, 하나 이상의 점 픽셀 및 값은 픽셀 강도들일 수 있다.
따라서, 본 예에서, V1 및 V2와 같은 변수들의 값들은 패치(510)의 그리드에 대응하는 값들로 변환된다. 일 실시예에서, 변수들(V1)의 세트는 복수의 픽셀이고, 복수의 변수 중 주어진 변수의 값은 강도이다. 그 후, 변수들(V1)의 값들은 패치(520)에서 타겟 패턴(T1)과 연관된 픽셀들의 강도들의 합 또는 가중 합을 취함으로써 (예를 들어, 510의) 제1 좌표계로 변환될 수 있다. 유사하게, 제1 좌표계에서의 값들은, 예를 들어, 수학 함수의 역을 취함으로써 제2 좌표계로 변환될 수 있다. 따라서, 패터닝 프로세스 시뮬레이션이 타겟 패턴(T1)의 에지에서 OPC 보정을 결정하거나 타겟 패턴(T1) 주위의 피처들을 보조할 때, OPC 보정들은 변수들(V1)의 값들에 기초하여 변환될 수 있고, 그 반대도 가능하다.
도 4b를 다시 참조하면, 프로세스 P415는, 하나 이상의 변수들의 변환된 값들을 사용하여 패터닝 프로세스를 시뮬레이션하는 것을 통해, 패터닝 프로세스의 성능 메트릭을 결정하는 것을 포함한다. 일 실시예에서, 성능 메트릭은: 패터닝 프로세스의 시뮬레이션에 의해 생성된 시뮬레이션된 패턴과 타겟 패턴 사이의 에지 배치 에러, 시뮬레이션된 패턴의 임계 치수(CD), 및/또는 시뮬레이션된 패턴과 타겟 패턴 사이의 CD 에러를 포함한다. 일 실시예에서, 성능 메트릭은 타겟 패턴들에 비해 마스크 피처들의 여분의 (예를 들어, 보조 피처들) 그리고 불충분한(예를 들어, 불완전한 피처) 인쇄의 수일 수 있다. 프로세스 P417은 성능 메트릭이 원하는 성능 범위 내에 있는지를 결정하는 것을 포함한다. 프로세스 P419는, 성능 메트릭이 원하는 성능 범위 내에 있는 것에 응답하여, 조정된 값들에 기초하여 마스크 패턴(405)을 결정하는 것을 포함한다. 일 실시예에서, 성능 메트릭이 원하는 성능 범위 내에 있지 않거나, 반복 단계들이 원하는 설정들(예를 들어, 100)을 달성하지 못하는 것에 응답하여, 원하는 성능 메트릭이 달성되거나 원하는 반복 횟수(예를 들어, 100)에 도달할 때까지 단계들(P411-P417)을 반복한다. 도 8은 본 개시의 일 실시예에 따른 예시적인 방법(400)을 사용하여 생성된 예시적인 CTM 맵을 예시한다.
일 실시예에서, 타겟 패턴들에 대한 마스크 패턴(405)을 생성하는 것은 복수의 변수의 값들을 그레이스케일 마스크 이미지(예를 들어, CTM)의 수학적 표현인 또는 레벨-설정 함수(예를 들어, CTM+)로서 곡선 마스크 패턴을 생성하는 픽셀화된 이미지들로 변환하는 것을 포함한다.
일 실시예에서, 방법(400)은 타겟 패턴을 사용하여 결정된 복수의 변수의 값들을 설계 레이아웃 내의 타겟 패턴의 다른 인스턴스들에 적용하는 단계; 및 타겟 패턴의 모든 인스턴스들이 마스크 패턴을 생성하기 위해 일관된 방식으로 수정되도록, 타겟 패턴의 인스턴스들에 적용된 값들에 기초하여, 마스크 패턴(405)을 결정하는 단계를 포함한다. 예를 들어, 도 5를 참조하면, 타겟 피처 T1과 연관된 변수들 V1의 값들은 그레이 스케일 이미지를 생성하기 위해 사용된다. 일 실시예에서, 타겟 피처 T1은 설계 레이아웃 내의 다수의 위치에 나타날 수 있고, 그 후 V1의 동일한 값들이 다수의 패턴들에 대해 사용될 수 있고, 그에 의해 동일한 타겟 피처들에 대해 일관된 OPC 보정을 갖는 마스크 패턴을 생성할 수 있다.
일 실시예에서, 방법(400)은 복수의 셀 사이의 또는 특정 셀 내의 대칭 부분을 결정하는 단계; 및 복수의 셀 중 각각의 셀의 대칭 부분에 동일한 세트의 변수들을 할당하는 단계를 더 포함한다. 일 실시예에서, 대칭 부분들은 복수의 셀(402) 사이의 또는 특정 셀 내의 기하학적 유사성에 기초하여 결정될 수 있다. 예를 들어, 도 5의 타겟 패턴이 반복적인 접촉 어레이인 경우, C1, C2, C3 및 C4와 같은 모든 셀들은 대칭이고, 따라서 하나의 동일한 세트의 변수들에 의해 표현될 수 있다. 또한, 각각의 셀이 플립/미러 대칭인 경우, 셀 C1의 부분(삼각형 부분) 내의 변수들 V1은 다른 부분들, 예를 들어, 변수들 V2를 갖는 미러 대칭 부분(예를 들어, 다른 삼각형 부분)을 표현할 수 있다.
그러한 대칭 기반 변수 할당을 사용하는 것은 유리하게도 변수 세트의 치수를 수 자릿수만큼 감소시킬 수 있다. 예를 들어, 서로에 대해 모두 대칭인 셀들의 1000 개의 인스턴스가 있고, 각각의 셀에서 100 개의 변수가 있는 경우, 대칭 기반 변수 할당을 사용하는 것에 의해, 변수들의 수는 1000배 감소된다. 따라서, 패터닝 프로세스의 시뮬레이션은 그러한 감소된 수의 변수에 기초하고, 그에 의해 패터닝 프로세스 시뮬레이션 또는 OPC 결정이 변수의 전체 세트를 사용하는 것보다 훨씬 더 빨라지는 것을 가능하게 한다. 또한, 그러한 감소된 변수들(예를 들어, 100 개의 변수)에 관련된 OPC의 결과들은 설계 레이아웃 전체에 걸쳐 많은 타겟 피처들(예를 들어, 1000 개의 인스턴스)에서 사용될 수 있고, 그에 의해 결정된 OPC를 사용하는 마스크 패턴에서의 일관성을 달성할 수 있다.
도 6은 본 개시의 일 실시예에 따른 공간 분할 및 대칭 기반 변수 할당의 상이한 예를 예시한다. 도 6에서, 설계 패턴의 패치는 타겟 피처들(T1, T2, T3, T4, 및 T5)을 포함하고, 이들 모두는 접촉 어레이 패턴들의 무한 반복의 일부이다. 패치는 분할될 공간 내의 타겟 패턴들 사이의 피치 반복들에 기초하여 복수의 셀로 분할되고, 특정 셀(600)(예를 들어, 타겟 피처들(T1-T5)을 정사각형 커버 또는 부분적으로 커버함)이 도시된다. 셀(600) 내에서, 점들은 셀에 할당된 변수들을 나타낸다. 일 실시예에서, 대칭 부분(예를 들어, 삼각형 부분)은 동일한 변수 세트(610)를 할당받는다. 예를 들어, 셀(600)은 16 개의 대칭 부분(예를 들어, 삼각형 형상으로 표현됨)을 포함한다. 본 예에서, 변수 세트(610)는 삼각형 부분(대칭 부분의 일 예) 내에서 할당되고, 이러한 동일한 변수들(610)은 셀(600)의 나머지에 적용될 수 있다. 일 실시예에서, 변수들(610)을 갖는 대칭 부분은 반복 모드로서 지칭될 수 있는데, 이는 변수들(610)의 값들이 접촉 어레이 패턴들의 무한 반복의 전체 공간을 커버하기 위해 반복될 수 있기 때문이다. 일 실시예에서, 이러한 방법은, 타겟 패턴 기하구조들에 기초한 기하학적 분할에 대한 대안으로서, 주어진 반복 피치들을 갖는 (무한 어레이에 의해 표현될 수 있는) 어레이 패턴들의 중심에 대해 사용될 수 있다.
일 실시예에서, 방법(400)은 마스크 패턴(405)을 초기 패턴으로서 사용하여 OPC(optical proximity correction) 프로세스를 수행하는 단계를 더 포함하며, 0PC 프로세스는 마스크 패턴을 수정하여 광 근접 보정된 마스크 패턴을 결정하는 단계를 포함한다. 일 실시예에서, 마스크 패턴은 패터닝 프로세스의 성능 메트릭이 개선되도록 수정된다. 예를 들어, 성능 메트릭을 개선하는 것은 타겟 패턴과 패터닝 프로세스의 시뮬레이션에 의해 생성되는 시뮬레이션된 패턴 사이의 에지 배치 에러를 최소화하는 것, 타겟 패턴들과 비교하여 마스크 피처들의 여분의 그리고 불충분한 인쇄의 수를 최소화하는 것, 시뮬레이션된 패턴과 타겟 패턴 사이의 CD 에러를 최소화하는 것, 또는 이들의 조합들일 수 있다. 본 개시의 범위를 벗어나지 않고서 성능 메트릭을 개선하는 임의의 다른 적절한 OPC 프로세스 또는 메커니즘이 사용될 수 있다.
도 7a-7c는 반복 모드(예로서, 대칭 부분들) 및 비반복 모드(예로서, 대칭 부분들을 사용하지 않음)의 그림 비교를 나타낸다. 도 7a는 복수의 셀(712)(예로서, 보로노이 방법을 사용하는 보로노이 셀들)로 분할되는 예시적인 설계 레이아웃(710)을 예시한다. 도 7b는 복수의 셀(712)의 각각의 셀에 할당되는 복수의 변수(722)(예로서, 음영 영역들)를 예시한다. 각각의 셀의 복수의 변수가 대칭을 고려하지 않고 독립적으로 취급될 때, 변수들의 수는 상당할 수 있다. 한편, 본 개시의 일 실시예에 따른 도 7c에 도시된 바와 같이, 대칭 부분들이 식별될 때, 변수들의 수가 상당히 감소한다. 예를 들어, 732, 733, 734, 735 및 736에서의 변수들이 조정될 필요가 있다. 이어서, 732-736에서의 변수들과 관련된 결과들이 상이한 셀들의 그들 각각의 대칭 부분들에 적용될 수 있다. 따라서, 비반복 모드의 음영 부분들(7B에서)과 반복 모드의 음영 부분들(7C에서)을 비교하는 것은 변수들의 치수 또는 수의 상당한 감소를 나타낸다. 이것은 마스크 패턴들의 일관성을 상당히 개선한다.
도 9는 본 개시의 일 실시예에 따른 방법(400)의 결과들을 사용하는 예시적인 풀-칩 OPC 시뮬레이션 프로세스의 흐름도이다. 예를 들면, 셀들의 복수의 변수(403)와 연관된 결과들(예를 들면, 조정된 값들)은 대응하는 타겟 패턴들의 기하구조(예를 들면, 특정한 CD를 갖는 구멍들, 특정한 CD를 갖는 선들, 인접한 피처들 사이의 거리 등)에 의해 분류되고, 데이터베이스 라이브러리로서 저장될 수 있다. 그 후, 이 데이터베이스 라이브러리는 마스크 패턴에 대한 OPC를 결정하는 동안에 로딩될 수 있다. 그 후, 데이터베이스 라이브러리 내의 저장된 결과들은 기하학적으로 매칭하는 타겟 패턴들에 적용될 수 있다. 저장된 결과들은 긴 시뮬레이션 프로세스를 재실행할 필요 없이 직접 또는 사소한 조정들과 함께 적용될 수 있다.
일 실시예에서, 타겟 패턴(예로서, 도 5의 T1, T2)과 연관된 복수의 셀과 관련된 결과들은 또한 기계 학습 모델을 훈련하는 데 사용될 수 있다. 전술한 바와 같이, CTM/CTM+ 프로세스들을 사용하는 풀-칩 마스크 패턴 생성의 런타임을 줄이기 위해, 딥 컨볼루션 신경망(DCNN)(예로서, 미국 특허 출원 제16/606,791호에서 설명됨) 기반 방법들을 사용하는 기계 학습을 개발하여, "실측 정보"에 매우 가까운 최적화된 마스크 패턴에 대응하는 그레이스케일 이미지를 예측한다. 그러나, 마스크 일관성 요건은 0PC 툴들을 사용하여 충족되지 못할 수 있다. CTM 및 CTM+ 양자의 일관성을 개선하기 위해, 방법(400)은 풀-칩 응용을 위한 새로운 방법을 가능하게 할 수 있으므로 기계 학습 모델을 훈련하는 데 사용될 수 있다. 도 9의 훈련 방법(900)은 이롭게도 훨씬 더 짧은 런타임으로 훨씬 더 높은 일관성으로 결과들을 생성하는 능력을 가지며, 곡선 패치 경계 핸들링의 기존 방법들 및 기계 학습 방법들(예로서, 뉴런 프리폼(Newron Freeform))과 충분히 호환된다. 도 9의 방법은 메모리 패턴들과 같은 매우 반복적인 레이아웃들의 핸들링에 큰 이익을 제공하며, 랜덤 로직 레이아웃에도 유리하다. 이 방법은 CTM 방법에 적용될 수 있고, 아마도 기계 학습 기반 SRAF 방법에 대한 보완의 역할을 한다.
도 9의 방법은 2 개의 스테이지: (i) 방법(400)을 사용하여 "결과 라이브러리"를 생성하는 데이터 생성 스테이지, 및 (ii) "결과 라이브러리"가 곡선 모델을 생성하기 위해 기계 학습 모델과 함께 전체 설계 레이아웃 또는 타겟 레이아웃에 적용되는 풀-칩 적용 스테이지로 분할될 수 있다. 스테이지들은 아래와 같이 상세히 설명된다.
프로세스(900)에서, 타겟 패턴(901)의 선택된 클립들은 CTM/CTM+ 생성 또는 최적화 프로세스(905)에 대한 초기 CTM/CTM+ 맵(903)을 생성하는 데 사용될 수 있다. 일 실시예에서, 초기 맵(903)은 CTM에 대한 최적화된 이미지(907), 및 CTM+에 대한 최적화된 이미지 파이(φ)(907)(예를 들어, 레벨-설정 출력으로부터의 다각형들) 및 곡선 마스크 패턴(907)을 생성하기 위해 최적화 프로세스(905)를 사용하여 최적화될 수 있다. 이 결과(907)는 본 명세서에 그 전체가 참고로 포함되는 미국 특허 출원 제16/606,791호에 논의된 바와 같이 기계 학습 모델(909)을 훈련하는 데 사용될 수 있다.
일 실시예에서, 최적화 프로세스(905)는 역 리소그래피(예로서, PCT 특허 공개 WO 2019179747 A1에서와 같은 CTM) 기반 시뮬레이션, 레벨-설정 기반 방법(예로서, CTM+) 시뮬레이션, 기계 학습 모델 기반 CTM 생성(예로서, 미국 특허 출원 16/606, 791에서), 또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 방법(400)은 도 4a 및 4b에서 설명된 바와 같이 CTM 프로세스(905)와 통합될 수 있다. 따라서, 선택된 타겟 패턴들(901)은 복수의 셀로 분할될 수 있고, 각각의 셀은 복수의 CTM 변수를 포함할 수 있다. 이어서, CTM 변수들의 값들은 (예로서, EPE와 같은 성능 메트릭을 개선하기 위해 최적화하는) CTM 최적화 프로세스(905)에 따라 조정될 수 있다. 각각의 셀(또는 셀들의 대칭 부분들)의 그러한 CTM 변수들의 값들은 선택된 타겟 패턴들(901)과 연관되고, 결과 라이브러리(906)에 저장될 수 있다.
일 실시예에서, 결과 라이브러리(906)는 선택된 타겟 패턴들에 대한 최적화된 CTM 변수 값들을 포함한다. 일 실시예에서, 설계 레이아웃은 다른 타겟 패턴들을 포함할 수 있다. 일 실시예에서, 선택된 타겟 패턴들은 상이한 위치들, 임계 패턴, 핫스팟 패턴 등에서 빈번하게 나타나는 설계 레이아웃의 패턴들일 수 있다. 일 실시예에서, 전체 설계 레이아웃 또는 커버를 나타내는 설계 레이아웃으로부터 소수의 패턴들, 예를 들어, 90% 초과의 패턴들을 선택하기 위해 커버리지 분석이 수행될 수 있다. 예를 들어, 설계 레이아웃은 수백만 또는 심지어 수십억 개의 패턴들을 포함할 수 있고, 단지 100000, 10000, 또는 1000 개의 패턴들이 타겟 패턴들로서 선택될 수 있다. 따라서, 각각의 선택된 패턴(예를 들어, 1000 개의 패턴들)에 대해, 결과 라이브러리는 셀(예를 들어, 100 개의 패턴들에 대응하는 1000 개의 셀들) 및 대응하는 변수 값들을 포함할 수 있다. 예를 들어, 901의 각각의 선택된 타겟 패턴은 결과 라이브러리(906)로부터 대응하는 결과들을 찾기 위해 고유 셀 식별자와 연관될 수 있다.
방법(900)의 제2 단계에서, 결과 라이브러리(906)는 풀-칩 레이아웃(911)에 대해 사용될 수 있고, 풀-칩에 대한 마스크 패턴을 생성할 수 있다. 일 실시예에서, 풀-칩 레이아웃은 복수의 타겟 패턴을 포함하고, 예를 들어, 제1 단계에서 결과 라이브러리(906)가 생성된 선택된 타겟 패턴들(901) 중 하나 이상을 포함한다.
제2 단계에서, 풀-칩 레이아웃(911)은 제1 단계에서와 동일한 방식으로 복수의 셀로 분할될 수 있다. 그 후, 마스크 패턴 생성 중에, 선택된 타겟 패턴(901)에 대응하는 풀-칩 레이아웃(911)의 하나 이상의 셀에 대해, 결과 라이브러리(906)로부터 결과들이 로딩될 수 있다. 결과들이 결과 라이브러리(906)에서 이용가능하지 않은 다른 패턴에 대해, CTM 생성(903) 및 CTM 최적화(905)를 포함하는 별개의 CTM 프로세스가 수행될 수 있다. CTM 최적화(905) 및 결과 라이브러리(906)로부터의 결과들은 함께 결합되어 풀-칩 레이아웃(911)에 대응하는 최종 마스크 패턴(920)을 생성한다. 이러한 마스크 패턴(920)은 훨씬 더 적은 런타임 비용(최적화가 필요하지 않으므로) 및 훨씬 더 쉬운 경계 핸들링(결과가 더 일관되므로)으로 생성될 수 있다.
매우 반복적인 패턴들을 포함하는 풀-칩 레이아웃(예를 들어, 메모리 레이아웃)에 대해, 모든 가능한 셀들의 결과들을 생성하는 것이 가능하고, 따라서 풀-칩 마스크 패턴을 생성할 때 추가적인 최적화를 요구하지 않는다.
그러나, 통상적으로 랜덤 로직 레이아웃과 같이, 패턴들의 더 많은 변동들을 가지는 풀-칩 레이아웃에 대해, 합리적인 계산 비용 내에서 풀-칩 레이아웃 내의 모든 셀들의 결과들을 생성할 가능성이 더 적을 수 있다. 이러한 상황에서, 결과 라이브러리는 예를 들어, 상대적으로 임계적이거나, 반복적이거나, 통상적인 레이아웃들의 선택으로 생성될 수 있다. 이후, 결과 라이브러리(예를 들어, 906)에 포함되는 셀들에 대해, 결과들은 예를 들어 CTM/CTM+ 최적화 또는 생성 프로세스 동안 직접 로딩될 수 있다. 다른 것들에 대해, CTM/CTM+ 생성 프로세스(903)는 예를 들어 기계 학습 모델 예측들을 사용하여 초기화될 수 있어서, 최적화(905)의 일부 반복들이 양호한 리소그래피 성능을 생성할 수 있게 한다. 따라서, 방법(400)을 구현하는 방법(900)은 기존의 심층 학습 기반 CTM 또는 CTM+ 생성 방법들과 호환 가능하다.
일 실시예에서, 본 명세서에서 논의된 방법들은 명령어들이 기록되어 있는 컴퓨터 프로그램 제품 또는 비일시적 컴퓨터 판독가능 매체로서 제공될 수 있고, 명령어들은 컴퓨터에 의해 실행될 때 앞서 논의된 방법들(400 및 900)의 동작을 구현한다.
예를 들어, 도 10의 예시적인 컴퓨터 시스템(100)은, 하나 이상의 프로세서들(예를 들어, 104)에 의해 실행될 때, 타겟 패턴을 포함하는 설계 레이아웃의 일부를 복수의 셀로 분할하는 동작- 각각의 셀은 타겟 패턴 상의 주어진 위치와 관계를 가짐 -; 복수의 셀 중 특정 셀 내에서 복수의 변수를 할당하는 동작- 특정 셀은 타겟 패턴 또는 그의 일부를 포함함 -; 및 복수의 변수의 값들에 기초하여, 마스크 패턴을 이용하는 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 타겟 패턴에 대한 마스크 패턴을 결정하는 동작을 포함하는 동작들을 야기하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체(예를 들어, 메모리)를 포함한다. 일 실시예에서, 마스크 패턴을 결정하는 동작은 타겟 패턴 및 복수의 변수를 사용하여, 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 복수의 변수의 값들을 결정하는 데 사용되는 상기 패터닝 프로세스를 시뮬레이션하는 동작; 및 복수의 변수의 결정된 값들에 기초하여, 타겟 패턴에 대한 마스크 패턴을 생성하는 동작을 포함한다.
일 실시예에서, 앞서 논의된 바와 같이, 설계 레이아웃의 일부를 분할하는 동작은 기하학적 분할, 예를 들어, 보로노이 방법에 기초하며, 여기서 복수의 셀 중 각각의 셀의 경계들은 타겟 패턴 상의 주어진 위치까지의 거리에 기초하여 결정된다.
일 실시예에서, 앞서 논의된 바와 같이, 설계 레이아웃은 제1 좌표계와 연관되고, 복수의 셀은 제2 좌표계와 연관되며, 복수의 변수의 값들은 제2 좌표계에서 표현되고 제1 좌표계에서 표현된 값으로 변환 가능하다. 일 실시예에서, 제2 좌표계는 원점이 각각의 셀의 베이스 포인트에 있고, 제1 축이 에지에 수직이며, 제2 축이 제1 축에 수직이도록 정의된다.
일 실시예에서, 전술한 바와 같이, 마스크 패턴을 결정하는 동작은 (a) 특정 셀의 복수의 변수 중 하나 이상의 변수의 값들을 조정하는 동작; (b) 하나 이상의 변수의 조정된 값들을 설계 레이아웃의 제1 좌표계로 변환하는 동작; (c) 하나 이상의 변수의 변환된 값들을 사용하여 패터닝 프로세스를 시뮬레이션하는 것을 통해, 패터닝 프로세스의 성능 메트릭을 결정하는 동작; (d) 성능 메트릭이 원하는 성능 범위 내에 있는지를 결정하는 동작; (e) 성능 메트릭이 원하는 성능 범위 내에 있는 것에 응답하여, 조정된 값들에 기초하여 마스크 패턴을 결정하는 동작; 및 (f) 성능 메트릭이 원하는 성능 범위가 아닌 것에 응답하여, (a)-(e)를 수행하는 동작을 포함한다.
일 실시예에서, 전술한 바와 같이, 하나 이상의 변수들의 조정된 값들을 변환하는 동작은 설계 레이아웃의 제1 좌표계와 복수의 셀의 제2 좌표계 사이의 상관을 확립하는 동작; 상관에 기초하여, 하나 이상의 변수들의 조정된 값들을 제2 좌표계로부터 설계 레이아웃의 제1 좌표계로 변환하는 동작; 및 하나 이상의 변수들의 변환된 값들을 사용하여 패터닝 프로세스를 시뮬레이션하는 동작을 포함한다.
일 실시예에서, 전술한 바와 같이, 복수의 변수는 복수의 픽셀에 대응하고, 복수의 변수 중 주어진 변수의 값은 복수의 픽셀 중 주어진 픽셀 또는 픽셀들의 조합과 연관된 강도이다.
일 실시예에서, 비일시적 컴퓨터 판독가능 매체는 타겟 패턴을 사용하여 결정된 복수의 변수의 값들을 설계 레이아웃 내의 타겟 패턴의 다른 인스턴스들에 적용하는 동작; 및 타겟 패턴의 인스턴스들에 적용된 값들에 기초하여, 마스크 패턴을 생성하기 위해 타겟 패턴의 모든 인스턴스들이 일관된 방식으로 수정되도록 마스크 패턴을 결정하는 동작을 포함하는 동작들을 야기하는 실행가능 명령어들을 더 저장한다.
일 실시예에서, 비일시적 컴퓨터 판독가능 매체는 복수의 셀 사이의 또는 특정 셀 내의 대칭 부분을 결정하는 동작; 및 복수의 셀 중 각각의 셀의 대칭 부분에 동일한 변수 세트를 할당하는 동작을 포함하는 동작들을 야기하는 실행가능 명령어들을 더 저장한다.
일 실시예에서, 비일시적 컴퓨터 판독가능 매체는 대응하는 타겟 패턴들의 기하구조에 기초하여 복수의 셀의 복수의 변수의 값들을 분류하는 동작; 복수의 변수의 값들을 결과 라이브러리에 저장하는 동작; 및 결과 라이브러리에 기초하여, 풀-칩 레이아웃에 대응하는 마스크 패턴을 결정하는 동작을 포함하는 동작들을 야기하는 실행가능 명령어들을 더 저장한다.
일 실시예에서, 전술한 바와 같이, 풀-칩 레이아웃에 대한 마스크 패턴을 결정하는 동작은: 풀-칩 레이아웃을 결과 라이브러리에 저장된 타겟 패턴들에 기하학적으로 매칭시킴으로써 풀-칩 레이아웃의 패턴들을 식별하는 동작; 식별된 패턴에 대응하는 복수의 변수의 값들을 추출하는 동작; 및 추출된 값들을 적용하여 풀-칩 레이아웃에 대한 마스크 패턴을 결정하는 동작을 포함한다.
도 10은 본 개시의 일 실시예에 따른 본 명세서에 개시되는 방법들 및 흐름들의 구현을 돕도록 구성되는 예시적인 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위해 버스(102)와 결합되는 프로세서(104)(또는 다수의 프로세서(104, 105))를 포함한다. 컴퓨터 시스템(100)은 또한 프로세서(104)에 의해 실행될 명령어들 및 정보를 저장하기 위해 버스(102)에 결합되는 랜덤 액세스 메모리(RAM)와 같은 메인 메모리(106) 또는 다른 동적 저장 디바이스를 포함한다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령어들의 실행 동안 임시 변수들 또는 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)를 위한 명령어들 및 정적 정보를 저장하기 위해 버스(102)에 결합되는 판독 전용 메모리(ROM)(108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광 디스크와 같은 저장 디바이스(110)가 제공되고, 정보 및 명령어들을 저장하기 위해 버스(102)에 결합된다.
컴퓨터 시스템(100)은 컴퓨터 사용자에게 정보를 디스플레이하기 위한 음극선관(CRT) 또는 평면 패널 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 버스(102)를 통해 결합될 수 있다. 영숫자 및 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 선택들을 프로세서(104)로 통신하기 위해 버스(102)에 결합된다. 다른 타입의 사용자 입력 디바이스는 방향 정보 및 커맨드 선택들을 프로세서(104)로 통신하고 디스플레이(112) 상의 커서 이동을 제어하기 위한 마우스, 트랙볼 또는 커서 방향 키들과 같은 커서 제어(116)이다. 이 입력 디바이스는 통상적으로 디바이스가 평면 내의 위치들을 특정하는 것을 가능하게 하는 2개의 축, 즉 제1 축(예로서, X) 및 제2 축(예로서, Y)에서 2개의 자유도를 갖는다. 터치 패널(스크린) 디스플레이도 입력 디바이스로서 사용될 수 있다.
일 실시예에 따르면, 프로세스의 부분들은 프로세서(104)가 메인 메모리(106)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 것에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수 있다. 그러한 명령어들은 저장 디바이스(110)와 같은 다른 컴퓨터 판독 가능 매제로부터 메인 메모리(106) 내로 판독될 수 있다. 메인 메모리(106)에 포함된 명령어들의 시퀀스들의 실행은 프로세서(104)로 하여금 본 명세서에서 설명되는 프로세스 단계들을 수행하게 한다. 다중 처리 배열 내의 하나 이상의 프로세서는 또한 메인 메모리(106)에 포함된 명령어들의 시퀀스들을 실행하는 데 사용될 수 있다. 대안 실시예에서, 하드 와이어드 회로가 소프트웨어 명령어들 대신에 또는 그와 조합하여 사용될 수 있다. 따라서, 본 명세서에서의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 한정되지 않는다.
본 명세서에서 사용되는 바와 같은 "컴퓨터 판독 가능 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어들을 제공하는 데 참여하는 임의의 매체를 지칭한다. 그러한 매체는 비휘발성 매체, 휘발성 매체 및 송신 매체를 포함하지만 이에 한정되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는 예를 들어 저장 디바이스(110)와 같은 광 또는 자기 디스크들을 포함할 수 있다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 송신 매체는 버스(102)를 포함하는 와이어들을 포함하는 동축 케이블, 구리 와이어 및 광섬유를 포함할 수 있다. 송신 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것들과 같은 음파 또는 광파의 형태를 취할 수 있다. 일반적인 형태의 컴퓨터 판독 가능 매체는 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍들의 패턴들을 갖는 임의의 다른 물리 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체는 실행을 위해 프로세서(104)에 하나 이상의 명령어의 하나 이상의 시퀀스를 전달하는 데 관련할 수 있다. 예를 들어, 명령어들은 처음에 원격 컴퓨터의 자기 디스크에 보유될 수 있다. 원격 컴퓨터는 명령어들을 그의 동적 메모리에 로딩하고 명령어들을 모뎀을 사용하여 전화선을 통해 송신할 수 있다. 컴퓨터 시스템(100)에 국지적인 모뎀은 전화선을 통해 데이터를 수신하고 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(102)에 결합된 적외선 검출기는 적외선 신호에서 전달되는 데이터를 수신하고 데이터를 버스(102)에 배치할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 전달하고, 프로세서(104)는 메인 메모리로부터 명령어들을 검색하여 실행한다. 메인 메모리(106)에 의해 수신된 명령어들은 선택적으로 프로세서(104)에 의해 실행되기 전에 또는 그 후에 저장 디바이스(110)에 저장될 수 있다.
컴퓨터 시스템(100)은 또한 바람직하게는 버스(102)에 결합된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 근거리 네트워크(122)에 연결되는 네트워크 링크(120)에 대한 양방향 데이터 통신 결합을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 타입의 전화선에 대한 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN에 대한 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크들이 또한 구현될 수 있다. 임의의 그러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 표현하는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 송신 및 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스들로의 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 근거리 네트워크(122)를 통해 호스트 컴퓨터(HC)로의 또는 인터넷 서비스 제공자(ISP)(126)에 의해 동작되는 데이터 장비로의 연결을 제공할 수 있다. 이어서, ISP(126)는 현재 흔히 "인터넷"(128)으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 근거리 네트워크(122) 및 인터넷(128) 양자는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 사용한다. 컴퓨터 시스템(100)으로 그리고 그로부터 디지털 데이터를 전달하는 다양한 네트워크를 통한 신호 및 네트워크 링크(120) 상의 신호, 및 통신 인터페이스(118)를 통한 신호는 정보를 운반하는 반송파들의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 근거리 네트워크(122) 및 통신 인터페이스(118)를 통해 애플리케이션 프로그램에 대한 요청된 코드를 송신할 수 있다. 하나의 그러한 다운로드된 애플리케이션은 예를 들어 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행되고/되거나, 나중의 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 애플리케이션 코드를 획득할 수 있다.
도 11은 본 개시의 일 실시예에 따라 이용될 수 있는 본 명세서에서 설명되는 기술들과 관련된 예시적인 리소그래피 투영 장치를 도시한다. 장치는:
복사선의 빔(B)을 컨디셔닝하기 위한 조명 시스템(IL) - 이 특정 경우에, 조명 시스템은 또한 복사선 소스(SO)를 포함함 -;
패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하기 위한 패터닝 디바이스 홀더를 구비하고, 제1 포지셔너에 연결되어 아이템(PS)에 대해 패터닝 디바이스를 정확하게 위치시키는 제1 객체 테이블(예를 들어, 패터닝 디바이스 테이블)(MT);
기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 유지하기 위한 기판 홀더를 구비하고, 제2 포지셔너에 연결되어 아이템(PS)에 대해 기판을 정확하게 위치시키는 제2 객체 테이블(기판 테이블)(WT);
패터닝 디바이스(MA)의 조사된 부분을 기판(W)의 타겟 부분(C)(예를 들어, 하나 이상의 다이들을 포함함) 상에 이미징하기 위한 투영 시스템("렌즈")(PS)(예를 들어, 굴절, 반사 또는 반사굴절 광학 시스템)을 포함한다.
여기에 도시된 바와 같이, 장치는 투과 타입이다(즉, 투과형 패터닝 디바이스를 가짐). 그러나, 일반적으로, 장치는 또한 예를 들어 반사 타입일 수 있다(반사형 패터닝 디바이스를 가짐). 장치는 고전적인 마스크에 대해 상이한 종류의 패터닝 디바이스를 사용할 수 있고; 예들은 프로그래밍 가능한 미러 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예로서, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스)는 복사선 빔을 생성한다. 이 빔은 직접 또는 예를 들어 빔 확장기(Ex)와 같은 컨디셔닝 수단을 가로지른 후에 조명 시스템(조명기)(IL) 내로 공급된다. 조명기(IL)는 빔 내의 강도 분포의 외측 및/또는 내측 방사상 정도(일반적으로 각각 σ-외측 및 σ-내측으로 지칭됨)를 설정하기 위한 조정 수단(AD)을 포함할 수 있다. 게다가, 그것은 일반적으로 적분기(IN) 및 콘덴서(CO)와 같은 다양한 다른 컴포넌트들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA) 상에 충돌하는 빔(B)은 그의 단면에서 원하는 균일성 및 강도 분포를 갖는다.
도 11과 관련하여, 소스(SO)는 (예를 들어, 소스(SO)가 수은 램프인 경우에 종종 그러하듯이) 예를 들어 리소그래피 투영 장치의 하우징 내의 구성 요소일 수 있지만, 리소그래피 투영 장치로부터 떨어져 있을 수도 있고, 그것이 생성하는 복사선 빔은 (예를 들어, 적절한 지향 미러들의 도움으로) 장치 내로 유도될 수 있고, 이러한 후자의 시나리오는 종종 소스(SO)가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초하는) 엑시머 레이저인 경우라는 점에 유의해야 한다.
이어서, 빔(PB)은 패터닝 디바이스 테이블(MT) 상에 유지되는 패터닝 디바이스(MA)를 인터셉트한다. 패터닝 디바이스(MA)를 가로지르면, 빔(B)은 렌즈(PL)를 통과하고, 이 렌즈는 빔(B)을 기판(W)의 타겟 부분(C) 상에 포커싱한다. 제2 포지셔닝 수단(및 간섭 측정 수단(IF))의 도움으로, 기판 테이블(WT)은 예를 들어 빔(PB)의 경로 내에 상이한 타겟 부분들(C)을 위치시키도록 정확하게 이동될 수 있다. 유사하게, 제1 포지셔닝 수단은 예를 들어 패터닝 디바이스 라이브러리로부터 패터닝 디바이스(MA)의 기계적 검색 후에 또는 스캔 동안에 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시키는 데 사용될 수 있다. 일반적으로, 객체 테이블들(MT, WT)의 이동은 도 11에 명시적으로 도시되지 않은 긴 스트로크 모듈(대략 포지셔닝) 및 짧은 스트로크 모듈(정밀 포지셔닝)의 도움으로 실현될 것이다. 그러나, (스텝-앤-스캔 툴과 달리) 스테퍼의 경우에, 패터닝 디바이스 테이블(MT)은 짧은 스트로크 액추에이터에 연결될 수 있거나, 고정될 수 있다.
도시된 툴은 2개의 상이한 모드에서 사용될 수 있다.
스텝 모드에서, 패터닝 디바이스 테이블(MT)은 본질적으로 정지 상태로 유지되고, 전체 패터닝 디바이스 이미지는 타겟 부분(C) 상으로 한꺼번에(즉, 단일 "플래시") 투영된다. 그 다음, 기판 테이블(WT)은 상이한 타겟 부분(C)이 빔(PB)에 의해 조사될 수 있도록 x 및/또는 y 방향으로 시프트된다.
스캔 모드에서, 주어진 타겟 부분(C)이 단일 "플래시"로 노광되지 않는 것을 제외하고는 본질적으로 동일한 시나리오가 적용된다. 대신에, 패터닝 디바이스 테이블(MT)은 투영 빔(B)이 패터닝 디바이스 이미지 위에서 스캐닝하도록 속도 v로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하고; 동시에, 기판 테이블(WT)은 속도 V= Mv로 동일한 또는 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 상대적으로 큰 타겟 부분(C)이 해상도를 손상시킬 필요 없이 노광될 수 있다.
도 12는 본 개시의 일 실시예에 따른 다른 예시적인 리소그래피 투영 장치(1M0)를 도시한다. 장치(1000)는:
복사선을 제공하는 소스 수집기 모듈(SO);
소스 수집기 모듈(SO)로부터의 복사선 빔(B)(예를 들어, EUV 복사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기)(IL);
패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 패터닝 디바이스를 정확하게 위치시키도록 구성되는 제1 포지셔너(PM)에 연결되는 지지 구조(예를 들어, 마스크 테이블)(MT);
기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상에 패터닝 디바이스(MA)에 의해 복사선 빔(B)에 부여되는 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
여기에 도시된 바와 같이, 장치(1000)는 (예를 들어, 반사 마스크를 사용하는) 반사 타입이다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다층 스택을 포함하는 다층 반사기들을 가질 수 있다. 일 예에서, 멀티-스택 반사기는 각각의 층의 두께가 1/4 파장인 몰리브덴 및 실리콘의 40 층 쌍들을 갖는다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 X-선 파장들에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수 재료의 얇은 조각(예를 들어, 다층 반사기의 최상부 상의 TaN 흡수기)은 어디에 피처들을 인쇄할 것인지(포지티브 레지스트) 또는 인쇄하지 않을 것인지(네거티브 레지스트)를 정의한다.
도 12를 참조하면, 조명기(IL)는 소스 수집기 모듈(SO)로부터 극자외선 복사선 빔을 수신한다. EUV 복사선을 생성하기 위한 방법들은 EUV 범위 내의 하나 이상의 방출 라인으로 재료를 적어도 하나의 요소, 예로서 크세논, 리튬 또는 주석을 갖는 플라즈마 상태로 변환하는 것을 포함하지만, 반드시 이에 한정되지는 않는다. 종종 레이저 생성 플라즈마("LPP")라고 하는 하나의 그러한 방법에서, 플라즈마는 라인 방출 요소를 갖는 재료의 액적, 스트림 또는 클러스터와 같은 연료에 레이저 빔을 조사함으로써 생성될 수 있다. 소스 수집기 모듈(SO)은 연료를 여기하는 레이저 빔을 제공하기 위한, 도 12에 도시되지 않은 레이저를 포함하는 EUV 복사선 시스템의 일부일 수 있다. 결과적인 플라즈마는 소스 수집기 모듈 내에 배치된 복사선 수집기를 사용하여 수집되는 출력 복사선, 예로서 EUV 복사선을 방출한다. 레이저 및 소스 수집기 모듈은 예를 들어 CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용될 때 개별 엔티티들일 수 있다.
그러한 경우들에서, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 복사선 빔은 예를 들어 적합한 지향 미러들 및/또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 수집기 모듈로 전달된다. 다른 경우들에서, 복사선 소스는 예를 들어 복사선 소스가 종종 DPP 복사선 소스라고 지칭되는 방전 생성 플라즈마 EUV 생성기일 때 소스 수집기 모듈의 구성 요소일 수 있다.
조명기(IL)는 복사선 빔의 각도 강도 분포를 조정하기 위한 조정기를 포함할 수 있다. 일반적으로, 조명기의 동공 평면 내의 강도 분포의 적어도 외부 및/또는 내부 방사상 범위(일반적으로 각각 σ-외부 및 σ-내부로 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 패싯 필드(facetted field) 및 동공 미러 디바이스들과 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 복사선 빔을 컨디셔닝하여, 그것의 단면에서 원하는 균일성 및 강도 분포를 갖게 하는 데 사용될 수 있다.
복사선 빔(B)은 지지 구조(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후에, 복사선 빔(B)은 기판(W)의 타겟 부분(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제2 포지셔너(PW) 및 위치 센서(PS2)(예를 들어, 간섭 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은, 예를 들어, 복사선 빔(B)의 경로에 상이한 타겟 부분들(C)을 위치시키기 위해 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(PS1)는 복사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다.
도시된 장치(1000)는 다음의 모드들 중 적어도 하나에서 사용될 수 있다.
1. 스텝 모드에서, 지지 구조(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 본질적으로 정지 상태로 유지되는 한편, 복사선 빔에 부여되는 전체 패턴은 한 번에 타겟 부분(C) 상에 투영된다(즉, 단일 정적 노광). 다음으로, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 복사선 빔에 부여되는 패턴이 타겟 부분(C) 상에 투영되는 동안 동기적으로 스캐닝된다(즉, 단일 동적 노광). 지지 구조(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다.
3. 다른 모드에서, 지지 구조(예를 들어, 마스크 테이블)(MT)는 프로그래밍 가능한 패터닝 디바이스를 본질적으로 고정적으로 유지하며, 기판 테이블(WT)은 복사선 빔에 부여되는 패턴이 타겟 부분(C) 상에 투영되는 동안 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스형 복사선 소스가 사용되고, 프로그래밍 가능한 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안 연속적인 복사선 펄스들 사이에서 요구되는 대로 업데이트된다. 이러한 동작 모드는 위에서 언급된 타입의 프로그래밍 가능한 미러 어레이와 같은 프로그래밍 가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 쉽게 적용될 수 있다.
도 13은 소스 수집기 모듈(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함하는 장치(1000)를 더 상세히 도시한다. 소스 수집기 모듈(SO)은 진공 환경이 소스 수집기 모듈(SO)의 인클로징 구조(220) 내에 유지될 수 있도록 구성되고 배열된다. EUV 복사선 방출 플라즈마(210)는 방전 생성 플라즈마 복사선 소스에 의해 형성될 수 있다. EUV 복사선은 전자기 스펙트럼의 EUV 범위 내의 복사선을 방출하기 위해 매우 뜨거운 플라즈마(210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 매우 뜨거운 플라즈마(210)는 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기 방전에 의해 생성된다. 예를 들어 Xe, Li, Sn 증기 또는 임의의 다른 적절한 가스 또는 증기의 부분 압력들이 복사선의 효율적인 생성을 위해 요구될 수 있다. 일 실시예에서, 여기된 주석(Sn)의 플라즈마가 EUV 복사선을 생성하기 위해 제공된다.
뜨거운 플라즈마(210)에 의해 방출된 복사선은 소스 챔버(211) 내의 개구 내에 또는 뒤에 위치된 선택적인 가스 배리어 또는 오염물 트랩(230)(일부 경우들에서 오염물 배리어 또는 포일 트랩이라고도 함)을 통해 소스 챔버(211)로부터 수집기 챔버(212) 내로 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 오염물 트랩(230)은 또한 가스 배리어 또는 가스 배리어와 채널 구조의 조합을 포함할 수 있다. 본 명세서에서 더 표시되는 오염물 트랩 또는 오염물 배리어(230)는 이 분야에 공지된 바와 같이 적어도 채널 구조를 포함한다.
수집기 챔버(211)는 소위 스침 입사 수집기(grazing incidence collector)일 수 있는 복사선 수집기(CO)를 포함할 수 있다. 복사선 수집기(CO)는 업스트림 복사선 수집기 측(251) 및 다운스트림 복사선 수집기 측(252)을 갖는다. 수집기(CO)를 가로지르는 복사선은 격자 스펙트럼 필터(240)에서 반사되어, 쇄선 'O'에 의해 표시된 광학 축을 따라 가상 소스 포인트(IF)에 포커싱될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 포커스로 지칭되고, 소스 수집기 모듈은 중간 포커스(IF)가 인클로징 구조(220) 내의 개구(221)에 또는 그 근처에 위치되도록 배열된다. 가상 소스 포인트(IF)는 복사선 방출 플라즈마(210)의 이미지이다.
이어서, 복사선은 조명 시스템(IL)을 가로지르고, 이 조명 시스템은 패터닝 디바이스(MA)에서 복사선 빔(21)의 원하는 각도 분포뿐만 아니라, 패터닝 디바이스(MA)에서의 복사선 강도의 원하는 균일성을 제공하도록 배열된 패싯 필드 미러 디바이스(22) 및 패싯 동공 미러 디바이스(24)를 포함할 수 있다. 지지 구조(MT)에 의해 유지되는 패터닝 디바이스(MA)에서의 복사선(21)의 빔의 반사 시에, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의해 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되는 기판(W) 상에 이미징된다.
도시된 것보다 많은 요소들이 일반적으로 조명 광학계 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 선택적으로 리소그래피 장치의 타입에 따라 존재할 수 있다. 또한, 도면들에 도시된 것들보다 많은 미러가 존재할 수 있는데, 예를 들어 도 13에 도시된 것보다 1-6 개의 추가적인 반사 요소가 투영 시스템(PS) 내에 존재할 수 있다.
도 13에 예시된 바와 같은 수집기 광학계(CO)는 단지 수집기(또는 수집기 미러)의 일례로서 스침 입사 반사기들(253, 254, 255)을 갖는 포개진 수집기로서 도시된다. 스침 입사 반사기들(253, 254, 255)은 광축(O)을 중심으로 축 대칭으로 배치되며, 이러한 타입의 수집기 광학계(CO)는 방전 생성 플라즈마 복사선 소스와 연계하여 바람직하게 사용된다.
대안으로서, 소스 수집기 모듈(SO)은 도 14에 도시된 바와 같은 LPP 복사선 시스템의 일부일 수 있다. 레이저(LAS)는 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료 내에 레이저 에너지를 퇴적하여, 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성하도록 배열된다. 이러한 이온들의 여기 해제 및 재결합 동안 생성되는 강력한 복사선은 플라즈마로부터 방출되고, 거의 수직 입사 수집기 광학계(CO)에 의해 수집되고, 인클로징 구조(220) 내의 개구(221) 상에 포커싱된다.
본 명세서에 개시된 개념들은 하위 파장 피처들을 이미징하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있고, 점점 더 작은 크기의 파장들을 생성할 수 있는 부상하는 이미징 기술들에 특히 유용할 수 있다. 이미 사용 중인 부상하는 기술들은 ArF 레이저의 사용으로 193nm 파장, 심지어 불소 레이저의 사용으로 157nm 파장을 생성할 수 있는 EUV(extreme ultra violet) 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 싱크로트론을 사용하거나 고에너지 전자들로 재료(고체 또는 플라즈마)를 타격하여 이 범위 내의 광자들을 생성함으로써 20-5nm의 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 임의의 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하기 위해 사용되는 것들과 함께 사용될 수 있음을 이해해야 한다.
이 텍스트에서는 IC들의 제조에서의 실시예들의 사용에 대해 특정 참조가 이루어질 수 있지만, 본 명세서의 실시예들은 많은 다른 가능한 응용들을 가질 수 있다는 것을 이해해야 한다. 예를 들어, 그것은 자기 도메인 메모리들, 액정 디스플레이들(LCD들), 박막 자기 헤드들, 마이크로기계 시스템들(MEM들) 등에 대한 통합 광학 시스템들, 안내 및 검출 패턴들의 제조에서 사용될 수 있다. 통상의 기술자는, 그러한 대안적인 응용들과 관련하여, 본 명세서에서의 용어들 "레티클", "웨이퍼" 또는 "다이"의 임의의 사용이 각각 더 일반적인 용어들 "패터닝 디바이스", "기판" 또는 "타겟 부분"과 동의어로서 또는 상호 교환 가능한 것으로 간주될 수 있다는 것을 알 것이다. 본 명세서에서 언급되는 기판은 노광 전에 또는 후에, 예를 들어, 트랙(통상적으로 레지스트의 층을 기판에 도포하고 노광된 레지스트를 현상하는 툴) 또는 계측 또는 검사 툴에서 처리될 수 있다. 적용가능한 경우, 본 명세서에서의 개시는 그러한 그리고 다른 기판 처리 툴들에 적용될 수 있다. 또한, 기판은, 예를 들어, 다층 IC를 생성하기 위해 1회보다 많이 처리될 수 있고, 따라서 본 명세서에서 사용되는 용어 기판은 이미 다수의 처리된 층들을 포함하는 기판을 지칭할 수도 있다.
본 문헌에서, 본 명세서에서 사용되는 바와 같은 "복사선" 및 "빔"이라는 용어들은 자외선 복사선(예를 들어, 약 365, 약 248, 약 193, 약 157 또는 약 126nm의 파장을 가짐) 및 극자외선(EUV) 복사선(예를 들어, 5-20nm 범위의 파장을 가짐)뿐만 아니라, 이온 빔들 또는 전자 빔들과 같은 입자 빔들을 포함하는 모든 타입의 전자기 복사선을 포괄한다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어들은 결과들 및/또는 프로세스들이 기판 상의 설계 패턴의 투영의 더 높은 정확도, 더 큰 프로세스 윈도우 등과 같은 더 바람직한 특성들을 갖도록 패터닝 장치(예를 들어, 리소그래피 장치), 패터닝 프로세스 등을 조정하는 것을 지칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 하나 이상의 파라미터에 대한 하나 이상의 값의 초기 세트에 비해 적어도 하나의 관련 메트릭에서 개선, 예를 들어 국지적 최적을 제공하는 그러한 하나 이상의 파라미터에 대한 하나 이상의 값을 식별하는 프로세스를 지칭하거나 의미한다. "최적" 및 다른 관련 용어들은 그에 따라 해석되어야 한다. 일 실시예에서, 최적화 단계들은 하나 이상의 메트릭에서 추가적인 개선을 제공하기 위해 반복 적용될 수 있다.
본 개시의 양태들은 임의의 편리한 형태로 구현될 수 있다. 예를 들어, 일 실시예는 유형의 캐리어 매체(예를 들어, 디스크) 또는 무형의 캐리어 매체(예를 들어, 통신 신호)일 수 있는 적절한 캐리어 매체 상에서 운반될 수 있는 하나 이상의 적절한 컴퓨터 프로그램에 의해 구현될 수 있다. 본 개시의 실시예들은 본 명세서에 설명된 바와 같은 방법을 구현하도록 배열된 컴퓨터 프로그램을 실행하는 프로그래밍 가능한 컴퓨터의 형태를 구체적으로 취할 수 있는 적절한 장치를 사용하여 구현될 수 있다. 따라서, 본 개시의 실시예들은 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 본 개시의 실시예들은 또한 하나 이상의 프로세서에 의해 판독 및 실행될 수 있는 기계 판독가능 매체 상에 저장된 명령어들로서 구현될 수 있다. 기계 판독가능 매체는 정보를 기계(예를 들어, 컴퓨팅 디바이스)에 의해 판독가능한 형태로 저장 또는 송신하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독가능 매체는 판독 전용 메모리(ROM); 랜덤 액세스 메모리(RAM); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스들; 전기, 광학, 음향 또는 다른 형태의 전파 신호들(예를 들어, 반송파들, 적외선 신호들, 디지털 신호들 등) 및 다른 것들을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴들, 명령어들은 특정 액션들을 수행하는 것으로서 본 명세서에 설명될 수 있다. 그러나, 그러한 설명들은 단지 편의를 위한 것이고, 그러한 액션들은 사실상 컴퓨팅 디바이스들, 프로세서들, 제어기들, 또는 펌웨어, 소프트웨어, 루틴들, 명령어들 등을 실행하는 다른 디바이스들로부터 초래된다는 것을 알아야 한다.
블록도들에서, 예시된 컴포넌트들은 별개의 기능 블록들로서 도시되지만, 실시예들은 본 명세서에 설명된 기능이 예시된 바와 같이 조직되는 시스템들로 제한되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능은 현재 도시된 것과는 상이하게 조직되는 소프트웨어 또는 하드웨어 모듈들에 의해 제공될 수 있고, 예를 들어, 이러한 소프트웨어 또는 하드웨어는 (예를 들어, 데이터 센터 내에 또는 지리적으로) 혼합되거나, 결합되거나, 복제되거나, 분해되거나, 분산되거나, 다른 방식으로 상이하게 조직될 수 있다. 본 명세서에 설명된 기능성 유형의 비일시적 기계 판독가능 매체 상에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우들에서, 제3자 콘텐츠 전달 네트워크들은 네트워크들을 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수 있고, 이 경우에, 정보(예를 들어, 콘텐츠)가 공급되거나 다른 방식으로 제공된다고 하는 한, 정보는 콘텐츠 전달 네트워크로부터 그러한 정보를 검색하기 위한 명령어들을 송신함으로써 제공될 수 있다.
달리 구체적으로 언급되지 않는 한, 논의로부터 명백한 바와 같이, 본 명세서 전체에 걸쳐, "처리", "컴퓨팅", "계산’"결정" 등과 같은 용어들을 이용하는 논의들은 특정 장치, 예를 들어 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 처리/컴퓨팅 디바이스의 액션들 또는 프로세스들을 지칭한다는 것이 인식된다.
본 개시의 실시예들은 다음의 조항들에 의해 추가로 설명될 수 있다.
1. 기판 상에 인쇄될 타겟 패턴에 대한 마스크 패턴을 결정하는 방법으로서,
상기 타겟 패턴을 포함하는 설계 레이아웃의 부분을 상기 타겟 패턴 상의 주어진 위치를 참조하여 복수의 셀로 분할하는 단계;
상기 복수의 셀 중 특정 셀 내에서 복수의 변수를 할당하는 단계- 상기 특정 셀은 상기 타겟 패턴 또는 그의 일부를 포함함 -; 및
상기 복수의 변수의 값들에 기초하여, 패터닝 프로세스의 성능 메트릭에 기초하여 상기 타겟 패턴에 대한 상기 마스크 패턴을 결정하는 단계를 포함하고, 상기 마스크 패턴을 결정하는 단계는:
상기 타겟 패턴 및 상기 복수의 변수를 사용하여, 상기 성능 메트릭에 기초하여 상기 복수의 변수의 상기 값들을 결정하기 위해 상기 패터닝 프로세스를 시뮬레이션하는 단계; 및
상기 복수의 변수의 상기 결정된 값들에 기초하여, 상기 타겟 패턴에 대한 상기 마스크 패턴을 생성하는 단계를 포함하는, 방법.
2. 조항 1에 있어서,, 상기 설계 레이아웃의 상기 부분을 분할하는 단계는 보로노이 방법에 기초하고, 상기 복수의 셀 중 각각의 셀의 경계들은 경계와 상기 타겟 패턴 상의 상기 주어진 위치 사이의 거리에 기초하여 결정되는, 방법.
3. 조항 2에 있어서, 상기 복수의 셀 중 각각의 셀의 상기 경계들은 각각의 셀 내의 포인트들이 상기 설계 레이아웃 내의 다른 위치들보다 상기 주어진 위치에 가장 가깝게 되도록 정의되는, 방법.
4. 조항 1 내지 3 중 어느 한 조항에 있어서, 상기 주어진 위치는 상기 타겟 패턴의 중심, 상기 타겟 패턴의 에지 상의 포인트, 또는 상기 타겟 패턴에 대해 미리 정의된 기하학적 관계를 갖는 포인트인, 방법.
5. 조항 1 내지 4 중 어느 한 조항에 있어서, 상기 설계 레이아웃은 제1 좌표계와 연관되고, 상기 복수의 셀은 제2 좌표계와 연관되고, 상기 복수의 변수의 상기 값들은 상기 제2 좌표계에서 표현되고, 상기 제1 좌표계에서 표현된 값들로 변환 가능한, 방법.
6. 조항 5에 있어서, 상기 제2 좌표계는 각각의 셀의 베이스 포인트에 있는 원점; 상기 에지에 수직인 제1 축; 및 상기 제1 축에 수직인 제2 축을 포함하는, 방법.
7. 조항 6에 있어서, 상기 셀의 상기 베이스 포인트는 상기 타겟 패턴의 에지에 배치된 포인트인, 방법.
8. 조항 5 내지 7 중 어느 한 조항에 있어서, 상기 마스크 패턴을 결정하는 단계는:
(a) 상기 특정 셀의 상기 복수의 변수 중 하나 이상의 변수들의 값들을 조정하는 단계;
(b) 상기 하나 이상의 변수들의 상기 조정된 값들을 상기 설계 레이아웃의 상기 제1 좌표계로 변환하는 단계;
(c) 상기 하나 이상의 변수들의 변환된 값들을 사용하여 상기 패터닝 프로세스를 시뮬레이션하는 것을 통해, 상기 패터닝 프로세스의 상기 성능 메트릭을 결정하는 단계;
(d) 상기 성능 메트릭이 원하는 성능 범위 내에 있는지를 결정하는 단계;
(e) 상기 성능 메트릭이 상기 원하는 성능 범위 내에 있는 것에 응답하여, 상기 조정된 값들에 기초하여 상기 마스크 패턴을 결정하는 단계; 및
(f) 상기 성능 메트릭이 상기 원하는 성능 범위가 아닌 것에 응답하여, (a)-(e)를 수행하는 단계를 포함하는 반복 프로세스인, 방법.
9. 조항 8에 있어서, 상기 하나 이상의 변수들의 상기 조정된 값들을 변환하는 단계는:
상기 설계 레이아웃의 상기 제1 좌표계와 상기 복수의 셀의 상기 제2 좌표계 간의 상관을 확립하는 단계;
상기 상관에 기초하여, 상기 하나 이상의 변수들의 상기 조정된 값들을 상기 제2 좌표계로부터 상기 설계 레이아웃의 상기 제1 좌표계로 변환하는 단계; 및
상기 하나 이상의 변수들의 상기 변환된 값들을 사용하여 상기 패터닝 프로세스를 시뮬레이션하는 단계를 포함하는, 방법.
10. 조항 1 내지 9 중 어느 한 조항에 있어서, 상기 복수의 변수는 복수의 픽셀과 상관되고, 상기 복수의 변수 중 주어진 변수의 값은 상기 복수의 픽셀 중 주어진 픽셀 또는 픽셀들의 조합과 연관된 강도이고, 상기 강도는 상기 마스크 패턴에 포함될 피처의 에지를 나타내는, 방법.
11. 조항 1 내지 10 중 어느 한 조항에 있어서, 상기 타겟 패턴에 대한 상기 마스크 패턴을 생성하는 단계는:
상기 복수의 변수의 상기 값들을, 그레이스케일 마스크 이미지의 수학적 표현이거나 레벨-설정 함수로서 곡선 마스크 패턴을 생성하는 픽셀화된 이미지들로 변환하는 단계를 포함하는, 방법.
12. 조항 1 내지 11 중 어느 한 조항에 있어서,
상기 타겟 패턴을 사용하여 결정된 상기 복수의 변수의 상기 값들을 상기 설계 레이아웃 내의 상기 타겟 패턴의 다른 인스턴스들에 적용하는 단계; 및
상기 타겟 패턴의 상기 인스턴스들에 대한 상기 적용된 값들에 기초하여, 상기 마스크 패턴을 생성하기 위해 상기 타겟 패턴의 다수의 인스턴스가 수정되게 하는 상기 마스크 패턴을 결정하는 단계를 포함하는, 방법.
13. 조항 1 내지 12 중 어느 한 조항에 있어서,
상기 복수의 셀 사이의 또는 상기 특정 셀 내의 대칭 부분을 결정하는 단계; 및
상기 복수의 셀 중 각각의 셀의 대칭 부분에 동일한 변수들의 세트를 할당하는 단계를 더 포함하는, 방법.
14. 조항 13에 있어서, 상기 대칭 부분은 상기 복수의 셀 사이의 또는 상기 특정 셀 내의 기하학적 유사성에 기초하여 결정되는, 방법.
15. 조항 1 내지 14 중 어느 한 조항에 있어서,
상기 마스크 패턴을 초기 패턴으로서 사용하여 근접 광학 보정(OPC) 프로세스를 수행하는 단계를 더 포함하고, 상기 0PC 프로세스는 상기 마스크 패턴을 수정하여 광학 근접 보정된 마스크 패턴을 결정하는 단계를 포함하는, 방법.
16. 조항 1 내지 15 중 어느 한 조항에 있어서, 상기 성능 메트릭은 상기 타겟 패턴과 상기 패터닝 프로세스의 상기 시뮬레이션에 의해 생성되는 시뮬레이션된 패턴 사이의 에지 배치 에러, 상기 타겟 패턴과 비교하여 마스크 피처들의 여분의 그리고 불충분한 인쇄의 수, 상기 시뮬레이션된 패턴의 임계 치수(CD), 및/또는 상기 시뮬레이션된 패턴과 상기 타겟 패턴 사이의 CD 에러를 포함하는, 방법.
17. 조항 1 내지 16 중 어느 한 조항에 있어서,
대응하는 타겟 패턴들의 기하구조에 기초하여 상기 복수의 셀의 상기 복수의 변수의 상기 값들을 분류하는 단계;
상가 복수의 변수의 상기 값들을 결과 라이브러리에 저장하는 단계; 및
상기 결과 라이브러리에 기초하여, 풀-칩 레이아웃에 대응하는 마스크 패턴을 결정하는 단계를 더 포함하는, 방법.
18. 조항 17에 있어서, 상기 풀-칩 레이아웃에 대한 상기 마스크 패턴을 결정하는 단계는:
상기 풀-칩 레이아웃을 상기 결과 라이브러리에 저장된 타겟 패턴들에 기하학적으로 매칭시킴으로써 상기 풀-칩 레이아웃의 패턴들을 식별하는 단계;
상기 식별된 패턴에 대응하는 상기 복수의 변수의 값들을 추출하는 단계; 및
상기 풀-칩 레이아웃에 대한 상기 마스크 패턴을 결정하기 위해 상기 추출된 값들을 적용하는 단계를 포함하는, 방법.
19. 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체로서,
상기 명령어들은 하나 이상의 프로세서에 의해 실행될 때:
타겟 패턴을 포함하는 설계 레이아웃의 부분을 상기 타겟 패턴 상의 주어진 위치를 참조하여 복수의 셀로 분할하는 단계;
상기 복수의 셀 중 특정 셀 내에서 복수의 변수를 할당하는 단계- 상기 특정 셀은 상기 타겟 패턴 또는 그의 일부를 포함함 -; 및
상기 복수의 변수의 값들에 기초하여, 상기 마스크 패턴을 이용하는 패터닝 프로세스의 성능 메트릭이 원하는 성능 범위 내에 있도록 상기 타겟 패턴에 대한 마스크 패턴을 결정하는 단계
을 포함하는 동작들을 유발하고, 상기 마스크 패턴을 결정하는 단계는:
상기 타겟 패턴 및 상기 복수의 변수를 사용하여, 상기 패터닝 프로세스의 상기 성능 메트릭이 상기 원하는 성능 범위 내에 있도록 상기 복수의 변수의 상기 값들을 결정하기 위해 상기 패터닝 프로세스를 시뮬레이션하는 단계; 및
상기 복수의 변수의 상기 결정된 값들에 기초하여, 상기 타겟 패턴에 대한 상기 마스크 패턴을 생성하는 단계를 포함하는, 비일시적 컴퓨터 판독가능 매체.
20. 조항 19에 있어서, 상기 설계 레이아웃의 상기 부분을 분할하는 단계는 보로노이 분할에 기초하고, 상기 복수의 셀 중 각각의 셀의 경계들은 경계와 상기 타겟 패턴 상의 상기 주어진 위치 사이의 거리에 기초하여 결정되는, 비일시적 컴퓨터 판독가능 매체.
21. 조항 19 또는 20에 있어서, 상기 설계 레이아웃은 제1 좌표계와 연관되고, 상기 복수의 셀은 제2 좌표계와 연관되고, 상기 복수의 변수의 상기 값들은 상기 제2 좌표계에 있고, 상기 제1 좌표계에서의 값들로 변환 가능한, 비일시적 컴퓨터 판독가능 매체.
22. 조항 21에 있어서, 상기 제2 좌표계는 원점이 각각의 셀의 베이스 포인트에 있고, 제1 축이 에지에 수직이고, 제2 축이 상기 제1 축에 수직이도록 정의되는, 비일시적 컴퓨터 판독 가능 매체.
23. 조항 21 또는 22에 있어서, 상기 마스크 패턴을 결정하는 단계는:
(a) 상기 특정 셀의 상기 복수의 변수 중 하나 이상의 변수들의 값들을 조정하는 단계;
(b) 상기 하나 이상의 변수들의 상기 조정된 값들을 상기 설계 레이아웃의 상기 제1 좌표계로 변환하는 단계;
(c) 상기 하나 이상의 변수들의 상기 변환된 값들을 사용하여 상기 패터닝 프로세스를 시뮬레이션하는 것을 통해, 상기 패터닝 프로세스의 상기 성능 메트릭을 결정하는 단계;
(d) 상기 성능 메트릭이 상기 원하는 성능 범위 내에 있는지를 결정하는 단계;
(e) 상기 성능 메트릭이 상기 원하는 성능 범위 내에 있는 것에 응답하여, 상기 조정된 값들에 기초하여 상기 마스크 패턴을 결정하는 단계; 및
(f) 상기 성능 메트릭이 상기 원하는 성능 범위가 아닌 것에 응답하여, 단계 (a)-(e)를 수행하는 단계를 포함하는 반복 프로세스인, 비일시적 컴퓨터 판독 가능 매체.
24. 조항 23에 있어서, 상기 하나 이상의 변수들의 상기 조정된 값들을 변환하는 단계는:
상기 설계 레이아웃의 상기 제1 좌표계와 상기 복수의 셀의 상기 제2 좌표계 간의 상관을 확립하는 단계;
상기 상관에 기초하여, 상기 하나 이상의 변수들의 상기 조정된 값들을 상기 제2 좌표계로부터 상기 설계 레이아웃의 상기 제1 좌표계로 변환하는 단계; 및
상기 하나 이상의 변수들의 상기 변환된 값들을 사용하여 상기 패터닝 프로세스를 시뮬레이션하는 단계를 포함하는, 비일시적 컴퓨터 판독 가능 매체.
25. 조항 19 내지 24 중 어느 한 조항에 있어서, 상기 복수의 변수는 복수의 픽셀과 상관되고, 상기 복수의 변수 중 주어진 변수의 값은 상기 복수의 픽셀 중 주어진 픽셀 또는 픽셀들의 조합과 연관된 강도이고, 상기 강도는 상기 마스크 패턴에 포함될 피처의 에지를 나타내는, 비일시적 컴퓨터 판독 가능 매체.
26. 조항 19 내지 25 중 어느 한 조항에 있어서,
상기 타겟 패턴을 사용하여 결정된 상기 복수의 변수의 상기 값들을 상기 설계 레이아웃 내의 상기 타겟 패턴의 다른 인스턴스들에 적용하는 단계; 및
상기 타겟 패턴의 상기 인스턴스들에 대한 상기 적용된 값들에 기초하여, 상기 마스크 패턴을 생성하기 위해 상기 타겟 패턴의 모든 인스턴스들이 일관된 방식으로 수정되도록 상기 마스크 패턴을 결정하는 단계
를 포함하는 동작을 더 유발하는, 비일시적 컴퓨터 판독 가능 매체.
27. 조항 19 내지 26 중 어느 한 조항에 있어서,
상기 복수의 셀 사이의 또는 상기 특정 셀 내의 대칭 부분을 결정하는 단계; 및
상기 복수의 셀 중 각각의 셀의 상기 대칭 부분에 동일한 변수들의 세트를 할당하는 단계
를 포함하는 동작들을 더 유발하는, 비일시적 컴퓨터 판독 가능 매체.
28. 조항 19 내지 27 중 어느 한 조항에 있어서,
대응하는 타겟 패턴들의 기하구조에 기초하여 상기 복수의 셀의 상기 복수의 변수의 상기 값들을 분류하는 단계;
상기 복수의 변수의 상기 값들을 결과 라이브러리에 저장하는 단계; 및
상기 결과 라이브러리에 기초하여, 풀-칩 레이아웃에 대응하는 마스크 패턴을 결정하는 단계를 더 포함하는, 비일시적 컴퓨터 판독 가능 매체.
29. 조항 28에 있어서, 상기 풀-칩 레이아웃에 대한 상기 마스크 패턴을 결정하는 단계는:
상기 풀-칩 레이아웃을 상기 결과 라이브러리에 저장된 상기 타겟 패턴들에 기하학적으로 매칭시킴으로써 상기 풀-칩 레이아웃의 패턴들을 식별하는 단계;
상기 식별된 패턴에 대응하는 상기 복수의 변수의 상기 값들을 추출하는 단계; 및
상기 풀-칩 레이아웃에 대한 상기 마스크 패턴을 결정하기 위해 상기 추출된 값들을 적용하는 단계를 포함하는, 비일시적 컴퓨터 판독 가능 매체.
독자는 본 출원이 여러 발명을 설명한다는 것을 알아야 한다. 이러한 발명들을 다수의 격리된 특허 출원으로 분리하는 것이 아니라, 이러한 발명들은 단일 문헌으로 그룹화되었는데, 그 이유는 이들과 관련된 주제가 출원 프로세스에서 경제학에 적합하기 때문이다. 그러나, 그러한 발명들의 상이한 이점들 및 양태들은 상충되지 않아야 한다. 일부 경우들에서, 실시예들은 본 명세서에서 언급된 결점들 모두를 해결하지만, 본 발명들은 독립적으로 유용하고, 일부 실시예들은 그러한 문제들의 서브세트만을 해결하거나, 본 개시를 검토하는 이 분야의 기술자들에게 명백할 다른 언급되지 않은 이익들을 제공한다는 것을 이해해야 한다. 비용 제약들로 인해, 본 명세서에서 개시된 일부 발명들은 현재 청구되지 않을 수 있고, 계속 출원들과 같은 나중의 출원들에서 또는 본 청구항들을 보정함으로써 청구될 수 있다. 유사하게, 공간 제약들로 인해, 본 문헌의 요약서 또는 요약 섹션들은 모든 그러한 발명들 또는 그러한 발명들의 모든 양태들의 포괄적인 리스팅을 포함하는 것으로 간주되지 않아야 한다.
설명 및 도면들은 본 개시를 개시된 특정한 형태로 제한하도록 의도된 것이 아니라, 반대로, 의도는 첨부된 청구항들에 의해 정의된 바와 같은 발명들의 사상 및 범위 내에 속하는 모든 수정들, 등가물들, 및 대안들을 커버하기 위한 것이라는 것이 이해되어야 한다.
본 발명들의 다양한 양태들의 수정들 및 대안적인 실시예들은 이 설명에 비추어 이 분야의 통상의 기술자들에게 명백할 것이다. 따라서, 이 설명 및 도면들은 단지 예시적인 것으로서 해석되어야 하며, 이 분야의 통상의 기술자들에게 본 발명들을 수행하는 일반적인 방식을 교시하기 위한 것이다. 본 명세서에 도시되고 설명된 발명들의 형태들은 실시예들의 예들로서 간주되어야 한다는 것을 이해해야 한다. 본 설명의 혜택을 받은 이후 이 분야의 통상의 기술자에게 모두 명백할 바와 같이, 요소들 및 재료들이 본 명세서에 예시되고 설명된 것들에 대해 대체될 수 있고, 부분들 및 프로세스들이 반전되거나 생략될 수 있고, 특정 특징들이 독립적으로 이용될 수 있고, 실시예들 또는 실시예들의 특징들이 조합될 수 있다. 이하의 청구항들에 설명된 바와 같은 본 발명의 사상 및 범위로부터 벗어나지 않고 본 명세서에 설명된 요소들에서 변경들이 이루어질 수 있다. 본 명세서에서 사용되는 제목들은 단지 조직화 목적들을 위한 것이며, 설명의 범위를 제한하는 데 사용되도록 의도되지 않는다.
본 출원 전체에 걸쳐 사용되는 바와 같이, "할 수 있다(may)"라는 단어는 강제적인 의미(즉, 해야 한다는 것을 의미함)보다는 오히려 허용적 의미(즉, 할 가능성이 있는 것을 의미함)로 사용된다. "포함한다(include)", "포함하는(including)", 및 "포함한다(includes)"라는 단어들 및 이와 유사한 것은 포함하지만 제한되지 않는다는 것을 의미한다. 본 출원 전체에 걸쳐 사용되는 바와 같이, 단수 형태들 "하나(a, an)", 및 "그(the)"는 내용이 명시적으로 달리 표시하지 않는 한 복수의 지시대상들을 포함한다. 따라서, 예를 들어, "하나의(an)" 요소 또는 "하나의(a)" 요소에 대한 언급은 하나 이상의 요소에 대한 다른 용어들 및 문구들의 사용에도 불구하고 2 개 이상의 요소들의 조합을 포함한다. 용어 "또는"은 달리 표시되지 않는 한 비배타적인데, 즉 "및" 및 "또는" 둘 다를 포함한다. 조건부 관계들을 설명하는 용어들, 예컨대, "X, Y에 응답하여", "X, Y에 대해", "X, Y인 경우", "X, Y일 때" 등은 전제가 필요한 인과적 조건이거나 전제가 충분한 인과적 조건이거나 전제가 결과의 기여하는 인과적 조건인 인과적 관계들을 포괄하며, 예를 들어 "상태 X가 조건 Y의 획득시에 발생한다"라는 것은 "X가 Y 시에만 발생한다" 및 "X가 Y 및 Z 시에 발생한다"는 것에 대한 일반화이다. 이러한 조건부 관계들은 전제 획득에 즉시 뒤따르는 결과들로 제한되지 않는데, 이는 일부 결과들이 지연될 수 있고, 조건부 진술들에서, 전제들이 그들의 결과들에 연결되고, 예컨대 전제가 결과 발생의 가능성과 관련되기 때문이다. 달리 표시되지 않는 한, 복수의 속성 또는 함수가 복수의 객체에 매핑되는 진술들(예컨대, 하나 이상의 프로세서들이 단계들 A, B, C, 및 D를 수행하는 것)은 모든 그러한 속성들 또는 함수들이 모든 그러한 객체들에 매핑되는 것 및 속성들 또는 함수들의 서브세트들이 속성들 또는 함수들의 서브세트들에 매핑되는 것 둘 다(예컨대, 모든 프로세서들 각각이 단계들 A 내지 D를 수행하는 것 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B를 수행하고, 프로세서 3이 단계 C 및 단계 D의 일부를 수행하는 경우 둘 다)를 포괄한다. 또한, 달리 표시되지 않는 한, 하나의 값 또는 액션이 다른 조건 또는 값에 기초한다는 진술들은 조건 또는 값이 유일한 인자인 인스턴스들 및 조건 또는 값이 복수의 인자 중 하나의 인자인 인스턴스들 둘 다를 포괄한다. 달리 표시되지 않는 한, 일부 컬렉션의 "각각의" 인스턴스가 일부 속성을 갖는다는 진술들은 더 큰 컬렉션의 일부 달리 동일하거나 유사한 멤버들이 특성을 갖지 않는 경우들을 배제하는 것으로 판독되지 않아야 하는데, 즉 각각은 각각 그리고 모두를 의미하지는 않는다. 범위로부터의 선택에 대한 언급들은 범위의 종점들을 포함한다.
위의 설명에서, 흐름도들 내의 임의의 프로세스들, 설명들 또는 블록들은 프로세스 내의 특정 논리 함수들 또는 단계들을 구현하기 위한 하나 이상의 실행 가능 명령어들을 포함하는 모듈들, 세그먼트들 또는 코드의 부분들을 나타내는 것으로 이해되어야 하며, 이 분야의 기술자들이 이해하는 바와 같이, 기능들이 관련 기능에 따라 실질적으로 동시에 또는 역순을 포함하여 도시되거나 설명된 것과 다른 순서로 실행될 수 있는 본 진보들의 예시적인 실시예들의 범위 내에 대안 구현들이 포함된다.
특정의 미국 특허들, 미국 특허 출원들, PCT 특허 출원들 또는 공개들, 또는 다른 자료들(예를 들어, 기사들)이 참고로 포함된 정도까지, 그러한 미국 특허들, 미국 특허 출원들, 및 다른 자료들의 텍스트는 그러한 자료와 본 명세서에 제시된 진술들 및 도면들 사이에 충돌이 존재하지 않는 정도까지만 참고로 포함된다. 그러한 충돌의 경우에, 그러한 참고로 포함된 미국 특허들, 미국 특허 출원들, 및 다른 자료들 내의 임의의 그러한 충돌하는 텍스트는 구체적으로 본 명세서에 참고로 포함되지 않는다.
특정 실시예들이 설명되었지만, 이들 실시예들은 단지 예로서 제시되었고, 본 개시의 범위를 제한하도록 의도되지 않는다. 실제로, 본 명세서에 설명된 신규한 방법들, 장치들 및 시스템들은 다양한 다른 형태들로 구현될 수 있고; 또한, 본 명세서에 설명된 방법들, 장지들 및 시스템들의 형태에서의 다양한 생략들, 치환들 및 변경들은 본 개시의 사상으로부터 벗어나지 않고 이루어질 수 있다. 첨부된 청구항들 및 이들의 등가물들은 본 개시의 범위 및 사상 내에 속하는 바와 같은 형태들 또는 수정들을 커버하도록 의도된다.

Claims (15)

  1. 하나 이상의 프로세서에 의해 실행될 때 상기 하나 이상의 프로세서로 하여금 기판 상에 인쇄될 타겟 패턴에 대한 마스크 패턴을 결정하는 방법을 수행하게 하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체로서,
    상기 방법은:
    상기 타겟 패턴을 포함하는 설계 레이아웃의 부분을 상기 타겟 패턴 상의 주어진 위치를 참조하여 복수의 셀로 분할하는 단계;
    상기 복수의 셀 중 특정 셀 내에서 복수의 변수를 할당하는 단계- 상기 특정 셀은 상기 타겟 패턴 또는 그의 일부를 포함함 -; 및
    상기 복수의 변수의 값들에 기초하여, 패터닝 프로세스의 성능 메트릭에 기초하여 상기 타겟 패턴에 대한 상기 마스크 패턴을 결정하는 단계를 포함하고, 상기 마스크 패턴을 결정하는 단계는:
    상기 타겟 패턴 및 상기 복수의 변수를 사용하여, 상기 성능 메트릭에 기초하여 상기 복수의 변수의 상기 값들을 결정하기 위해 상기 패터닝 프로세스를 시뮬레이션하는 단계; 및
    상기 복수의 변수의 상기 결정된 값들에 기초하여, 상기 타겟 패턴에 대한 상기 마스크 패턴을 생성하는 단계를 포함하는, 매체.
  2. 제1항에 있어서, 상기 설계 레이아웃의 상기 부분을 분할하는 단계는 보로노이 방법에 기초하고, 상기 복수의 셀 중 각각의 셀의 경계들은 경계와 상기 타겟 패턴 상의 상기 주어진 위치 사이의 거리에 기초하여 결정되는, 매체.
  3. 제2항에 있어서, 상기 복수의 셀 중 각각의 셀의 상기 경계들은 상기 각각의 셀 내의 포인트들이 상기 설계 레이아웃 내의 다른 위치들보다 상기 주어진 위치에 가장 가깝게 되도록 정의되는, 매체.
  4. 제1항에 있어서, 상기 주어진 위치는 상기 타겟 패턴의 중심, 상기 타겟 패턴의 에지 상의 포인트, 또는 상기 타겟 패턴에 대해 미리 정의된 기하학적 관계를 갖는 포인트인, 매체.
  5. 제1항에 있어서, 상기 설계 레이아웃은 제1 좌표계와 연관되고, 상기 복수의 셀은 제2 좌표계와 연관되고, 상기 복수의 변수의 상기 값들은 상기 제2 좌표계에서 표현되고, 상기 제1 좌표계에서 표현된 값들로 변환 가능한, 매체.
  6. 제5항에 있어서, 상기 마스크 패턴을 결정하는 단계는:
    (a) 상기 특정 셀의 상기 복수의 변수 중 하나 이상의 변수들의 값들을 조정하는 단계;
    (b) 상기 하나 이상의 변수들의 상기 조정된 값들을 상기 설계 레이아웃의 상기 제1 좌표계로 변환하는 단계;
    (c) 상기 하나 이상의 변수들의 변환된 값들을 사용하여 상기 패터닝 프로세스를 시뮬레이션하는 것을 통해, 상기 패터닝 프로세스의 상기 성능 메트릭을 결정하는 단계;
    (d) 상기 성능 메트릭이 원하는 성능 범위 내에 있는지를 결정하는 단계;
    (e) 상기 성능 메트릭이 상기 원하는 성능 범위 내에 있는 것에 응답하여, 상기 조정된 값들에 기초하여 상기 마스크 패턴을 결정하는 단계; 및
    (f) 상기 성능 메트릭이 상기 원하는 성능 범위가 아닌 것에 응답하여, (a)-(e)를 수행하는 단계를 포함하는, 매체.
  7. 제6항에 있어서, 상기 하나 이상의 변수들의 상기 조정된 값들을 변환하는 단계는:
    상기 설계 레이아웃의 상기 제1 좌표계와 상기 복수의 셀의 상기 제2 좌표계 간의 상관을 확립하는 단계;
    상기 상관에 기초하여, 상기 하나 이상의 변수들의 상기 조정된 값들을 상기 제2 좌표계로부터 상기 설계 레이아웃의 상기 제1 좌표계로 변환하는 단계; 및
    상기 하나 이상의 변수들의 상기 변환된 값들을 사용하여 상기 패터닝 프로세스를 시뮬레이션하는 단계를 포함하는, 매체.
  8. 제1항에 있어서, 상기 복수의 변수는 복수의 픽셀과 상관되고, 상기 복수의 변수 중 주어진 변수의 값은 상기 복수의 픽셀의 주어진 픽셀 또는 픽셀들의 조합과 연관된 강도이고, 상기 강도는 상기 마스크 패턴에 포함될 피처의 에지를 나타내는, 매체.
  9. 제1항에 있어서, 상기 타겟 패턴에 대한 상기 마스크 패턴을 생성하는 단계는:
    상기 복수의 변수의 상기 값들을, 그레이스케일 마스크 이미지의 수학적 표현이거나 레벨-설정 함수로서 곡선 마스크 패턴을 생성하는 픽셀화된 이미지들로 변환하는 단계를 포함하는, 매체.
  10. 제1항에 있어서, 상기 방법은:
    상기 타겟 패턴을 사용하여 결정된 상기 복수의 변수의 상기 값들을 상기 설계 레이아웃 내의 상기 타겟 패턴의 다른 인스턴스들에 적용하는 단계; 및
    상기 타겟 패턴의 상기 인스턴스들에 대한 상기 적용된 값들에 기초하여, 상기 마스크 패턴을 생성하기 위해 상기 타겟 패턴의 다수의 인스턴스가 수정되게 하는 상기 마스크 패턴을 결정하는 단계를 더 포함하는, 매체.
  11. 제1항에 있어서, 상기 방법은:
    상기 복수의 셀 사이의 또는 상기 특정 셀 내의 대칭 부분을 결정하는 단계; 및
    상기 복수의 셀 중 각각의 셀의 상기 대칭 부분에 동일한 변수들의 세트를 할당하는 단계를 더 포함하는, 매체.
  12. 제11항에 있어서, 상기 대칭 부분은 상기 복수의 셀 사이의 또는 상기 특정 셀 내의 기하학적 유사성에 기초하여 결정되는, 매체.
  13. 제1항에 있어서, 상기 성능 메트릭은 상기 타겟 패턴과 상기 패터닝 프로세스의 시뮬레이션에 의해 생성되는 시뮬레이션된 패턴 사이의 에지 배치 에러, 상기 타겟 패턴들과 비교하여 마스크 피처들의 여분의 그리고 불충분한 인쇄의 수, 상기 시뮬레이션된 패턴의 임계 치수(CD), 및/또는 상기 시뮬레이션된 패턴과 상기 타겟 패턴 사이의 CD 에러를 포함하는, 매체.
  14. 제1항에 있어서, 상기 방법은,
    대응하는 타겟 패턴들의 기하구조에 기초하여 상기 복수의 셀의 상기 복수의 변수의 상기 값들을 분류하는 단계;
    상기 복수의 변수의 상기 값들을 결과 라이브러리에 저장하는 단계; 및
    상기 결과 라이브러리에 기초하여, 풀-칩 레이아웃에 대응하는 마스크 패턴을 결정하는 단계를 더 포함하는, 매체.
  15. 제14항에 있어서, 상기 풀-칩 레이아웃에 대한 상기 마스크 패턴을 결정하는 단계는:
    상기 풀-칩 레이아웃을 상기 결과 라이브러리에 저장된 타겟 패턴들에 기하학적으로 매칭시킴으로써 상기 풀-칩 레이아웃의 패턴들을 식별하는 단계;
    상기 식별된 패턴에 대응하는 상기 복수의 변수의 값들을 추출하는 단계; 및
    상기 풀-칩 레이아웃에 대한 상기 마스크 패턴을 결정하기 위해 상기 추출된 값들을 적용하는 단계를 포함하는, 매체.
KR1020227019546A 2019-12-13 2020-11-21 마스크 패턴 생성의 일관성을 개선하기 위한 방법 KR20220097502A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962947707P 2019-12-13 2019-12-13
US62/947,707 2019-12-13
PCT/EP2020/082995 WO2021115766A1 (en) 2019-12-13 2020-11-21 Method for improving consistency in mask pattern generation

Publications (1)

Publication Number Publication Date
KR20220097502A true KR20220097502A (ko) 2022-07-07

Family

ID=73554426

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227019546A KR20220097502A (ko) 2019-12-13 2020-11-21 마스크 패턴 생성의 일관성을 개선하기 위한 방법

Country Status (5)

Country Link
US (1) US20230044490A1 (ko)
KR (1) KR20220097502A (ko)
CN (1) CN114981724A (ko)
TW (2) TW202242556A (ko)
WO (1) WO2021115766A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11815818B2 (en) * 2021-05-10 2023-11-14 Applied Materials, Inc. Method to achieve non-crystalline evenly distributed shot pattern for digital lithography
TWI833241B (zh) * 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
CN114357928A (zh) * 2021-12-31 2022-04-15 东方晶源微电子科技(北京)有限公司 一种光刻模型优化方法
WO2024017808A1 (en) * 2022-07-19 2024-01-25 Asml Netherlands B.V. Deep learning models for determining mask designs associated with semiconductor manufacturing

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006276079A (ja) * 2005-03-28 2006-10-12 National Institute Of Advanced Industrial & Technology 光リソグラフィの光近接補正におけるマスクパターン設計方法および設計装置ならびにこれを用いた半導体装置の製造方法
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
KR101264114B1 (ko) * 2007-08-31 2013-05-13 삼성전자주식회사 포토마스크 레이아웃의 생성 방법 및 이를 수행하는프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한저장 매체 및 마스크 이미징 시스템
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7975244B2 (en) * 2008-01-24 2011-07-05 International Business Machines Corporation Methodology and system for determining numerical errors in pixel-based imaging simulation in designing lithographic masks
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
WO2016096309A1 (en) * 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
US10001698B2 (en) * 2015-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Company, Ltd Layout hierachical structure defined in polar coordinate
KR20230035145A (ko) 2017-05-26 2023-03-10 에이에스엠엘 네델란즈 비.브이. 기계 학습에 기초한 어시스트 피처 배치
CN110709779B (zh) * 2017-06-06 2022-02-22 Asml荷兰有限公司 测量方法和设备
KR102459381B1 (ko) * 2018-02-23 2022-10-26 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device

Also Published As

Publication number Publication date
TW202242556A (zh) 2022-11-01
CN114981724A (zh) 2022-08-30
US20230044490A1 (en) 2023-02-09
TW202131105A (zh) 2021-08-16
TWI753681B (zh) 2022-01-21
WO2021115766A1 (en) 2021-06-17

Similar Documents

Publication Publication Date Title
WO2019238372A1 (en) Machine learning based inverse optical proximity correction and process model calibration
US20220284344A1 (en) Method for training machine learning model for improving patterning process
TWI753681B (zh) 用於改良光罩圖案產生中之一致性之方法
KR20160131110A (ko) 패턴 배치 에러 인식의 최적화
CN107430347A (zh) 图像对数斜率(ils)优化
WO2021032448A1 (en) Methods for improving process based contour information of structure in image
TWI759660B (zh) 判定光罩圖案之方法及相關非暫時性電腦程式產品
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
TWI786651B (zh) 產生圖案化裝置之系統、產品及方法及其圖案
WO2020078844A1 (en) Method to create the ideal source spectra with source and mask optimization
KR20230051510A (ko) 이미지 기반 패턴 선택을 위한 시스템, 제품 및 방법
TWI667553B (zh) 判定圖案之特性之方法
WO2019162275A1 (en) Systems and methods for improving resist model predictions
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
TWI833241B (zh) 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
US20240256976A1 (en) Method for generating assist features using machine learning model
TWI813192B (zh) 依據微影設備或製程特徵所特徵化之表示選擇圖案
TW202419964A (zh) 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2023131570A1 (en) Software, methods, and systems for determination of a local focus point

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal