KR20220062114A - 감소된 데드 볼륨을 위한 세정 절연 밸브 - Google Patents

감소된 데드 볼륨을 위한 세정 절연 밸브 Download PDF

Info

Publication number
KR20220062114A
KR20220062114A KR1020227012764A KR20227012764A KR20220062114A KR 20220062114 A KR20220062114 A KR 20220062114A KR 1020227012764 A KR1020227012764 A KR 1020227012764A KR 20227012764 A KR20227012764 A KR 20227012764A KR 20220062114 A KR20220062114 A KR 20220062114A
Authority
KR
South Korea
Prior art keywords
inlet line
gas
downstream end
sealing surface
valve
Prior art date
Application number
KR1020227012764A
Other languages
English (en)
Inventor
아슈토쉬 아가왈
산지브 발루자
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220062114A publication Critical patent/KR20220062114A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)

Abstract

데드 볼륨-없는 밸브를 사용하는 가스 분배 장치, 프로세싱 챔버들 및 방법들이 설명된다. 밸브는 상류 및 하류 단부들을 갖는 제1 유입 라인 및 제1 유입 라인에 연결되는 하류 단부를 갖는 제2 유입 라인을 갖는다. 제2 유입 라인의 하류 단부에서의 밀봉 표면은 제1 유입 라인을 제2 유입 라인으로부터 분리시켜, 제1 유입 라인과 제2 유입 라인 사이의 유체 연통을 방지한다.

Description

감소된 데드 볼륨을 위한 세정 절연 밸브
[0001] 본 개시내용의 실시예들은 일반적으로 격리 밸브들에 관한 것이다. 특히, 본 개시내용의 실시예는 데드 볼륨(dead volume)들이 감소된 반도체 제조를 위한 격리 밸브들에 관한 것이다.
[0002] 다양한 밸브들을 포함하는 가스 유동 경로들은 반도체 제조 산업에서 일반적이다. 현재의 유동 경로 구성들은 세정 가스 매니폴드(clean gas manifold)에서 프로세스 가스 역류를 방지하기 위해 퍼지를 요구하는 데드 볼륨들을 갖는다. 이는, 가스 라인들에서 기상 반응(gas phase reaction)들을 방지하기 위해 반응성 가스들이 이용되는 경우에 특히 중요하다. 반응 생성물들은 화학 반응에 의해 또는 막힘(clogging)을 야기함으로써 장비를 손상시킨다.
[0003] 부가적으로, 프로세스 라인들에서 기상 반응들로부터 남겨진 잔류물은 후속 프로세스들에 상당히 부정적인 영향을 줄 수 있다. 잔류물은 후속 가스들 또는 프로세스 조건들과 반응하여 원하지 않는 생성물들을 생성할 수 있다. 잔류물은 또한, 프로세스 공간에 진입하고, 기판 상에서 미립자들을 형성하여, 제조되는 디바이스를 손상시킬 수 있다. 막힌 라인들 및 밸브들을 제거 및 교체하기 위해 제조 장비는 광범위한 유지보수를 받을 필요가 있으며, 이는 상당한 비가동시간(downtime) 및 처리량 손실을 유발한다.
[0004] 따라서, 반도체 제조에서 데드 볼륨을 최소화하고 그리고/또는 역류를 방지하기 위한 장치 및 방법들에 대한 필요성이 존재한다.
[0005] 본 개시내용의 하나 이상의 실시예들은 제1 유입 라인 및 제2 유입 라인을 갖는 밸브를 포함하는 가스 분배 장치에 관한 것이다. 제1 유입 라인은 제1 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖는다. 제2 유입 라인은 제2 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖는다. 유입 라인의 하류 단부는 제1 유입 라인의 길이를 따라 제1 유입 라인과 연결된다. 밀봉 표면은 제2 유입 라인의 하류 단부에 있다. 밀봉 표면은 제1 유입 라인과 제2 유입 라인 사이의 유체 연통을 방지하기 위해 제1 유입 라인과 제2 유입 라인을 분리시키도록 구성된다.
[0006] 본 개시내용의 부가적인 실시예들은 제1 유입 라인 및 제2 유입 라인을 갖는 밸브를 갖는 가스 분배 장치를 포함하는 프로세싱 챔버들에 관한 것이다. 제1 유입 라인은 제1 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖는다. 제2 유입 라인은 제2 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖는다. 유입 라인의 하류 단부는 제1 유입 라인의 길이를 따라 제1 유입 라인과 연결된다. 밀봉 표면은 제2 유입 라인의 하류 단부에 있다. 밀봉 표면은 제1 유입 라인과 제2 유입 라인 사이의 유체 연통을 방지하기 위해 제1 유입 라인과 제2 유입 라인을 분리시키도록 구성된다. 가스 분배 플레이트는 제1 유입 라인의 제2 단부와 유체 연통한다. 가스 분배 플레이트는 전방 표면을 가지며, 전방 표면은 가스의 유동이 가스 분배 플레이트를 통과하게 허용하도록 전방 표면을 관통하는 복수의 애퍼처들을 갖는다. 스페이서는 가스 분배 플레이트 주위에 있다. 스페이서는 프로세싱 챔버의 최상부의 개구 내에 있다. 기판 지지부는 프로세싱 챔버 내부에 있고, 가스 분배 플레이트의 전방 표면으로부터 일정 거리로 이격된 지지 표면을 갖는다.
[0007] 본 개시내용의 추가적인 실시예들은 프로세싱 방법들에 관한 것이며, 그 방법은 데드 볼륨-없는(dead volume-free) 밸브의 제1 유입 라인을 통해 프로세싱 챔버 내로 제1 가스를 유동시키는 단계를 포함한다. 데드 볼륨-없는 밸브는 제1 유입 라인 및 제2 유입 라인을 포함한다. 제1 유입 라인은 제1 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖는다. 제2 유입 라인은 제2 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖는다. 유입 라인의 하류 단부는 제1 유입 라인의 길이를 따라 제1 유입 라인과 연결된다. 밀봉 표면은 제2 유입 라인의 하류 단부에 있다. 밀봉 표면은 제1 유입 라인과 제2 유입 라인 사이의 유체 연통을 방지하기 위해 제1 유입 라인과 제2 유입 라인을 분리시키도록 구성된다. 제2 가스는 데드 볼륨-없는 밸브의 제2 유입 라인을 통해 프로세싱 챔버 내로 유동된다. 제1 가스는 제2 유입 라인 내로 유동되지 않는다. 제1 가스와 제2 가스 사이의 스위칭은 잔류 가스의 밸브를 클리어(clear)하기 위한 퍼지 단계를 포함하지 않는다.
[0008] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있는데, 이러한 실시예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 실시예들만을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버의 단면 등각투상도를 도시한다.
[0010] 도 2는 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버의 단면도를 도시한다.
[0011] 도 3은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 스테이션의 분해 단면도이다.
[0012] 도 4는 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 플랫폼의 개략적인 표현이다.
[0013] 도 5는 본 개시내용의 하나 이상의 실시예에 따른, 데드 볼륨-없는 밸브를 갖는 가스 분배 장치의 개략적인 표현이다.
[0014] 도 5a는 본 개시내용의 하나 이상의 실시예에 따른, 밀봉 포지션에 있는 가스 밸브의 개략적인 표현이다.
[0015] 도 5b는 본 개시내용의 하나 이상의 실시예에 따른, 개방 포지션에 있는 가스 밸브의 개략적인 표현이다.
[0016] 본 개시내용의 여러가지 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에 기재되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0017] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "기판"은 프로세스가 작용하는 표면 또는 표면의 일부를 지칭한다. 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 언급이 또한 기판의 일부만을 지칭할 수 있다는 것이 당업자들에 의해 또한 이해될 것이다. 부가적으로, 기판 상에 증착하는 것에 대한 언급은 베어 기판(bare substrate), 및 하나 이상의 막들 또는 피처들이 상부에 증착되거나 형성되어 있는 기판 둘 모두를 의미할 수 있다.
[0018] 본 명세서에서 사용되는 바와 같은 "기판"은 막 프로세싱이 제조 프로세스 동안 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 변형된 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 제한 없이 포함한다. 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 기판의 표면 그 자체 상의 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시된 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층에 대해 수행될 수 있으며, 용어 "기판 표면"은 문맥상 표시되는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면은 기판 표면이 된다.
[0019] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어들 "전구체", "반응물", "반응성 가스" 등은 기판 표면과 또는 기판 표면 상에 형성된 막과 반응할 수 있는 임의의 가스 종을 지칭하기 위해 상호교환가능하게 사용된다.
[0020] 본 개시내용은 단일 웨이퍼 또는 다중-웨이퍼(배치(batch)로 또한 지칭됨) 프로세스 챔버들과 함께 사용하기 위한 기판 지지부들을 제공한다. 도 1 및 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버(100)를 예시한다. 도 1은 본 개시내용의 하나 이상의 실시예에 따른, 단면 등각투상도로서 예시된 프로세싱 챔버(100)를 도시한다. 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버(100)를 단면으로 도시한다. 따라서, 본 개시내용의 일부 실시예들은 기판 지지부(200)를 포함하는 프로세싱 챔버들(100)에 관한 것이다.
[0021] 프로세싱 챔버(100)는 벽들(104) 및 최하부(106)를 갖는 하우징(102)을 갖는다. 하우징(102)은 최상부 플레이트(300)와 함께 프로세싱 볼륨으로 또한 지칭되는 내부 볼륨(109)을 정의한다.
[0022] 예시된 프로세싱 챔버(100)는 복수의 프로세싱 스테이션들(110)을 포함한다. 프로세싱 스테이션들(110)은 하우징(102)의 내부 볼륨(109)에 위치되고, 기판 지지부(200)의 회전 축(211)을 중심으로 하는 원형 어레인지먼트(arrangement)로 포지셔닝된다. 각각의 프로세싱 스테이션(110)은 전방 표면(114)을 갖는 가스 분배 플레이트(112)(가스 주입기로 또한 지칭됨)를 포함한다. 일부 실시예들에서, 가스 분배 플레이트들(112) 각각의 전방 표면들(114)은 실질적으로 동일 평면 상에 있다. 프로세싱 스테이션들(110)은 프로세싱이 발생할 수 있는 구역으로서 정의된다. 예컨대, 일부 실시예들에서, 프로세싱 스테이션(110)은 아래에서 설명되는 바와 같이, 기판 지지부(200)의 지지 표면(231) 및 가스 분배 플레이트(112)의 전방 표면(114)에 의해 한정된 구역으로서 정의된다. 예시된 실시예에서, 가열기들(230)은 기판 지지 표면들로서 작용하고 기판 지지부(200)의 일부를 형성한다.
[0023] 프로세싱 스테이션들(110)은 임의의 적합한 프로세스를 수행하고 임의의 적합한 프로세스 조건들을 제공하도록 구성될 수 있다. 사용되는 가스 분배 플레이트(112)의 타입은, 예컨대 수행되는 프로세스의 타입 및 샤워헤드 또는 가스 주입기의 타입에 의존할 것이다. 예컨대, 원자 층 증착 장치로서 동작하도록 구성된 프로세싱 스테이션(110)은 샤워헤드 또는 와류 타입 가스 주입기를 가질 수 있다. 반면에, 플라즈마 스테이션으로서 동작하도록 구성된 프로세싱 스테이션(110)은 플라즈마 가스가 웨이퍼를 향해 유동되게 허용하면서 플라즈마를 생성하기 위한 하나 이상의 전극 및/또는 접지된 플레이트 구성을 가질 수 있다. 도 2에 예시된 실시예는 도면의 좌측(프로세싱 스테이션(110a)) 상에, 도면의 우측(프로세싱 스테이션(110b)) 상에서와는 상이한 타입의 프로세싱 스테이션(110)을 갖는다. 적합한 프로세싱 스테이션들(110)은 열 프로세싱 스테이션들, 마이크로파 플라즈마, 3-전극 CCP, ICP, 평행 플레이트(parallel plate) CCP, UV 노출, 레이저 프로세싱, 펌핑 챔버들, 어닐링 스테이션들 및 계측 스테이션들을 포함한다(그러나 이에 제한되지 않음).
[0024] 도 3은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 스테이션(110) 또는 프로세스 챔버에서 사용하기 위한 가스 분배 조립체(105)의 분해도를 예시한다. 당업자는 도 3에 예시된 실시예가 일반적인 개략도이고 세부사항들(예컨대, 가스 채널들)을 생략한다는 것을 인식할 것이다. 예시된 가스 분배 조립체(105)는 3개의 주요 컴포넌트들, 즉 가스 분배 플레이트(112), 덮개(180) 및 선택적인 스페이서(330)를 포함한다. 스페이서(330)는 또한 펌프/퍼지 스페이서, 인서트(insert) 또는 펌프/퍼지 인서트로 지칭된다. 일부 실시예들에서, 스페이서(330)는 진공(배기부)에 연결되거나 그와 유체 연통한다. 일부 실시예들에서, 스페이서(330)는 퍼지 가스 소스에 연결되거나 그와 유체 연통한다.
[0025] 최상부 플레이트(300) 내의 개구들(310)은 균일하게 사이징되거나 상이한 사이즈들을 가질 수 있다. 상이한 사이징/형상 가스 분배 플레이트들(112)은, 개구(310)로부터 가스 분배 플레이트(112)로 전환하도록 적절하게 형상화된 펌프/퍼지 스페이서(330)와 함께 사용될 수 있다. 예컨대, 예시된 바와 같이, 펌프/퍼지 스페이서(330)는 측벽(335)과 함께 최상부(331) 및 최하부(333)를 포함한다. 최상부 플레이트(300) 내의 개구(310) 내에 삽입될 때, 레지(ledge)(334)는 개구(310)에 포지셔닝되도록 구성된다.
[0026] 펌프/퍼지 스페이서(330)는 가스 분배 플레이트(112)가 삽입될 수 있는 개구(339)를 포함한다. 예시된 가스 분배 플레이트(112)는 펌프/퍼지 스페이서(330)의 최상부(331)에 인접한 후방 표면(332)에 의해 형성된 레지와 접촉할 수 있는 플랜지(342)를 갖는다. 가스 분배 플레이트(112)의 직경 또는 폭은 펌프/퍼지 스페이서(330)의 개구(339) 내에 끼워맞춰질 수 있는 임의의 적합한 사이즈일 수 있다. 이는 다양한 타입들의 가스 분배 플레이트들(112)(가스 주입기들로 또한 지칭됨)이 최상부 플레이트(300)의 동일한 개구(310) 내에서 사용되게 허용한다.
[0027] 도 4는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼(400)을 도시한다. 도 4에 도시된 실시예는 단지 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다. 예컨대, 일부 실시예들에서, 프로세싱 플랫폼(400)은 예시된 실시예와는 상이한 수들의 프로세싱 챔버들(100), 버퍼 스테이션들(420) 및/또는 로봇(430) 구성들 중 하나 이상을 갖는다.
[0028] 예시적인 프로세싱 플랫폼(400)은 복수의 측면들(411, 412, 413, 414)을 갖는 중앙 이송 스테이션(410)을 포함한다. 도시된 이송 스테이션(410)은 제1 측면(411), 제2 측면(412), 제3 측면(413) 및 제4 측면(414)을 갖는다. 4개의 측면들이 도시되지만, 당업자들은, 예컨대 프로세싱 플랫폼(400)의 전체 구성에 의존하여 이송 스테이션(410)에 대한 임의의 적합한 수의 측면들이 존재할 수 있다는 것을 이해할 것이다. 일부 실시예들에서, 이송 스테이션(410)은 3개의 측면들, 4개의 측면들, 5개의 측면들, 6개의 측면들, 7개의 측면들 또는 8개의 측면들을 갖는다.
[0029] 이송 스테이션(410)에는 그 내부에 로봇(430)이 포지셔닝되어 있다. 로봇(430)은 프로세싱 동안 웨이퍼를 이동시킬 수 있는 임의의 적합한 로봇일 수 있다. 일부 실시예들에서, 로봇(430)은 제1 아암(arm)(431) 및 제2 아암(432)을 갖는다. 제1 아암(431) 및 제2 아암(432)은 다른 아암과 독립적으로 이동될 수 있다. 제1 아암(431) 및 제2 아암(432)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(430)은 제3 아암(도시되지 않음) 또는 제4 아암(도시되지 않음)을 포함한다. 아암들 각각은 다른 아암들과 독립적으로 이동할 수 있다.
[0030] 예시된 실시예는 6개의 프로세싱 챔버들(100)을 포함하며, 이들 중 2개는 중앙 이송 스테이션(410)의 제2 측면(412), 제3 측면(413) 및 제4 측면(414) 각각에 연결된다. 프로세싱 챔버들(100) 각각은 상이한 프로세스들을 수행하도록 구성될 수 있다.
[0031] 프로세싱 플랫폼(400)은 또한, 중앙 이송 스테이션(410)의 제1 측면(411)에 연결된 하나 이상의 버퍼 스테이션(420)을 포함할 수 있다. 버퍼 스테이션들(420)은 동일하거나 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은 프로세싱되고 오리지널 카세트로 리턴되는 웨이퍼들의 카세트를 홀딩할 수 있거나, 또는 버퍼 스테이션들 중 하나는 프로세싱 이후 다른 버퍼 스테이션으로 이동되는 프로세싱되지 않은 웨이퍼들을 홀딩할 수 있다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 이상은 프로세싱 전에 그리고/또는 프로세싱 이후 웨이퍼들을 전처리, 예열 또는 세정하도록 구성된다.
[0032] 프로세싱 플랫폼(400)은 또한, 중앙 이송 스테이션(410)과 프로세싱 챔버들(100) 중 임의의 프로세싱 챔버 사이에 하나 이상의 슬릿 밸브들(418)을 포함할 수 있다. 슬릿 밸브들(418)은 중앙 이송 스테이션(410) 내의 환경으로부터 프로세싱 챔버(100) 내의 내부 볼륨을 격리시키기 위해 개방 및 폐쇄될 수 있다. 예컨대, 프로세싱 챔버가 프로세싱 동안 플라즈마를 생성할 것이라면, 스트레이 플라즈마(stray plasma)가 이송 스테이션 내의 로봇을 손상시키는 것을 방지하기 위해 그 프로세싱 챔버에 대한 슬릿 밸브를 폐쇄하는 것이 도움이 될 수 있다.
[0033] 프로세싱 플랫폼(400)은 웨이퍼들 또는 웨이퍼들의 카세트들이 프로세싱 플랫폼(400) 내로 로딩되게 허용하기 위해 팩토리 인터페이스(450)에 연결될 수 있다. 팩토리 인터페이스(450) 내의 로봇(455)은 웨이퍼들 또는 카세트들을 버퍼 스테이션들 내외로 이동시키는 데 사용될 수 있다. 웨이퍼들 또는 카세트들은 중앙 이송 스테이션(410) 내의 로봇(430)에 의해 프로세싱 플랫폼(400) 내에서 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(450)는 다른 클러스터 툴(즉, 다른 다중 챔버 프로세싱 플랫폼)의 이송 스테이션이다.
[0034] 제어기(495)는 프로세싱 플랫폼(400)의 동작을 제어하기 위해 제공되고 프로세싱 플랫폼(400)의 다양한 컴포넌트들에 커플링될 수 있다. 제어기(495)는 전체 프로세싱 플랫폼(400)을 제어하는 단일 제어기, 또는 프로세싱 플랫폼(400)의 개별 부분들을 제어하는 다수의 제어기들일 수 있다. 예컨대, 일부 실시예들의 프로세싱 플랫폼(400)은 개별 프로세싱 챔버들(100), 중앙 이송 스테이션(410), 팩토리 인터페이스(450) 및/또는 로봇들(430) 중 하나 이상에 대한 별개의 제어기들을 포함한다.
[0035] 일부 실시예들에서, 프로세싱 챔버(100)는 제1 온도 또는 제2 온도 중 하나 이상을 제어하도록 구성된, 복수의 실질적으로 동일 평면 상에 있는 지지 표면들(231)에 연결된 제어기(495)를 더 포함한다. 하나 이상의 실시예들에서, 제어기(495)는 기판 지지부(200)(도 2)의 이동 속도를 제어한다.
[0036] 일부 실시예들에서, 제어기(495)는 CPU(central processing unit)(496), 메모리(497), 및 지원 회로들(498)을 포함한다. 제어기(495)는 직접적으로 또는 특정한 프로세스 챔버 및/또는 지원 시스템 컴포넌트들과 연관된 컴퓨터들(또는 제어기들)을 통해 프로세싱 플랫폼(400)을 제어할 수 있다.
[0037] 제어기(495)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(495)의 메모리(497) 또는 컴퓨터 판독가능 매체는 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 광학 저장 매체들(예컨대, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격인 임의의 다른 형태의 디지털 저장소와 같은 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 메모리(497)는 프로세싱 플랫폼(400)의 파라미터들 및 컴포넌트들을 제어하도록 프로세서(CPU(496))에 의해 동작가능한 명령 세트를 보유할 수 있다.
[0038] 지원 회로들(498)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(496)에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로부 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스들은, 프로세서에 의해 실행되거나 호출될 때, 프로세서로 하여금 본 명세서에 설명된 방식으로 프로세싱 플랫폼(400) 또는 개별 프로세싱 챔버들의 동작을 제어하게 하는 소프트웨어 루틴으로서 메모리(498)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(496)에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
[0039] 본 개시내용의 프로세스들 및 방법들 중 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 그러므로, 프로세스는 소프트웨어로 구현되며, 컴퓨터 시스템을 사용하여, 하드웨어로, 예컨대 주문형 집적 회로 또는 다른 타입의 하드웨어 구현으로서 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환한다.
[0040] 일부 실시예들에서, 제어기(495)는 방법을 수행하기 위해 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성들을 갖는다. 제어기(495)는 방법들의 기능들을 수행하기 위해 중간 컴포넌트들에 연결될 수 있고 이들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(495)는 가스 밸브들, 액추에이터들, 모터들, 슬릿 밸브들, 진공 제어 또는 다른 컴포넌트들 중 하나 이상에 연결되고 이들을 제어하도록 구성될 수 있다.
[0041] 본 개시내용의 하나 이상의 실시예들은 데드 볼륨을 제거하거나 감소시키기 위한 밸브들을 제공한다. 일부 실시예들에서, 밸브는 챔버에서 교번적인 세정 및 프로세스 가스 전달을 가능하게 한다. 본 개시내용의 일부 실시예들은 역류를 방지하기 위한 어떠한 퍼지를 요구하지 않는다. 일부 실시예들은 밸브 세정으로부터의 퍼지 가스 유동으로 인한 가스 분배 플레이트들에서의 측면-측면 농도 차이를 제거한다.
[0042] 본 개시내용의 일부 실시예들은 기판 프로세싱 챔버 내로 가스를 도입하기 위한 방법들 및 장치에 관한 것이다. 이러한 밸브를 사용하여 데드 볼륨이 제거될 수 있다. 일부 실시예들은 챔버에서 교번적인 세정 및 프로세스 가스 전달을 허용한다. 일부 실시예들에서, 데드 볼륨을 교환하기 위한 여분의 퍼지의 요건이 제거된다.
[0043] 본 개시내용의 하나 이상의 실시예는, 스테이션 내에 케미스트리(chemistry)를 함유하고 잔류 가스 종의 크로스-토크(cross-talk)를 방지하기 위해 공간적 다중-웨이퍼 프로세스 툴에서의 이동 동안 샤워헤드의 상류의 압력을 낮춘다. 일부 실시예들은 ALD 프로세스에서 발생하는 CVD 타입 프로세스들을 최소화한다. 일부 실시예들은 공간 툴에서 잔류 케미스트리 크로스-토크를 방지한다. 일부 실시예들은 시간 소모적인 퍼지 및 밸브 세정 프로세스들에 대한 필요성을 제거함으로써 사이클 시간을 개선시킨다. 일부 실시예들은 도즈(dose) 이후 웨이퍼 위에 낮은 압력을 생성함으로써 막 품질, 저항률, 및/또는 등각성을 개선시킨다.
[0044] 도 5는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 장치(500)를 예시한다. 당업자에 의해 이해될 바와 같이, 도시된 가스 분배 장치는 도 1 내지 도 4에 관해 설명된 프로세싱 챔버들 및 프로세스 툴들에 통합될 수 있다.
[0045] 도 5는 본 개시내용의 하나 이상의 실시예에 따른 밸브(510)를 예시한다. 밸브(510)는 또한 데드 볼륨-없는 밸브로 지칭된다. 밸브(510)는 밸브의 바디를 통과하는 제1 유입 라인(520)을 갖는다. 제1 유입 라인은 제1 유입 라인(520)의 길이를 정의하는 상류 단부(522) 및 하류 단부(524)를 갖는다.
[0046] 밸브(510)는 제2 유입 라인(530)을 포함한다. 제2 유입 라인(530)은 제2 유입 라인(530)의 길이를 정의하는 상류 단부(532) 및 하류 단부(534)를 갖는다. 유입 라인들의 형상은 변경될 수 있고, 길이는 라인들의 유동 경로의 중심 라인을 따라 측정된다.
[0047] 제1 유입 라인(520) 및 제2 유입 라인(530)은 접합부(525)에서 접합된다. 제2 유입 라인(530)의 하류 단부(534)는 접합부(525)에서 제1 유입 라인(520)과 연결된다. 일부 실시예들의 접합부는 제1 유입 라인(520)의 길이를 따라 위치된다. 달리 말하면, 접합부(525)는 제1 유입 라인(520)의 상류 단부(522)로부터 일정 거리 및 제1 유입 라인(520)의 하류 단부(524)로부터 일정 거리에 위치된다. 접합부(525)는, 제1 유입 단부(522) 및 제1 배출 단부(524)로부터의 거리들이 동일하거나 상이하도록 위치될 수 있다. 일부 실시예들에서, 접합부(525)는 제1 유입 라인(520)의 길이의 약 50%에 위치된다. 일부 실시예들에서, 접합부는 제1 유입 라인(520)의 길이의 25% 내지 75%의 범위에 있다.
[0048] 밸브(510)는 제2 유입 라인(530)의 하류 단부(534)에 포지셔닝된 밀봉 표면(540)을 포함한다. 밀봉 표면(540)은 밀봉 표면(540)의 상류에서 제1 유입 라인(520)과 제2 유입 라인(530) 사이의 유체 연통을 방지하기 위해 제1 유입 라인(520)과 제2 유입 라인(530)을 분리시키도록 구성된다. 달리 말하면, 일부 실시예들에서, 제2 유입 라인(530)은 하류에서만 가스의 유동을 허용하도록 구성된 밸브(510)를 갖는다. 밀봉 표면(540)은 제1 유입 라인(520) 및 제2 유입 라인(530)을 통해 유동될 케미스트리들과 양립가능한 임의의 적합한 재료로 제조될 수 있다. 일부 실시예들에서, 밀봉 표면(540)은 체크 밸브를 포함한다.
[0049] 예시된 실시예에서, 밸브(510)는 이동가능 밀봉 표면(540)으로서 볼 밸브(ball valve)를 갖는다. 불충분한 유동이 제2 유입 라인(530)을 통과할 때, 어떠한 가스들도 제2 유입 라인(530) 내로 역류할 수 없고 어떠한 가스들도 제2 유입 라인(530)으로부터 제1 유입 라인(520) 내로 누출되지 않을 수 있도록, 밀봉 표면은 제2 유입 라인(530)의 하류 단부(534)를 덮는 밀봉 포지션에 있다. 밀봉 포지션에 있는 밀봉 표면(540)을 갖는 밸브(510)가 도 5 및 도 5a에 도시된다. 이러한 방식으로 사용되는 바와 같이, 용어 "충분한 유동 차이"는 제1 유입 라인(520)에서의 가스 유동(528)에 의해 밀봉 표면(540)에 가해지는 힘과 제2 유입 라인(530)에서의 가스 유동(538)에 의해 밀봉 표면(540)에 가해지는 힘 사이의 차이를 지칭한다. 일부 실시예들에서, 밀봉 표면(540)을 밀봉 포지션으로 이동시키기에 충분한 유동 차이는, 제1 유입 라인(520)에서의 가스 유동(528)에 의해 가해지는 힘이 제2 유입 라인(530)에서의 가스 유동(538)에 의해 가해지는 힘보다 임계치 값 만큼 더 크다는 것을 의미한다. 일부 실시예들의 임계치 값은 밀봉 표면(540)을 밀봉 포지션으로부터 개방 포지션으로 이동시키는 데 요구되는 힘 대 밀봉 표면(540)을 개방 포지션으로부터 밀봉 포지션으로 이동시키는 데 요구되는 힘에 적어도 부분적으로 기반한다. 예컨대, 제2 유입 라인(530)에서의 가스 유동(538)이 밀봉 표면(540)을 넘어 유동되게 허용하기 위해 밸브(510)를 폐쇄 또는 밀봉하는 데 요구되는 힘이 밸브를 개방하는 데 요구되는 힘보다 작은 경우 일부 실시예들의 임계치 값이 변하거나 또는 그 반대의 경우도 가능하다.
[0050] 도 5b는 개방 포지션에 있는 밀봉 표면(540)을 갖는 밸브(510)를 예시한다. 제1 유입 라인(520)과 제2 유입 라인(530) 사이에 충분한 유동 차이가 존재할 때, 유체 연통이 제2 유입 라인(530)의 하류 단부(534)로부터 접합부(525) 내로 그리고 제1 유입 라인(520)의 하류 단부(524) 밖으로 발생하게 허용하기 위해 밀봉 표면(540)이 이동한다. 일부 실시예들에서, 밀봉 포지션(도 5a에 도시됨)과 개방 포지션(도 5b에 도시됨) 사이에서의 밀봉 표면(540)의 이동은 밸브(510) 내에 포획된 임의의 가스들이 밸브(510)로부터 제1 유입 라인(520) 내로 푸시(push)되게 한다.
[0051] 일부 실시예들에서, 밀봉 표면(540)은, 제2 유입 라인(530)에서의 유동에 의해 가해지는 힘이 임계치 값보다 클 때 개방 포지션으로 이동하도록 구성된다. 일부 실시예들의 임계치 값은 제1 유입 라인(520)에서의 유동보다 밀봉 표면(540) 상에서 더 적은 힘을 생성하고, 밀봉 표면(540)을 개방 포지션으로 이동시킨다.
[0052] 일부 실시예들의 밀봉 표면(540)은 제2 유입 라인(530)을 통한 유체의 이동이 접합부(525)에서 제1 유입 라인(520)에 진입하게 허용한다. 일부 실시예들에서, 밀봉 표면(540)은 제1 유입 라인(520)으로부터의 유체가 제2 유입 라인(530)의 하류 단부(534)에 진입하는 것을 방지한다.
[0053] 일부 실시예들에서, 밀봉 표면(540)은 가스가 제2 유입 라인(530)을 통해 유동하고 있을 때 개방 포지션으로 이동한다. 일부 실시예들에서, 제2 유입 라인(530) 내의 가스 유동 및/또는 압력은 밀봉 표면(540)을 이동시키기 위해, 미리 결정된 압력 및/또는 유량을 초과한다. 일부 실시예들에서, 제2 유입 라인(530)에 어떠한 가스 유동도 존재하지 않거나 또는 제2 유입 라인(530) 내의 압력이 미리 결정된 임계치 미만일 때, 밀봉 표면(540)은 폐쇄 포지션(도 5에 도시됨)으로 이동한다. 일부 실시예들에서, 밀봉 표면(540)을 개방/폐쇄하기 위한 임계치는 제2 유입 라인(530)과 제1 유입 라인(520) 사이의 압력 차이에 기반한다. 일부 실시예들에서, 밀봉 표면을 개방하기 위한 임계치는 밀봉 표면을 폐쇄하기 위한 임계치와 상이하다.
[0054] 일부 실시예들에서, 밸브(510)에는 어떠한 데드 볼륨도 존재하지 않는다. 데드 볼륨은, 가스가 소용돌이(eddy)들을 형성하고 고착될 수 있어서, 유동이 중지된 이후 그 가스 종의 일부가 남아 있고 다음 가스 유동에 추가될 수 있는 공간이다.
[0055] 2개의 유입 라인들이 도 5에 예시되어 있지만, 당업자는 2개 초과의 유입 라인들이 본 개시내용의 범위 내에 있다는 것을 인식할 것이다. 예컨대, 밸브는 제2 접합부(도시되지 않음)에서 제1 유입 라인(520) 또는 제2 유입 라인(530)에 연결되는 제3 유입 라인(도시되지 않음)을 가질 수 있다. 일부 실시예들에서, 제3 유입 라인은 제2 유입 라인(530)과 동일한 접합부(525)에서 제1 유입 라인(520)에 연결된다. 일부 실시예들에서, 제3 유입 라인은 퍼지 라인으로서 구성된다.
[0056] 가스 분배 장치(500)의 일부 실시예들은 가스 분배 조립체(105)를 포함한다. 예시된 실시예는 장치(500)의 일부로서 가스 분배 플레이트(112)를 도시한다. 일부 실시예들에서, 가스 분배 플레이트(112)는 제2 단부(524) 및 제1 유입 라인(520)과 유체 연통한다. 일부 실시예들에서, 가스 분배 플레이트(112)는 샤워헤드를 포함한다.
[0057] 도 5는 선택적인 원격 플라즈마 소스(550)를 포함하는 실시예를 예시한다. 일부 실시예들의 원격 플라즈마 소스(RPS)(550)는 제1 유입 라인(520)의 하류 단부(524)와 가스 분배 플레이트(112) 사이에 포지셔닝된다. 원격 플라즈마 소스(550)는 당업자에게 알려진 임의의 적합한 플라즈마 소스일 수 있다. 적합한 소스들은 CCP(capacitively coupled plasma) 소스들, ICP(inductively coupled plasma) 소스들, 마이크로파 플라즈마 소스들을 포함한다(그러나 이에 제한되지 않음).
[0058] 일부 실시예들에서, 가스 매니폴드(560)(도 4에 도시됨)는 제1 유입 라인(520)의 하류 단부(524)와 가스 분배 플레이트(112) 사이에 있다. 일부 실시예들에서, 가스 매니폴드는 밸브(510)를 빠져나가는 가스 유동을 다수의 프로세스 챔버들 또는 프로세스 스테이션들로 분할한다. 일부 실시예들에서, 가스 매니폴드(560)는 제1 유입 라인(520)의 하류 단부(524)와 원격 플라즈마 소스(550) 사이에 있다.
[0059] 본 명세서 전반에 걸쳐 "하나의 실시예", "특정한 실시예들", "하나 이상의 실시예들" 또는 "일 실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 장소들에서의 "하나 이상의 실시예들에서", "특정한 실시예들에서", "하나의 실시예에서" 또는 "일 실시예에서"와 같은 어구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0060] 본 명세서의 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 당업자들은, 설명된 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들의 예시일 뿐이라는 것을 이해할 것이다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 당업자들에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함할 수 있다.

Claims (20)

  1. 가스 분배 장치로서,
    밸브를 포함하며,
    상기 밸브는,
    제1 유입 라인 ― 상기 제1 유입 라인은 상기 제1 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 가짐 ―;
    제2 유입 라인 ― 상기 제2 유입 라인은 상기 제2 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖고, 상기 제2 유입 라인의 하류 단부는 상기 제1 유입 라인의 길이를 따라 상기 제1 유입 라인과 연결됨 ―; 및
    상기 제2 유입 라인의 하류 단부에 있는 밀봉 표면을 가지며,
    상기 밀봉 표면은 상기 제1 유입 라인과 상기 제2 유입 라인 사이의 유체 연통을 방지하기 위해 상기 제1 유입 라인과 상기 제2 유입 라인을 분리시키도록 구성되는, 가스 분배 장치.
  2. 제1항에 있어서,
    상기 밀봉 표면은, 상기 제2 유입 라인을 통한 유체의 이동이 상기 제1 유입 라인에 진입하게 허용하고, 상기 제1 유입 라인으로부터의 유체가 상기 제2 유입 라인의 하류 단부에 진입하는 것을 방지하게 허용하는, 가스 분배 장치.
  3. 제1항에 있어서,
    상기 밸브에는 어떠한 데드 볼륨(dead volume)도 존재하지 않는, 가스 분배 장치.
  4. 제1항에 있어서,
    상기 제1 유입 라인의 제2 단부와 유체 연통하는 가스 분배 플레이트를 더 포함하는, 가스 분배 장치.
  5. 제4항에 있어서,
    상기 가스 분배 플레이트는 샤워헤드를 포함하는, 가스 분배 장치.
  6. 제5항에 있어서,
    상기 제1 유입 라인의 하류 단부와 상기 가스 분배 플레이트 사이에 원격 플라즈마 소스를 더 포함하는, 가스 분배 장치.
  7. 제6항에 있어서,
    상기 제1 유입 라인의 하류 단부와 상기 원격 플라즈마 소스 사이에 가스 매니폴드를 더 포함하는, 가스 분배 장치.
  8. 제1항에 있어서,
    상기 밀봉 표면은, 상기 제2 유입 라인을 통해 유동하는 가스가 미리 결정된 압력을 초과할 때 개방 포지션으로 이동하는, 가스 분배 장치.
  9. 제8항에 있어서,
    상기 밀봉 표면은, 상기 제2 유입 라인에 어떠한 가스 유동도 존재하지 않거나 또는 상기 제2 라인 내의 압력이 미리 결정된 임계치 미만일 때, 폐쇄 포지션으로 이동하는, 가스 분배 장치.
  10. 프로세싱 챔버로서,
    밸브를 갖는 가스 분배 장치 ― 상기 밸브는, 제1 유입 라인 ― 상기 제1 유입 라인은 상기 제1 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 가짐 ―; 제2 유입 라인 ― 상기 제2 유입 라인은 상기 제2 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖고, 상기 제2 유입 라인의 하류 단부는 상기 제1 유입 라인의 길이를 따라 상기 제1 유입 라인과 연결됨 ―; 및 상기 제2 유입 라인의 하류 단부에 있는 밀봉 표면을 포함하며, 상기 밀봉 표면은 상기 제1 유입 라인과 상기 제2 유입 라인 사이의 유체 연통을 방지하기 위해 상기 제1 유입 라인과 상기 제2 유입 라인을 분리시키도록 구성됨 ―;
    상기 제1 유입 라인의 제2 단부와 유체 연통하는 가스 분배 플레이트 ― 상기 가스 분배 플레이트는 전방 표면을 가지며, 상기 전방 표면은 가스의 유동이 상기 가스 분배 플레이트를 통과하게 허용하도록 상기 전방 표면을 관통하는 복수의 애퍼처들을 가짐 ―;
    상기 가스 분배 플레이트 주위의 스페이서 ― 상기 스페이서는 상기 프로세싱 챔버의 최상부의 개구 내에 있음 ―; 및
    상기 프로세싱 챔버 내부의 기판 지지부를 포함하며,
    상기 기판 지지부는 상기 가스 분배 플레이트의 전방 표면으로부터 일정 거리로 이격된 지지 표면을 갖는, 프로세싱 챔버.
  11. 제10항에 있어서,
    상기 밸브의 상기 밀봉 표면은, 상기 제2 유입 라인을 통한 유체의 이동이 상기 제1 유입 라인에 진입하게 허용하고, 상기 제1 유입 라인으로부터의 유체가 상기 제2 유입 라인의 하류 단부에 진입하는 것을 방지하게 허용하는, 프로세싱 챔버.
  12. 제10항에 있어서,
    상기 밸브에는 어떠한 데드 볼륨도 존재하지 않는, 프로세싱 챔버.
  13. 제10항에 있어서,
    상기 가스 분배 플레이트는 샤워헤드를 포함하는, 프로세싱 챔버.
  14. 제10항에 있어서,
    상기 제1 유입 라인의 하류 단부와 상기 가스 분배 플레이트 사이에 원격 플라즈마 소스를 더 포함하는, 프로세싱 챔버.
  15. 제14항에 있어서,
    상기 제1 유입 라인의 하류 단부와 상기 원격 플라즈마 소스 사이에 가스 매니폴드를 더 포함하는, 프로세싱 챔버.
  16. 제10항에 있어서,
    상기 밀봉 표면은, 상기 제2 유입 라인을 통해 유동하는 가스가 미리 결정된 압력을 초과할 때 개방 포지션으로 이동하는, 프로세싱 챔버.
  17. 제16항에 있어서,
    상기 밀봉 표면은, 상기 제2 유입 라인에 어떠한 가스 유동도 존재하지 않거나 또는 상기 제2 라인 내의 압력이 미리 결정된 임계치 미만일 때, 폐쇄 포지션으로 이동하는, 프로세싱 챔버.
  18. 프로세싱 방법으로서,
    데드 볼륨-없는(dead volume-free) 밸브의 제1 유입 라인을 통해 프로세싱 챔버 내로 제1 가스를 유동시키는 단계 ― 상기 데드 볼륨-없는 밸브는, 상기 제1 유입 라인 ― 상기 제1 유입 라인은 상기 제1 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 가짐 ―, 제2 유입 라인 ― 상기 제2 유입 라인은 상기 제2 유입 라인의 길이를 정의하는 상류 단부 및 하류 단부를 갖고, 상기 제2 유입 라인의 하류 단부는 상기 제1 유입 라인의 길이를 따라 상기 제1 유입 라인과 연결됨 ―, 및 상기 제2 유입 라인의 하류 단부에 있는 밀봉 표면을 포함하며, 상기 밀봉 표면은 상기 제1 유입 라인과 상기 제2 유입 라인 사이의 유체 연통을 방지하기 위해 상기 제1 유입 라인과 상기 제2 유입 라인을 분리시키도록 구성됨 ―; 및
    상기 데드 볼륨-없는 밸브의 상기 제2 유입 라인을 통해 상기 프로세싱 챔버 내로 제2 가스를 유동시키는 단계를 포함하며,
    상기 제1 가스는 상기 제2 유입 라인 내로 유동되지 않고, 상기 제1 가스와 제2 가스 사이의 스위칭은 잔류 가스의 밸브를 클리어(clear)하기 위한 퍼지 단계를 포함하지 않는, 프로세싱 방법.
  19. 제18항에 있어서,
    상기 데드 볼륨-없는 밸브의 하류에 포지셔닝된 원격 플라즈마 소스에서 상기 제1 가스 또는 상기 제2 가스 중 하나 이상으로부터 플라즈마를 점화시키는 단계를 더 포함하는, 프로세싱 방법.
  20. 제18항에 있어서,
    상기 제2 가스는, 상기 제2 유입 라인을 통해 유동하는 제2 가스가 미리 결정된 압력을 초과할 때 상기 밀봉 표면을 개방 포지션으로 이동시키고, 상기 밀봉 표면은, 상기 제2 유입 라인에 어떠한 가스 유동도 존재하지 않거나 또는 상기 제2 유입 라인 내의 압력이 미리 결정된 임계치 미만일 때 폐쇄 포지션으로 이동하는, 프로세싱 방법.
KR1020227012764A 2019-09-19 2020-09-18 감소된 데드 볼륨을 위한 세정 절연 밸브 KR20220062114A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962902912P 2019-09-19 2019-09-19
US62/902,912 2019-09-19
US202063022466P 2020-05-09 2020-05-09
US63/022,466 2020-05-09
PCT/US2020/051514 WO2021055766A1 (en) 2019-09-19 2020-09-18 Clean isolation valve for reduced dead volume

Publications (1)

Publication Number Publication Date
KR20220062114A true KR20220062114A (ko) 2022-05-13

Family

ID=74881760

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227012764A KR20220062114A (ko) 2019-09-19 2020-09-18 감소된 데드 볼륨을 위한 세정 절연 밸브

Country Status (5)

Country Link
US (2) US11479857B2 (ko)
JP (1) JP7473635B2 (ko)
KR (1) KR20220062114A (ko)
TW (1) TW202117217A (ko)
WO (1) WO2021055766A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5307568A (en) * 1991-09-09 1994-05-03 Tokyo Electron Limited Gas supply system
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100232112B1 (ko) * 1996-01-05 1999-12-01 아마노 시게루 가스공급유닛
US5730174A (en) * 1996-07-01 1998-03-24 Lubriquip, Inc. Solenoid valve cartridge for lubrication divider valves
US5879458A (en) * 1996-09-13 1999-03-09 Semifab Incorporated Molecular contamination control system
JP4022696B2 (ja) * 1996-11-20 2007-12-19 忠弘 大見 遮断開放器
JP3997337B2 (ja) * 1996-11-20 2007-10-24 忠弘 大見 流体制御装置
JP3921565B2 (ja) * 1998-07-10 2007-05-30 株式会社フジキン 流体制御装置
AU1320500A (en) * 1998-10-23 2000-05-15 Chemand Corporation Fluid handling port array
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
DE60106312T2 (de) * 2000-03-10 2005-11-17 Tokyo Electron Ltd. Fluidregelvorrichtung
JP3482601B2 (ja) * 2000-06-30 2003-12-22 東京エレクトロン株式会社 流体制御装置
JP2002130479A (ja) * 2000-10-23 2002-05-09 Tokyo Electron Ltd 集積化流体供給装置及びこれに用いるシール材及びこれを用いた半導体製造装置
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP4092164B2 (ja) * 2002-09-20 2008-05-28 シーケーディ株式会社 ガス供給ユニット
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
JP2006083959A (ja) * 2004-09-16 2006-03-30 Fujikin Inc センサ付き継手部材
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US8056577B2 (en) * 2006-03-20 2011-11-15 Tescom Corporation Apparatus and methods to dispense fluid from a bank of containers and to refill same
US7905252B2 (en) * 2006-03-20 2011-03-15 Tescom Corporation Apparatus and methods to dispense fluid from a bank of containers and to refill same
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US7816200B2 (en) * 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
KR101658493B1 (ko) * 2008-07-08 2016-09-21 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 환형 알켄 유도체의 분해 방지용 첨가제
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20120000606A1 (en) 2010-07-02 2012-01-05 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity system and method
CN202144891U (zh) * 2011-07-25 2012-02-15 中芯国际集成电路制造(上海)有限公司 防倒流装置及排气装置
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
JP6748586B2 (ja) * 2016-07-11 2020-09-02 東京エレクトロン株式会社 ガス供給システム、基板処理システム及びガス供給方法
KR102411152B1 (ko) * 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
TWI802439B (zh) * 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
KR20210048408A (ko) * 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid

Also Published As

Publication number Publication date
WO2021055766A1 (en) 2021-03-25
JP2022548648A (ja) 2022-11-21
JP7473635B2 (ja) 2024-04-23
US11746417B2 (en) 2023-09-05
TW202117217A (zh) 2021-05-01
US20210087685A1 (en) 2021-03-25
US20230017577A1 (en) 2023-01-19
US11479857B2 (en) 2022-10-25

Similar Documents

Publication Publication Date Title
US20240096688A1 (en) Single wafer processing environments with spatial separation
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
US20130239889A1 (en) Valve purge assembly for semiconductor manufacturing tools
KR20200142601A (ko) 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋
US11746417B2 (en) Clean isolation valve for reduced dead volume
KR20230024400A (ko) 고온 화학 기상 증착 덮개
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
KR20220061234A (ko) 개선된 균일성을 위한 디더링 또는 동적 오프셋들
US11767593B2 (en) High temperature vacuum seal
US11479855B2 (en) Spatial wafer processing with improved temperature uniformity
KR102638144B1 (ko) 상보적 패턴 스테이션 설계들
US20230089089A1 (en) Apparatus and methods for processing chamber lid concentricity alignment
WO2020092184A1 (en) Methods of operating a spatial deposition tool
TW202425214A (zh) 具有空間分離的單個晶圓處理環境

Legal Events

Date Code Title Description
E902 Notification of reason for refusal