KR20220034148A - 폴리머 재료들의 마이크로파 프로세싱을 위한 방법들 및 장치 - Google Patents

폴리머 재료들의 마이크로파 프로세싱을 위한 방법들 및 장치 Download PDF

Info

Publication number
KR20220034148A
KR20220034148A KR1020227003699A KR20227003699A KR20220034148A KR 20220034148 A KR20220034148 A KR 20220034148A KR 1020227003699 A KR1020227003699 A KR 1020227003699A KR 20227003699 A KR20227003699 A KR 20227003699A KR 20220034148 A KR20220034148 A KR 20220034148A
Authority
KR
South Korea
Prior art keywords
polymer
substrate
microwave energy
frequencies
microwave
Prior art date
Application number
KR1020227003699A
Other languages
English (en)
Inventor
턱 풍 코
치엔 캉 슝
유에 쉥 오우
펠릭스 뎅
위 추이
누노 옌-츄 첸
아난트크리쉬나 주푸디
클린턴 고
비노드 라마찬드란
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220034148A publication Critical patent/KR20220034148A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/66Circuits
    • H05B6/68Circuits for monitoring or control
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/70Feed lines
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/70Feed lines
    • H05B6/705Feed lines using microwave tuning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/80Apparatus for specific applications
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0855Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using microwave
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2039/00Use of polymers with unsaturated aliphatic radicals and with a nitrogen or a heterocyclic ring containing nitrogen in a side chain or derivatives thereof as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2055/00Use of specific polymers obtained by polymerisation reactions only involving carbon-to-carbon unsaturated bonds, not provided for in a single one of main groups B29K2023/00 - B29K2049/00, e.g. having a vinyl group, as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2061/00Use of condensation polymers of aldehydes or ketones or derivatives thereof, as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2063/00Use of EP, i.e. epoxy resins or derivatives thereof, as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2079/00Use of polymers having nitrogen, with or without oxygen or carbon only, in the main chain, not provided for in groups B29K2061/00 - B29K2077/00, as moulding material
    • B29K2079/08PI, i.e. polyimides or derivatives thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B2206/00Aspects relating to heating by electric, magnetic, or electromagnetic fields covered by group H05B6/00
    • H05B2206/04Heating using microwaves
    • H05B2206/046Microwave drying of wood, ink, food, ceramic, sintering of ceramic, clothes, hair

Abstract

가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키기 위한 방법들 및 장치가 본원에서 제공된다. 일부 실시예들에서, 가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법은, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계를 포함한다.

Description

폴리머 재료들의 마이크로파 프로세싱을 위한 방법들 및 장치
[0001] 본 개시내용의 실시예들은 일반적으로, 마이크로파 에너지를 사용한 재료 프로세싱을 위한 장치 및 방법들에 관한 것이다. 더 구체적으로, 본 개시내용은, 마이크로파 에너지를 사용하여 폴리머들과 같은 기판들을 경화(curing)시키는 것에 관한 것이다.
[0002] 다양한 생산 스테이지들 동안 다양한 전도성 및 비-전도성 폴리머 재료들의 층들이 반도체 웨이퍼들에 적용된다. 예컨대, 유기 재료들(예컨대, 이를테면, 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB) 등), 또는 무기 재료들(예컨대, 이를테면, 실리콘, 실리콘 옥사이드, 옥사이드, 옥시나이트라이드, 나이트라이드 또는 카바이드 등)은 상호연결부들의 유전체 층들(예컨대, 패키징의 RDL(Redistribution Layer) 프로세스 또는 BEOL(Back-end of line))을 형성하기 위해 반도체 제조에서 빈번하게 사용된다. BEOL(back end of line)은, 개별적인 디바이스들이 기판 상의 배선과 상호연결되는, IC 제작의 제2 부분이다.
[0003] 통상적으로, 유전체 층들/막들을 포함하여, 형성된 폴리머들과 같은 기판들은 고정된 전기적, 열적-기계적 및 화학적 특성들을 갖는다. 더욱이, 위의 폴리머들과 같은 기판들은 통상적으로, 종래의 가열 기법들이 사용되는 경우 경화시키는 데 더 긴 시간들 및 더 높은 온도들을 필요로 하며, 이는 기판들 상에 결함들을 생성할 뿐만 아니라 스루풋 문제들로 이어진다. 예컨대, 종래의 가열 기법들을 사용하여 폴리이미드가 경화되는 경우, 폴리머의 외부 표면은 통상적으로, 중심 부분들보다 더 빠르게 경화되어서, 공극들의 형성과 같은 다양한 물리적 결함들을 초래하며, 감소된 모듈러스, 과장된 팽윤(enhanced swelling), 용매 함유율(solvent uptake) 및 열 팽창 계수와 같은 열등한 기계적 특성들을 초래할 수 있다. 더욱이, 종래의 경화 기법들에서 사용되는 더 높은 온도들은 패키징 RDL 프로세스에 있는 동안 존재하는 재료들의 열 팽창의 차이들로 인해 많은 휨(warpage)을 생성한다.
[0004] 이에 따라서, 본 발명자들은, 더 빠르게 그리고 더 낮은 온도들에서 경화될 수 있는 폴리머들과 같은 기판들을 형성하는 개선된 방법들을 개발하였다.
[0005] 가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법들이 본원에서 제공된다. 일부 실시예들에서, 가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법은, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계를 포함한다.
[0006] 일부 실시예들에서, 기판 프로세싱 시스템은, 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 불연속 마이크로파 주파수들과 폴리머를 접촉시키도록 구성된 가변 주파수 마이크로파 챔버를 포함한다.
[0007] 일부 실시예들에서, 명령들이 저장되어 있는 컴퓨터 판독가능 매체가 제공되며, 명령들은, 실행될 때, 가변 주파수 마이크로파 프로세스 챔버로 하여금, 본원에서 개시되는 실시예들 중 임의의 실시예에서 설명되는 바와 같은 방법들을 수행하게 한다. 일부 실시예들에서, 방법은, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계를 포함한다.
[0008] 본 개시내용의 다른 그리고 추가적인 실시예들이 아래에서 설명된다.
[0009] 위에서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 실시예들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 실시예들을 참조로 하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 실시예들을 예시하므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 일부 실시예들에 따른 경화 방법에 대한 흐름도를 도시한다.
[0011] 도 2는 본 개시내용의 일부 실시예들에 따른, 마이크로파 경화 프로세스를 위한 프로세스 챔버의 개략적인 측면도를 도시한다.
[0012] 도 3은 본 개시내용의 일부 실시예들에 따른, 기판 또는 폴리머를 경화시키는 방법에 대한 흐름도를 도시한다.
[0013] 도 4는 본 개시내용의 일부 실시예들에 따른, 도 2의 장치를 포함하는 프로세싱 툴의 평면도를 도시한다.
[0014] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 그려지지 않으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다.
[0015] 가변 마이크로파 주파수를 사용하여 기판 또는 폴리머, 이를테면, 기판 상의 폴리머 층을 경화시키는 장치 및 방법들을 포함하는 본 개시내용의 실시예들이 본원에서 제공된다. 예컨대, 본 개시내용의 방법들은, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계를 포함한다. 본 개시내용의 실시예들은 유리하게, (1) 더 낮은 온도에서 재료, 이를테면, 기판, 폴리머 또는 폴리머 층을 경화시켜서, 열 팽창의 차이를 감소시키고 ―이는 패키징 RDL 프로세스에서 더 낮은 휨을 초래함―, 그리고/또는 (2) 더 우수한 전기적(예컨대, 더 낮은 기생 용량, 더 높은 항복 전압) 및 열적-기계적(예컨대, 더 높은 유리 전이 온도 또는 더 높은 연신율 ―이는 더 강한 기계적 응력, 우수한 열 전도율 등을 나타냄―) 특성들을 위해서 기판, 폴리머 또는 폴리머 층을 수정하기 위해, 가변 주파수 마이크로파(VFM; Variable Frequency Microwave) 기술을 사용하는 제조 동안 가요성 반도체 재료 형성 프로세스를 가능하게 한다.
[0016] 도 1은 본 개시내용의 일부 실시예들에 따른, 재료, 이를테면, 기판, 폴리머, 또는 반도체 기판 상의 폴리머 층을 경화시키는 방법(100)의 흐름도이다. 반도체 기판 또는 폴리머, 이를테면, 기판 상에 배치된 폴리머 층은 도 2와 관련하여 아래에서 논의되는 바와 같은 적절한 마이크로파 프로세싱 챔버 내에 배치된다.
[0017] 일부 실시예들에서, 본원에서 설명되는 바와 같은 경화에 적절한 기판들은 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 실리콘 나이트라이드, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 이들의 조합들과 같은 재료를 포함한다. 일부 실시예들에서, 무기 기판들은 본 개시내용에 따른 경화에 적절하다. 무기 기판들의 비-제한적인 예들은 실리콘, 실리콘 옥사이드, 옥사이드, 옥시나이트라이드, 나이트라이드 또는 카바이드 중 하나로 형성된 무기 유전체 재료 중 하나 이상을 포함한다.
[0018] 실시예들에서, 기판은 둥근 기판들의 경우 다양한 치수들, 이를테면, 200 mm, 300 mm, 450 mm 또는 다른 직경들을 가질 수 있다. 기판은 또한, 임의의 다각형, 정사각형, 직사각형, 만곡된 또는 달리 비-원형의 워크피스, 이를테면, 평판 디스플레이들의 제작 시에 사용되는 다각형 유리 기판일 수 있다. 달리 언급되지 않는 한, 본원에서 설명되는 구현들 및 예들은 200 mm 직경, 300 mm 직경 또는 450 mm 직경을 갖는 기판과 같은 기판들에 대해 수행된다.
[0019] 일부 실시예들에서, 본원의 경화를 위한 기판들은, 임의의 적절한 원자 층 증착 프로세스 또는 화학 기상 증착 프로세스에 의해 원하는 두께까지 기판의 최상부에 증착된 또는 단독의 하나 이상의 로우(low)-k 유전체 층들을 포함한다. 실시예들에서, 로우-k 유전체 층은 일반적으로, 절연 재료에 적절한 로우-k 값을 갖는 재료로 형성된다. 로우-k 유전체 재료로서 적절한 비-제한적인 재료들은 실리콘 함유 재료, 예컨대, 이를테면, 실리콘 옥사이드(SiO2), 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드(SiON), 또는 이들의 조합들을 포함할 수 있다. 일부 실시예들에서, 로우-k 유전체 재료는 약 3.9 미만(예컨대, 약 2.5 내지 약 3.5)의 로우-k 값을 가질 수 있다. 실시예들에서, 로우-k 유전체 층은 폴리이미드들, 폴리테트라플루오로에틸렌들, 파릴렌들, 폴리실세스퀴옥산들, 플루오르화 폴리(아릴 에테르들), 플루오르화 비정질 탄소, 실리콘 옥시카바이드들 및 실리콘 카바이드들 중 하나 이상을 포함하는 재료를 포함한다. 일부 실시예들에서, 기판, 이를테면, 로우-k 유전체 층은, 예컨대 다양한 실리콘, 탄소, 산소 및 수소 함유 재료들을 포함하는 실리콘 옥시카바이드들을 포함하여, 실리콘 옥시카바이드들을 포함한다.
[0020] 일부 실시예들에서, 폴리머 또는 폴리머 층들은 본 개시내용에 따른 경화에 적절하다. 폴리머 또는 폴리머 층들의 비-제한적인 예들은 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB) 중 하나로 형성된 유기 유전체 재료 중 하나 이상을 포함한다.
[0021] 일부 실시예들에서, 방법(100)은 1 ATM과 같은 대기(atmosphere)에서 또는 진공(예컨대, 약 50 내지 약 1e-6 Torr, 또는 그 미만)에서 수행된다. 본 발명자들은, 일부 실시예들에서, 대기에서 폴리머를 경화시키는 것은, 선택된 유효 주파수들의 더 많은 마이크로파 전력이 프로세스 챔버 그리고 폴리머 또는 폴리머 층 내로 전달될 수 있게 한다는 것을 관찰하였다. 그러나, 일부 실시예들에서, 진공에서 방법(100)을 수행하는 것은, 경화 프로세스 동안 형성되는 용매들, 첨가제들 및 반응 부산물들을 내모는(drive out) 것을 돕는다. 종래의 비-마이크로파 경화는 약 1 기압 또는 가장 낮은 부압(sub-atmosphere at the lowest)에서 발생하며, 따라서 용매들, 첨가제들 또는 반응 부산물들을 내몰기 위해 고온을 사용한다.
[0022] 일부 실시예들에서, 방법(100)은 102에서 시작되며, 여기서, 경화를 필요로 하는 기판, 이를테면, 폴리머 또는 기판 상의 폴리머 층은 위에서 설명된 것들과 같은 재료들로 형성된다. 일부 실시예들에서, 약 1.0 미크론 내지 약 1000 미크론 두께의 기판, 폴리머 또는 폴리머 층이 증착된다. 일부 실시예들에서, 폴리머 또는 폴리머 층은 유전체 재료, 이를테면, 유기 기반 유전체 재료, 예컨대, 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB) 중 하나 이상일 수 있다. 일부 실시예들에서, 형성된 기판은 옥사이드, 실리콘 옥사이드, 실리콘, 옥시나이트라이드, 나이트라이드 또는 카바이드 등 중 하나로 형성된 무기 유전체 재료일 수 있다.
[0023] 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은, 기판, 폴리머 또는 폴리머 층에 포함되거나 또는 그렇지 않으면 유기 또는 무기 유전체 재료, 이를테면, a) 경화 프로세스의 속도를 높이고 경화 온도를 감소시키기 위한 고극성 첨가제, (b) 특정 원하는 특성들(전기적, 기계적 및 열적, 화학적 등)을 갖는 마이크로파 반응성 첨가제, 및/또는 (c) 특정 원하는 특성들을 갖는 비-극성 재료들인 재료에 첨가되는 적어도 하나의 마이크로파 조절가능 재료를 더 포함할 수 있다. 극성 첨가제들의 비-제한적인 예들은 물, 에탄올, 메탄올, 이소프로판올(IPA), 아세트산, 아세톤, n-프로판올, n-부탄올, 포름산, 프로필렌, 카보네이트, 에틸 아세테이트, 디메틸 설폭사이드, 아세토니트릴(MECN), 디메틸포름아미드, 테트라하이드로퓨란 및/또는 디클로로메탄을 포함할 수 있다. 일부 실시예들에서, 비-극성 첨가제들은 펜탄, 사이클로펜탄, 헥산, 사이클로헥산, 벤젠, 톨루엔, 디옥산, 클로로포름 및/또는 디에틸 에테르를 포함할 수 있다. 비-극성 첨가제들과 대조적으로, 극성 첨가제들은 상당히 더 높은 유전 상수들 및 쌍극자 모멘트들을 갖는다. 물 분자들과 같이, 마이크로파 에너지의 존재 시에, 이들 극성 분자들은 (가능하게는, 이용가능한 공간에서) 회전 운동으로 세팅될 것이다. 이들 용매들의 증기들이 다공성 유전체 막의 기공들 내로 심지어 깊숙이 증착될 수 있는 어디든지, 마이크로파 에너지는, 이들 분자들을 교반시키고 반응을 일으키는 능력을 갖는다. 실시예들에서, 프로세스 조건들은 더 높은 프로세스 온도로 가기 전에 기공들 내에서 어떤 추가적인 회전 이동을 가능하게 하기 위해 용매 또는 시약의 비등점 미만으로 유지된다.
[0024] 본 개시내용에 따른 경화에 적절한 마이크로파 주파수들이 선정될 수 있는 전자기 스펙트럼 내의 주파수들의 범위는 300 GHz 내지 300 MHz의 범위, 또는 일부 실시예들에서는 1 GHz 내지 100 GHz의 범위이다. 일부 실시예들에서, 본 개시내용에 따라 처리될 기판들, 폴리머들 또는 폴리머 층들은, 2개 이상의 대역폭들의 증가된 반응도 또는 흡수(absorption)를 보여주는, 기판들, 폴리머들 또는 폴리머 층들을 경화시키기에 적절한 2개 이상의 대역폭들 또는 주파수들의 범위들을 포함하는 마이크로파 에너지에 노출된다. 대역폭들 및 그 내부의 특정 주파수들은 경화를 위해 미리 선택될 수 있다. 104에서, 폴리머 층을 경화시키기 위해 복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들을 식별하기 위한 결정이 행해진다. 실시예들에서, 재료들, 이를테면, 기판, 폴리머들 또는 폴리머 층들의 흡수 대역들이 조사(investigate)되어, 어느 마이크로파 에너지 대역폭들 또는 마이크로파 에너지 주파수들이 효율적인 경화를 촉진할지가 결정되고, 관심 기판, 폴리머 또는 폴리머 층 내로 흡수되지 못하거나 또는 흡수되는 데 덜 효율적인 마이크로파 에너지 대역폭들 또는 마이크로파 에너지 주파수들이 배제된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층들의 흡수 대역들은, Rubrice 등에 대해 Dielectric Characteristics and Microwave Absorption of Graphene Composite Materials(Materials 9,825)(2016)에서 설명된 것들과 같은 재료의 마이크로파 흡수 특성들을 결정하는, 기술분야에서 알려진 방법들을 이용하여 평가된다. 실시예들에서, 기판, 폴리머 또는 폴리머 층에서의 마이크로파 반사 및 흡수를 측정하는 것은, 폴리머 층을 경화시키기에 적절한 복수의 불연속 마이크로파 에너지 대역폭들을 결정하거나 또는 미리 결정하기 위한 세부사항들을 제공한다. 실시예들에서, 기판, 폴리머 또는 폴리머 층에서의 마이크로파 반사 및 흡수를 측정하는 것은, 폴리머 층을 경화시키기에 적절한 복수의 불연속 마이크로파 에너지 주파수들을 결정하거나 또는 미리 결정하기 위한 세부사항들을 제공한다. 본 개시내용에 따르면, 2개 이상의 또는 복수의 불연속 마이크로파 에너지 대역폭들은 대역폭들 사이에 하나 이상의 갭들을 갖는 대역폭들을 지칭한다. 예컨대, 불연속 마이크로파 에너지 대역폭들은 저주파수 범위에서의 제1 대역폭 및 제2 주파수 범위에서의 제2 대역폭을 가질 수 있으며, 여기서, 제1 대역폭과 제2 대역폭은 겹치지 않으며 주파수 범위 제한치를 공유하지 않는다. 불연속 마이크로파 에너지 대역폭들의 비-제한적인 예들은 5.25 GHz 내지 약 5.85 GHz에서의 제1 대역폭 그리고 5.95 GHz 및 6.22 GHz에서의 제2 대역폭, 또는 실시예들에서, 5.25 GHz 내지 약 5.85 GHz에서의 제1 대역폭, 5.95 GHz 및 6.22 GHz에서의 제2 대역폭, 그리고 6.4 GHz 내지 6.88 GHz에서의 제3 대역폭을 포함한다. 이들 예들 각각에서, 열거된 대역폭들 또는 주파수 범위들 사이의 주파수들에서의 마이크로파 에너지는 본 개시내용에 따른 경화 동안 제공되지 않는다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 대역폭들을 포함한다.
[0025] 본 개시내용에 따르면, 2개 이상의 또는 복수의 불연속 마이크로파 에너지 주파수들은 주파수들 사이에 하나 이상의 갭들을 갖는 주파수들을 지칭한다. 예컨대, 불연속 마이크로파 에너지 주파수들은 제2 주파수보다 낮은 주파수에서의 제1 주파수를 가질 수 있으며, 여기서, 제1 주파수와 제2 주파수는 전자기 스펙트럼 상에서 서로 인접하지 않고 중첩되지 않는다. 불연속 마이크로파 에너지 주파수들의 비-제한적인 예들은 5.25 GHz에서의 제1 주파수 및 5.95 GHz에서의 제2 주파수, 또는 실시예들에서, 5.27 GHz에서의 제1 주파수, 5.97 GHz에서의 제2 주파수 및 6.4 GHz에서의 제3 주파수를 포함한다. 이들 예들 각각에서, 열거된 주파수들 사이의 주파수들에서의 마이크로파 에너지는 본 개시내용에 따른 경화 동안 제공되지 않는다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 주파수들을 포함한다.
[0026] 재료 흡수 특성들에 기반하여, 당업자는 흡수 대역들을 넓은 주파수 범위의 마이크로파 공급부와 상관시킬 수 있고, 본 개시내용에 따라 사용하기에 적절한 입사 불연속 마이크로파 에너지 주파수들 및/또는 불연속 마이크로파 에너지 대역폭들을 결정 또는 선택할 수 있다. 예컨대, 106에서, 프로세스 시퀀스는 본 개시내용에 따른 경화에 적절한 복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들을 선택하는 것을 포함한다. 실시예들에서, 선택된 불연속 마이크로파 에너지 대역폭들 또는 주파수들은 고도로 흡수되는 대역폭들 또는 주파수들을 포함하고, 관심 기판 또는 폴리머에 의해 잘 흡수되지 않는 대역폭들 또는 주파수들을 배제한다.
[0027] 108에서, 기판, 폴리머 또는 폴리머 층을 경화시키기 위해, 기판, 폴리머 또는 폴리머 층은 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 접촉된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 대역폭들을 포함하는 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 접촉된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 주파수들을 포함하는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 접촉된다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 기판, 폴리머 또는 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서로, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 호핑(hopping)하는 단계를 더 포함한다. 예컨대, 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이의 갭들에 마이크로파 에너지를 제공하지 않으면서, 미리 결정된 순서로, 이러한 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 호핑함으로써, 경화가 수행될 수 있다.
[0028] 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 200 ℃ 미만, 이를테면, 100 ℃ 내지 200 ℃의 온도에서 경화된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 1분 내지 180분, 이를테면, 1분 내지 60분 내에 경화된다. 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과의 접촉은 제1 온도까지 기판(예컨대, 반도체 기판), 폴리머 또는 폴리머 층을 가열하기 위해 기판, 폴리머 또는 폴리머 층을 가열할 것이다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 약 실온(예컨대, 약 25 ℃)으로부터 약 100 ℃ 내지 약 200 ℃의 제1 온도(즉, 소크 온도(soak temperature))까지 가열된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은, 폴리머 층 내의 임의의 잔류 용매들을 제거하기 위해 가열된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 초당 약 0.01 ℃ 내지 약 4 ℃, 이를테면, 초당 약 2 ℃의 제1 레이트로 실온으로부터 제1 온도까지 가열된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 임의의 잔류 용매들을 제거하기에 충분한 제1 시간 기간 동안 제1 온도로 유지된다. 일부 실시예들에서, 제1 시간 기간은 약 1분 내지 약 180분, 이를테면, 1분 내지 60분이다. 더욱이, 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은, 기판, 폴리머 또는 폴리머 층의 재료 특성들을 조절하거나 또는 제어하기 위해 선택된 제1 시간 기간 동안 제1 온도로 유지된다.
[0029] 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층의 온도는, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들로서 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들로서 기판, 폴리머 또는 폴리머 층에 인가되는 마이크로파 에너지의 양(amount)에 의해 제어된다. 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들의 미리 선택은 마이크로파 에너지를 폴리머, 폴리머 층 및/또는 반도체 기판에 효율적으로 인가한다.
[0030] 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 약 300 GHz 내지 300 MHz 범위의 마이크로파 주파수들을 갖는 소스로부터 미리 선택된 마이크로파 에너지를 받는다. 예컨대, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 300 GHz 내지 300 MHz 범위의 마이크로파 주파수들로 제공된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 마이크로파 에너지를 받으며, 여기서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 약 5.85 GHz 내지 약 6.65 GHz 범위의 마이크로파 주파수들을 갖는 넓은 C-대역 소스로부터 나온다. 일부 실시예들에서, 스위프 레이트(sweep rate)는 C-대역의 4096개의 주파수들에 걸쳐 주파수당 약 25.0 마이크로초(microsecond) 내지 주파수당 1000 마이크로초이다.
[0031] 일부 실시예들에서, 109에서, 기판, 폴리머 또는 폴리머 층의 재료 특성들은 선택적으로, 상이한 조절 노브들을 조정함으로써 추가로 조절될 수 있다. 조절 목적들을 위해 조정될 수 있는 예시적인 노브들/제어기들은 다음의 챔버 프로세싱 파라미터들: 주파수, 전력, 온도, 압력, 도파관 구성, 챔버 구성, 챔버 내의 마이크로파 분포를 조절하기 위한 보조 하드웨어 등을 제어하는 제어부들을 포함할 수 있다. 일부 실시예들에서, 가변 마이크로파 주파수 또는 다른 챔버 프로세싱 파라미터들은 기판의 특정 컴포넌트(들)(즉, 특정 층, 또는 기판 또는 폴리머 층들 상에 형성된 특정 구조 등) 또는 프로세스 챔버 자체를 선택적으로 가열하도록 조절될 수 있다. 일부 실시예들에서, 본원에서 설명되는 바와 같은 가변 주파수 마이크로파는 기판 또는 폴리머에서 화학 작용기들, 또는 미리 선택된 화학 작용기들 또는 나노입자들을 활성화시키기에 적절하다. 일부 실시예들에서, 본원에서 설명되는 바와 같은 가변 주파수 마이크로파는 에폭시에서 화학 작용기들, 또는 미리 선택된 화학 작용기들 또는 나노입자들을 활성화시키기에 적절하다. 실시예들에서, 마이크로파는 미리 결정된 불연속 패턴으로 마이크로파 에너지의 대역폭들 또는 주파수를 변화시키는 노브들을 포함할 수 있다.
[0032] 110에서, 추가적인 폴리머 층들이 형성되어야 하는 경우, 방법은 102로 돌아가며, 모든 층들이 형성되고 그리고 반도체 구조를 형성하기 위해 원하는 특성들로 조절될 때까지 다시 반복된다. 110에서, 어떠한 추가적인 폴리머 층들도 형성되지 않을 경우, 방법은 112에서 종료된다.
[0033] 방법(100)은 유리하게, 경화된 기판, 폴리머 또는 폴리머 층들을 갖는 반도체 구조들을 생성하며, 그리고 조절될 수 있는 전기적 재료 특성들(유전 상수, 손실 팩터, 손실 탄젠트, 항복 전압 등), 조절될 수 있는 기계적 재료 특성들(예컨대, 연신율, 모듈러스, 인장 강도 등), 조절될 수 있는 열적 재료 특성들(CTE, 열 전도율, 5% 중량 손실, 열적 안정성 등), 및 조절될 수 있는 화학적 재료 특성들(다양한 화학 물질에 대한 내성)을 가질 수 있다.
[0034] 일부 실시예들에서, 위에서 설명된 방법들은 본원에서 설명되는 바와 같은 가변 마이크로파 주파수를 사용하여 기판 상에 복수의 폴리머 층들을 형성하기 위해 사용될 수 있으며, 여기서, 복수의 폴리머 층들 각각은 경화되며, 적어도 하나의 베이스 유전체 재료 및 적어도 하나의 마이크로파 조절가능 재료를 포함할 수 있으며, 그리고 상이한 가변 주파수 마이크로파 에너지가 복수의 폴리머 층들 각각에 인가되어서, 복수의 폴리머 층들 각각은 인접 층과 상이한 재료 특성들을 나타내도록 조절되었다.
[0035] 도 2는 위에서 설명된 방법(100)을 수행하기 위한 적절한 마이크로파 프로세싱 챔버(200)를 도시한다. 예컨대, 마이크로파 프로세싱 챔버(200)는, 기판, 폴리머 또는 폴리머 층을 경화시키기에 충분한 복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 불연속 마이크로파 에너지 주파수들과 기판, 폴리머 또는 폴리머 층을 접촉시키도록 구성될 수 있다. 일부 실시예들에서, 마이크로파 프로세싱 챔버(200)는 원통형 또는 일부 실시예들에서 팔각형 바디, 이를테면, 바디(202)를 포함한다. 일부 실시예들에서, 바디(202)는 마이크로파 챔버로서 사용하기에 충분한 두께를 갖는다. 일부 실시예들에서, 바디(202)는 원통형 또는 팔각형 캐비티, 이를테면, 제1 볼륨(206)을 갖는 캐비티(204)를 포함한다. 하나 이상의 기판들(210), 폴리머들 또는 폴리머 층들, 예컨대, 마이크로파 경화될 재료들을 갖는 반도체 웨이퍼들 또는 다른 기판들이 경화 동작들 동안 캐비티(204) 내에 배치될 수 있다. 바디(202)의 최상부(218)는 제1 볼륨(206)을 밀봉하기 위한 덮개(220)를 갖는다. 일부 실시예들에서, 최상부(218)는 덮개를 포함하지 않으며, 마이크로파 에너지를 격리시키기 위해 도어가 금속 메시에 제공될 수 있다. 일부 실시예들에서, 도파관(209)이 덮개(220) 또는 최하부로부터 챔버에 진입할 수 있다. 일부 실시예들에서, 라이너(211)가 제1 볼륨(206)을 둘러싸도록 포함될 수 있다. 실시예들에서, 라이너는 원통형 또는 팔각형이며, 제1 볼륨(206) 내의 마이크로파 에너지를 감쇠시키거나 또는 변조하도록 구성된다. 실시예들에서, 라이너(211)는 기판들(210), 폴리머들 또는 폴리머 층들의 열 조건들을 증가시키도록 구성된다.
[0036] 일부 실시예들에서, 바디(202)는, 본 개시내용에 따라 기판들 또는 폴리머들을 경화시키기에 충분한 복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 불연속 마이크로파 에너지 주파수들을 포함하는 가변 주파수 마이크로파 에너지를 수신하기에 적절하다. 바디(202)는 제1 볼륨(206)에 유동적으로 커플링된 복수의 개구들(208) 또는 최상부 개구들(207)을 더 포함한다. 실시예들에서, 복수의 개구들(208) 또는 최상부 개구(207)는 가스 유동을 변경하기 위해 상이한 홀 사이즈들일 수 있고, 덮개 및/또는 바디(202)를 통해 연장될 수 있다. 일부 실시예들에서, 복수의 개구들(208)은 제1 볼륨(206)으로의 마이크로파 에너지의 전달을 가능하게 한다. 복수의 개구들(208)은 본 개시내용에 따라 기판, 폴리머 또는 폴리머 층을 경화시키기에 충분한 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들을 제공하도록 구성된 마이크로파 소스와 같은 적절한 가변 주파수 마이크로파 소스(238)에 커플링된다. 일부 실시예들에서, 각각의 개구(208)는 직사각형일 수 있다. 일부 실시예들에서, 각각의 개구(208)는 제1 볼륨(206)을 향하는 개구 측에서 개구를 확대시키는 각진(angled) 측벽들을 포함할 수 있다. 일부 실시예들에서, 개구들(208)은 바디(202)를 따라 스태거링되거나 또는 이격된다. 일부 실시예들에서, 바디(202)는 4개의 개구들(208)을 포함하며, 여기서, 4개의 개구들(208) 중 2개는 바디(202)를 따라 서로 대향하게 배치되고, 다른 2개의 개구들(208)은, 바디(202)를 따라 서로 대향하지만 처음 2개의 개구들(208)에는 대향하지 않게 배치된다. 일부 실시예들에서, 각각의 개구(208)는 바디(202)를 따르는 단일 개구이다. 일부 실시예에서, 각각의 개구(208)는 바디(202)를 따라 다수의 개구들을 포함한다.
[0037] 일부 실시예들에서, 바디(202)는 제1 볼륨(206)에 유동적으로 커플링된 하나 이상의 포트들(212)을 포함한다. 제1 볼륨(206) 내의 하나 이상의 반도체 기판들의 온도를 측정하기 위해, 하나 이상의 온도 센서들(214, 216)이 포트들(212) 내에 배치된다. 온도 센서들(214, 216)은 PID 제어기(236)에 커플링되고, PID 제어기(236)는 마이크로파 프로세싱 챔버(200)에 공급되는 마이크로파 전력의 양을 제어하기 위해 가변 주파수 마이크로파 소스(238)에 커플링된다. 실시예들에서, 프로세스 챔버 내의 웨이퍼 지지부들 또는 다른 컴포넌트들에 대한 부착에 의해 IR 센서들, 열전대들/광섬유들을 이용하여 온도 제어가 달성될 수 있다. 일부 실시예들에서, 방법(100)을 수행하기에 적절한 진공을 제1 볼륨(206) 내에 생성하기 위해, 배기 포트(미도시)가 바디(202)에 커플링되고 제1 볼륨(206)에 유동적으로 커플링될 수 있다.
[0038] 일부 실시예들에서, 마이크로파 프로세싱 챔버(200)는 하부 챔버(224)를 갖는 기판 이송 장치(222)를 더 포함한다. 하부 챔버(224)는 바디(202) 아래에 배치되고, 바디(202)에 커플링된다. 하부 챔버(224)는 하나 이상의 기판들(210)(이를테면, 반도체 기판들, 폴리머 또는 폴리머 층들)을 홀딩하는 제2 볼륨(226)을 포함한다. 제2 볼륨(226)은 제1 볼륨(206)에 유동적으로 커플링된다. 일부 실시예들에서, 하나 이상의 기판들(210), 이를테면, 폴리머들 또는 폴리머 층들은 스택 구성으로 서로 평행하게 정렬된다.
[0039] 하부 챔버(224)로부터 캐비티(204)의 제1 볼륨(206) 내로 하나 이상의 기판들(210)을 리프팅하기 위해 리프트 메커니즘(228)이 제공된다. 리프트 메커니즘(228)은 액추에이터, 모터 등과 같은 임의의 적절한 리프트 메커니즘일 수 있다. 일부 실시예들에서, 리프트 메커니즘(228)은, 하부 챔버(224)에 배치되거나 또는 캐비티(204)의 제1 볼륨(206) 내로 이동될 수 있는 기판 지지부(230)에 커플링된다.
[0040] 일단 하나 이상의 기판들(210)이 캐비티(204)의 제1 볼륨(206) 내로 상승되면, 마이크로파들의 탈출을 방지하고 제1 볼륨(206) 내의 미리 결정된 압력을 유지하기 위해, 기판 지지부(230)에 커플링된 하부 플레이트(232)가 캐비티(204)의 제1 볼륨(206)으로부터 하부 챔버(224)의 제2 볼륨(226)을 밀봉한다. 하부 플레이트(232)는, 하부 플레이트(232)와 어댑터(234) 사이에 갭이 없거나 또는 최소 갭이 있어서 제1 볼륨(206)을 밀봉하도록, 어댑터(234)에 대해 맞대어지거나(butt up against) 또는 어댑터(234)와 정합한다. 어댑터(234)는 하부 챔버(224)의 내부 표면에 커플링된다.
[0041] 도 3은 본 개시내용의 일부 실시예들에 따른, 기판, 폴리머 또는 폴리머 층을 경화시키는 방법에 대한 흐름도를 도시한다. 일부 실시예들에서, 가변 마이크로파 주파수를 사용하여 기판, 폴리머, 또는 기판 상의 폴리머 층을 경화시키는 방법(300)은 선택적으로, 기판 상에 폴리머 층을 형성하는 단계를 포함할 수 있다. 실시예들에서, 방법(300)은, 302에서, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계로 시작된다. 일부 실시예들에서, 기판 또는 폴리머, 이를테면, 폴리머 층은 500 ℃ 미만 또는 200 ℃ 미만, 이를테면, 50 ℃ 내지 200 ℃의 온도에서 경화된다. 일부 실시예들에서, 기판 또는 폴리머, 이를테면, 폴리머 층은 1분 내지 60분 내에 경화된다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들은 2개 내지 20개, 또는 5개 내지 10개의 미리 결정된 불연속 마이크로파 에너지 대역폭들을 포함한다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 2개 내지 20개, 또는 5개 내지 10개의 미리 결정된 불연속 마이크로파 에너지 주파수들을 포함한다. 일부 실시예들에서, 기판 또는 폴리머, 이를테면, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서로, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 호핑하는 단계를 더 포함한다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서로, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 폴리머 층의 적어도 하나의 재료 특성은, 하나 이상의 조절 노브들을 조정함으로써 조절된다. 실시예들에서, 본 개시내용의 방법들을 수행하도록 구성된 마이크로파는 주파수, 전력, 온도, 압력, 도파관 구성, 챔버 구성 또는 챔버 내 마이크로파 분포 중 적어도 하나를 조정하도록 구성된 조절 노브들을 포함한다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 300 GHz 내지 300 MHz 범위의 마이크로파 주파수들로 제공된다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 폴리머 층을 접촉시키는 것은 약 100 ℃ 내지 약 200 ℃에서 수행된다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 주파수당 약 25.0 마이크로초 내지 주파수당 1000 마이크로초의 스위프 레이트로 제공된다. 일부 실시예들에서, 경화는 진공 하에 마이크로파 프로세싱 챔버 내에서 수행된다. 일부 실시예들에서, 폴리머 층은 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB) 중 하나로 형성된 유기 유전체 재료, 또는 옥사이드, 옥시나이트라이드, 나이트라이드 또는 카바이드 중 하나로 형성된 무기 유전체 재료 중 하나이다.
[0042] 일부 실시예들에서, 방법들은, 폴리머 층을 경화시키기 위해 복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들을 결정하는 단계를 더 포함한다. 일부 실시예들에서, 방법들은, 복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들을 선택하는 단계를 더 포함한다.
[0043] 도 4는 도 2에 예시된 바와 같이 본 개시내용에 따라 사용하도록 구성된 마이크로파 프로세싱 챔버(200) 및/또는 증착 프로세싱 챔버들(101) 중 하나 이상을 포함하는 예시적인 통합 시스템(400)의 개략적인 평면도이다. 일부 실시예들에서, 통합 시스템(400)은 캘리포니아주 산타 클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수가능한 CENTURA® 통합 프로세싱 시스템일 수 있다. (다른 제조자들로부터의 프로세싱 시스템들을 포함하는) 다른 프로세싱 시스템들이 본 개시내용으로부터 이익을 얻도록 구성될 수 있다.
[0044] 일부 실시예들에서, 통합 시스템(400)은 진공-밀폐 프로세싱 플랫폼, 이를테면, 프로세싱 플랫폼(404), 팩토리 인터페이스(402) 및 시스템 제어기(444)를 포함한다. 프로세싱 플랫폼(404)은 적어도 하나의 증착 프로세싱 챔버(101), 적어도 하나의 마이크로파 프로세싱 챔버(200), 이를테면, 도 2로부터 도시된 마이크로파 프로세싱 챔버(200), 그리고 선택적으로, 복수의 프로세싱 챔버들(428, 420, 410), 및 진공 기판 이송 챔버, 이를테면, 이송 챔버(436)에 커플링되는 적어도 하나의 로드 락 챔버(422)를 포함한다. 2개의 로드 락 챔버들(422)이 도 4에 도시된다. 팩토리 인터페이스(402)는 로드 락 챔버들(422)에 의해 이송 챔버(436)에 커플링된다.
[0045] 일 실시예에서, 팩토리 인터페이스(402)는 기판들의 이송을 가능하게 하기 위해 적어도 하나의 도킹 스테이션(408) 및 적어도 하나의 팩토리 인터페이스 로봇(414)을 포함한다. 도킹 스테이션(408)은 하나 이상의 FOUP(front opening unified pod)를 수용하도록 구성된다. 2개의 FOUP들(406A-406B)이 도 4의 실시예에 도시된다. 팩토리 인터페이스 로봇(414)의 일 단부 상에 배치된 블레이드(416)를 갖는 팩토리 인터페이스 로봇(414)은 로드 락 챔버들(422)을 통한 프로세싱을 위해 팩토리 인터페이스(402)로부터 프로세싱 플랫폼(404)으로 기판을 이송하도록 구성된다. 선택적으로, 하나 이상의 프로세싱 챔버들(410, 420, 428), 증착 프로세싱 챔버(101), 마이크로파 프로세싱 챔버(200)는 FOUP들(406A-406B)로부터의 기판의 프로세싱을 가능하게 하기 위해 팩토리 인터페이스(402)의 터미널(426)에 연결될 수 있다.
[0046] 로드 락 챔버들(422) 각각은 팩토리 인터페이스(402)에 커플링된 제1 포트 및 이송 챔버(436)에 커플링된 제2 포트를 갖는다. 로드 락 챔버들(422)은 압력 제어 시스템(미도시)에 커플링되고, 이러한 압력 제어 시스템은, 이송 챔버(436)의 진공 환경과 실질적으로 팩토리 인터페이스(402)의 주변(예컨대, 대기) 환경 사이에서 기판을 통과시키는 것을 가능하게 하기 위해 로드 락 챔버들(422)을 펌핑 다운(pump down)하고 통기시킨다.
[0047] 이송 챔버(436)는 그 내부에 배치된 진공 로봇(430)을 갖는다. 진공 로봇(430)은 로드 락 챔버들(422), 증착 프로세싱 챔버(101), 마이크로파 프로세싱 챔버(200) 및 프로세싱 챔버들(410, 420 및 428) 사이에서 기판들(401)을 이송할 수 있는 블레이드(434)를 갖는다.
[0048] 통합 시스템(400)의 일부 실시예들에서, 통합 시스템(400)은 증착 프로세싱 챔버(101), 그리고 다른 프로세싱 챔버들(410, 420, 428), 마이크로파 프로세싱 챔버(200)를 포함할 수 있다. 일부 실시예들에서, 프로세싱 챔버들(410, 420, 428)은 증착 챔버, 에칭 챔버, 열 프로세싱 챔버 또는 다른 유사한 타입의 반도체 프로세싱 챔버일 수 있다.
[0049] 시스템 제어기(444)는 통합 시스템(400)에 커플링된다. 컴퓨팅 디바이스(441)를 포함할 수 있거나 또는 컴퓨팅 디바이스(441) 내에 포함될 수 있는 시스템 제어기(444)는 통합 시스템(400)의 프로세싱 챔버들(410, 420, 428), 증착 프로세싱 챔버(101), 마이크로파 프로세싱 챔버(200)의 직접 제어를 사용하여 통합 시스템(400)의 동작을 제어한다. 대안적으로, 시스템 제어기(444)는 프로세싱 챔버들(410, 420, 428), 증착 프로세싱 챔버(101), 마이크로파 프로세싱 챔버(200) 및 통합 시스템(400)과 연관된 컴퓨터들(또는 제어기들)을 제어할 수 있다. 동작 시에, 시스템 제어기(444)는 또한, 통합 시스템(400)의 성능을 최적화하기 위해, 개개의 챔버들 및 프로세싱 챔버들, 이를테면, 증착 프로세싱 챔버(101) 및/또는 마이크로파 프로세싱 챔버(200)로부터의 데이터 수집 및 피드백을 가능하게 한다.
[0050] 시스템 제어기(444)는 일반적으로 CPU(central processing unit)(438), 메모리(440) 및 지원 회로들(442)을 포함한다. CPU(438)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로들(442)은 통상적으로 CPU(438)에 커플링되며, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등을 포함할 수 있다. 소프트웨어 루틴들은 CPU(438)를 특정 목적 컴퓨터(시스템 제어기)(444)로 변환한다. 소프트웨어 루틴들은 또한, 통합 시스템(400)으로부터 원격으로 위치되는 제2 제어기(미도시)에 의해 실행 및/또는 저장될 수 있다.
[0051] 일부 실시예들에서, 본 개시내용은 통합 시스템을 포함하며, 이러한 통합 시스템은, 진공 기판 이송 챔버; 진공 기판 이송 챔버에 커플링된 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 불연속 마이크로파 주파수들과 폴리머를 접촉시키도록 구성된 가변 주파수 마이크로파 챔버; 및 진공 기판 이송 챔버에 커플링된 추가 챔버를 포함하며, 통합 시스템은, 진공 하에 가변 주파수 마이크로파 챔버로부터 추가 챔버로 폴리머를 이동시키도록 구성된다. 일부 실시예들에서, 추가 챔버는 폴리머들 또는 폴리머 층들을 증착하도록 구성된 증착 챔버이다.
[0052] 일부 실시예들에서, 본 개시내용은 명령들이 저장되어 있는 컴퓨터 판독가능 매체를 포함하며, 명령들은, 실행될 때, 가변 주파수 마이크로파 프로세스 챔버로 하여금, 기판 상에 폴리머 층을 형성하는 단계; 및 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 폴리머 층을 접촉시키는 단계를 포함하는 방법을 수행하게 한다.
[0053] 일부 실시예들에서, 본 개시내용은 가변 주파수 마이크로파 프로세스 챔버를 포함하며, 가변 주파수 마이크로파 프로세스 챔버는, 기판 상에 폴리머 층을 형성하도록; 그리고 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 폴리머 층을 접촉시키도록 구성된다.
[0054] 일부 실시예들에서, 본 개시내용은 가변 마이크로파 주파수를 사용하여 기판, 폴리머, 또는 기판 상의 폴리머 층을 경화시키는 방법에 관한 것으로, 방법은, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판, 폴리머 또는 폴리머 층을 접촉시키는, 예컨대, 마이크로파 에너지를 기판, 폴리머 또는 폴리머 층에 전달하는 단계를 포함한다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 200 ℃ 미만의 온도에서 경화된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 1분 내지 60분 내에 경화된다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 대역폭들을 포함한다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 주파수들을 포함한다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 기판, 폴리머 또는 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서로, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판, 폴리머 또는 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서로, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 기판, 폴리머 또는 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판, 폴리머 또는 폴리머 층을 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층의 적어도 하나의 재료 특성은, 하나 이상의 조절 노브들을 조정함으로써 조절된다. 일부 실시예들에서, 폴리머 층을 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판, 폴리머 또는 폴리머 층을 접촉시키는 것은 약 100 ℃ 내지 약 500 ℃에서 수행된다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층을 접촉시키는 단계는, 진공 하에 마이크로파 프로세싱 챔버 내에서 마이크로파 에너지를 기판, 폴리머 또는 폴리머 층에 전달하는 단계를 포함한다. 일부 실시예들에서, 기판, 폴리머 또는 폴리머 층은 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB) 중 하나로 형성된 유기 유전체 재료, 또는 옥사이드, 옥시나이트라이드, 나이트라이드 또는 카바이드 중 하나로 형성된 무기 유전체 재료 중 하나이다.
[0055] 일부 실시예들에서, 가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법은, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계를 포함한다. 일부 실시예들에서, 기판 또는 폴리머는 200 ℃ 미만의 온도에서 경화된다. 일부 실시예들에서, 기판 또는 폴리머는 1분 내지 180분 내에 경화된다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 대역폭들을 포함한다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 주파수들을 포함한다. 일부 실시예들에서, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서로, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서로, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 홉핑하는 단계를 더 포함한다. 일부 실시예들에서, 기판 또는 폴리머의 적어도 하나의 재료 특성은, 주파수, 전력, 온도, 압력, 도파관 구성, 챔버 구성 또는 챔버 내 마이크로파 분포 중 적어도 하나를 조정하도록 구성된 하나 이상의 조절 노브들을 조정함으로써 조절된다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 300 GHz 내지 300 MHz 범위의 마이크로파 주파수들로 제공된다. 일부 실시예들에서, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 것은 약 100 ℃ 내지 약 500 ℃에서 수행된다. 일부 실시예들에서, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 주파수당 약 25.0 마이크로초 내지 주파수당 1000 마이크로초의 스위프 레이트로 제공된다. 일부 실시예들에서, 기판 또는 폴리머를 접촉시키는 단계는, 진공 하에 마이크로파 프로세싱 챔버 내에서 마이크로파 에너지를 기판 또는 폴리머에 전달하는 단계를 포함한다. 일부 실시예들에서, 기판 또는 폴리머는 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB) 중 하나로 형성된 유기 유전체 재료, 또는 옥사이드, 옥시나이트라이드, 나이트라이드 또는 카바이드 중 하나로 형성된 무기 유전체 재료 중 하나이다. 일부 실시예들에서, 폴리머는 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB)이다.
[0056] 일부 실시예들에서, 본 개시내용은 기판 프로세싱 시스템에 관한 것으로, 기판 프로세싱 시스템은, 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 불연속 마이크로파 주파수들과 폴리머를 접촉시키도록 구성된 가변 주파수 마이크로파 챔버를 포함한다. 일부 실시예들에서, 기판 프로세싱 시스템은, 진공 기판 이송 챔버 ―가변 주파수 마이크로파 챔버는 진공 기판 이송 챔버에 커플링됨―; 및 진공 기판 이송 챔버에 커플링된 추가 챔버를 더 포함하며, 기판 프로세싱 시스템은, 진공 하에 가변 주파수 마이크로파 챔버로부터 추가 챔버로 폴리머를 이동시키도록 구성된다.
[0057] 일부 실시예들에서, 본 개시내용은 명령들이 저장되어 있는 컴퓨터 판독가능 매체에 관한 것으로, 명령들은, 실행될 때, 가변 주파수 마이크로파 프로세스 챔버로 하여금 방법을 수행하게 하며, 방법은, 기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 기판 또는 폴리머를 접촉시키는 단계를 포함한다.
[0058] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않으면서, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있다.

Claims (20)

  1. 가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법으로서,
    기판 또는 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 상기 기판 또는 폴리머를 접촉시키는 단계
    를 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  2. 제1 항에 있어서,
    상기 기판 또는 폴리머는 200 ℃ 미만의 온도에서 경화되는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  3. 제1 항에 있어서,
    상기 기판 또는 폴리머는 1분 내지 180분 내에 경화되는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  4. 제1 항에 있어서,
    상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 대역폭들을 포함하거나, 또는 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 2개 내지 20개의 미리 결정된 불연속 마이크로파 에너지 주파수들을 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  5. 제1 항에 있어서,
    상기 기판 또는 폴리머를 경화시키기 위해, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 상기 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서로, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 호핑(hopping)하는 단계를 더 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  6. 제1 항에 있어서,
    상기 기판 또는 폴리머를 경화시키기 위해, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 상기 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서로, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 호핑하는 단계를 더 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  7. 제1 항에 있어서,
    상기 기판 또는 폴리머를 경화시키기 위해, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들과 상기 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 사이에서 호핑하는 단계를 더 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  8. 제1 항에 있어서,
    상기 기판 또는 폴리머를 경화시키기 위해, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 상기 기판 또는 폴리머를 접촉시키는 단계는, 미리 결정된 순서 및 미리 결정된 지속기간으로, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들 사이에서 호핑하는 단계를 더 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  9. 제1 항에 있어서,
    상기 기판 또는 폴리머의 적어도 하나의 재료 특성은, 주파수, 전력, 온도, 압력, 도파관 구성, 챔버 구성 또는 챔버 내 마이크로파 분포 중 적어도 하나를 조정하도록 구성된 하나 이상의 조절 노브들을 조정함으로써 조절되는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  10. 제1 항 내지 제9 항 중 어느 한 항에 있어서,
    상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 300 GHz 내지 300 MHz 범위의 마이크로파 주파수들로 제공되는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  11. 제1 항 내지 제9 항 중 어느 한 항에 있어서,
    상기 기판 또는 폴리머를 경화시키기 위해, 상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들과 상기 기판 또는 폴리머를 접촉시키는 단계는, 약 100 ℃ 내지 약 500 ℃에서 수행되는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  12. 제1 항 내지 제9 항 중 어느 한 항에 있어서,
    상기 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 상기 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들은 주파수당 약 25.0 마이크로초(microsecond) 내지 주파수당 1000 마이크로초의 스위프 레이트(sweep rate)로 제공되는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  13. 제1 항 내지 제9 항 중 어느 한 항에 있어서,
    상기 기판 또는 폴리머를 접촉시키는 단계는, 진공 하에 마이크로파 프로세싱 챔버 내에서 마이크로파 에너지를 상기 기판 또는 폴리머에 전달하는 단계를 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  14. 제1 항 내지 제9 항 중 어느 한 항에 있어서,
    상기 기판 또는 폴리머는 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB) 중 하나로 형성된 유기 유전체 재료, 또는 옥사이드, 옥시나이트라이드, 나이트라이드 또는 카바이드 중 하나로 형성된 무기 유전체 재료 중 하나인,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  15. 제1 항 내지 제9 항 중 어느 한 항에 있어서,
    상기 폴리머는 폴리이미드(PI), 폴리(p-페닐렌 벤조비속사졸)(PBO), 페놀 수지, 에폭시 또는 벤조사이클로부텐(BCB)인,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  16. 제1 항 내지 제9 항 중 어느 한 항에 있어서,
    상기 기판 또는 폴리머를 경화시키기 위해 복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들을 결정하는 단계를 더 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  17. 제16 항에 있어서,
    복수의 불연속 마이크로파 에너지 대역폭들 또는 복수의 미리 결정된 불연속 마이크로파 에너지 주파수들을 선택하는 단계를 더 포함하는,
    가변 마이크로파 주파수를 사용하여 기판 또는 폴리머를 경화시키는 방법.
  18. 기판 프로세싱 시스템으로서,
    가변 주파수 마이크로파 챔버를 포함하며, 상기 가변 주파수 마이크로파 챔버는, 사용 동안 상기 챔버 내에 배치된 폴리머를 경화시키기 위해, 복수의 미리 결정된 불연속 마이크로파 에너지 대역폭들 또는 불연속 마이크로파 주파수들과 상기 폴리머를 접촉시키도록 구성되는,
    기판 프로세싱 시스템.
  19. 제18 항에 있어서,
    진공 기판 이송 챔버 ―상기 가변 주파수 마이크로파 챔버는 상기 진공 기판 이송 챔버에 커플링됨―; 및
    상기 진공 기판 이송 챔버에 커플링된 추가 챔버
    를 더 포함하며,
    상기 기판 프로세싱 시스템은 진공 하에 상기 가변 주파수 마이크로파 챔버로부터 상기 추가 챔버로 상기 폴리머를 이동시키도록 구성되는,
    기판 프로세싱 시스템.
  20. 명령들이 저장되어 있는 컴퓨터 판독가능 매체로서,
    상기 명령들은, 실행될 때, 가변 주파수 마이크로파 프로세스 챔버로 하여금, 제1 항 내지 제9 항 중 어느 한 항에 따른 방법을 수행하게 하는,
    컴퓨터 판독가능 매체.
KR1020227003699A 2019-07-07 2020-07-02 폴리머 재료들의 마이크로파 프로세싱을 위한 방법들 및 장치 KR20220034148A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962871181P 2019-07-07 2019-07-07
US62/871,181 2019-07-07
US16/919,736 2020-07-02
US16/919,736 US20210001520A1 (en) 2019-07-07 2020-07-02 Methods and apparatus for microwave processing of polymer materials
PCT/US2020/040708 WO2021007105A1 (en) 2019-07-07 2020-07-02 Methods and apparatus for microwave processing of polymer materials

Publications (1)

Publication Number Publication Date
KR20220034148A true KR20220034148A (ko) 2022-03-17

Family

ID=74066679

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227003699A KR20220034148A (ko) 2019-07-07 2020-07-02 폴리머 재료들의 마이크로파 프로세싱을 위한 방법들 및 장치

Country Status (6)

Country Link
US (1) US20210001520A1 (ko)
JP (1) JP2022540080A (ko)
KR (1) KR20220034148A (ko)
CN (1) CN114051448A (ko)
TW (1) TW202129758A (ko)
WO (1) WO2021007105A1 (ko)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5721286A (en) * 1991-11-14 1998-02-24 Lockheed Martin Energy Systems, Inc. Method for curing polymers using variable-frequency microwave heating
IT1264960B1 (it) * 1993-11-11 1996-10-17 Eniricerche Spa Composizioni isocianato/epossido polimerizzabili mediante microonde per applicazioni tecnicamente impegnative
US5738915A (en) * 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6222170B1 (en) * 1999-08-24 2001-04-24 Ut-Battelle, Llc Apparatus and method for microwave processing of materials using field-perturbing tool
US20010054775A1 (en) * 2000-05-19 2001-12-27 Bausch & Lomb Incorporated Method for the manufacture of molded polymeric devices using variable frequency microwaves
US7557035B1 (en) * 2004-04-06 2009-07-07 Advanced Micro Devices, Inc. Method of forming semiconductor devices by microwave curing of low-k dielectric films
KR102132427B1 (ko) * 2012-09-07 2020-07-09 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
US9750091B2 (en) * 2012-10-15 2017-08-29 Applied Materials, Inc. Apparatus and method for heat treatment of coatings on substrates
SG11201600447YA (en) * 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
US20170365490A1 (en) * 2016-06-19 2017-12-21 Applied Materials, Inc. Methods for polymer coefficient of thermal expansion (cte) tuning by microwave curing

Also Published As

Publication number Publication date
TW202129758A (zh) 2021-08-01
CN114051448A (zh) 2022-02-15
US20210001520A1 (en) 2021-01-07
JP2022540080A (ja) 2022-09-14
WO2021007105A1 (en) 2021-01-14

Similar Documents

Publication Publication Date Title
US11408075B2 (en) Batch curing chamber with gas distribution and individual pumping
KR100773305B1 (ko) 전자빔 방사를 이용하여 스핀-온 유전막을 경화하는 방법
US6217658B1 (en) Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
JP2008544484A (ja) プリメタルおよび/またはシャロートレンチアイソレーションに用いられるスピン−オン誘電体材料のための紫外線硬化処理方法
KR102312761B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 진공 처리 장치
WO2014157210A1 (ja) 半導体装置の製造方法、基板処理装置及び記録媒体
CN110651375B (zh) 用于显示器应用的cvd薄膜应力控制方法
WO2014062619A1 (en) Apparatus and method for heat treatment of coatings on substrates
TWI751173B (zh) 用於由微波固化調整聚合物之熱膨脹係數(cte)的方法
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
US20210001520A1 (en) Methods and apparatus for microwave processing of polymer materials
CN110785866A (zh) 改良的薄膜包封
KR0138853B1 (ko) 플라즈마에 의한 SOG(Spin-On Glass) 경화(Curing) 방법
TWI754799B (zh) 半導體製程方法與半導體裝置
TWI835936B (zh) 用於形成微波可調式複合薄膜介電層的方法
KR20210111355A (ko) 고급 반도체 애플리케이션들을 위한 저 응력 막들
KR101041947B1 (ko) 에스아이오씨 박막 열처리 장치
US20240047291A1 (en) High density plasma cvd for display encapsulation application
US20200206775A1 (en) Methods for forming microwave tunable composited thin-film dielectric layer
JPH09199501A (ja) SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置
US20160020090A1 (en) Enhancement of modulus and hardness for uv-cured ultra low-k dielectric films
Lee et al. Misalignment Study by Etch Induced Silicon Damage in Single Crystal Etch Process for Shallow Trench Isolation Structure
KR20080058288A (ko) 프리-메탈 및/또는 얕은 트렌치 절연 애플리케이션에서사용되는 스핀-온 유전체 재료에 대한 자외선 경화 공정

Legal Events

Date Code Title Description
A201 Request for examination