KR20220000399A - 국부 패턴 밀도를 위한 하전 입자 빔 노광을 결정하기 위한 방법 및 시스템 - Google Patents

국부 패턴 밀도를 위한 하전 입자 빔 노광을 결정하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20220000399A
KR20220000399A KR1020217038241A KR20217038241A KR20220000399A KR 20220000399 A KR20220000399 A KR 20220000399A KR 1020217038241 A KR1020217038241 A KR 1020217038241A KR 20217038241 A KR20217038241 A KR 20217038241A KR 20220000399 A KR20220000399 A KR 20220000399A
Authority
KR
South Korea
Prior art keywords
dose
pec
pattern
exposure
backscatter
Prior art date
Application number
KR1020217038241A
Other languages
English (en)
Inventor
아키라 후지무라
해롤드 로버트 자블
나게쉬 시랄리
윌리엄 이. 거스리
라이언 피어맨
Original Assignee
디2에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 디2에스, 인코포레이티드 filed Critical 디2에스, 인코포레이티드
Publication of KR20220000399A publication Critical patent/KR20220000399A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3175Projection methods, i.e. transfer substantially complete pattern to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31752Lithography using particular beams or near-field effects, e.g. STM-like techniques
    • H01J2237/31754Lithography using particular beams or near-field effects, e.g. STM-like techniques using electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • H01J2237/31771Proximity effect correction using multiple exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

하전 입자 빔 시스템을 사용하여 표면 상의 영역에서 패턴을 노광하는 방법이 개시되며, 방법은 그 영역에 대한 원래 세트의 노광 정보를 입력하는 단계, 목표 근접 효과 보정(PEC) 후 최대 선량을 입력하는 단계를 포함한다. 국부 패턴 밀도는 원래 세트의 노광 정보에 기초하여 패턴의 영역을 위해 계산된다. PEC 전 최대 선량은 그 영역에 대해 결정된다. 원래 세트의 노광 정보는 PEC 전 최대 선량으로 수정된다.

Description

국부 패턴 밀도를 위한 하전 입자 빔 노광을 결정하기 위한 방법 및 시스템
본 출원은 미국 특허 출원 제16/422,269호(발명의 명칭: "METHOD AND SYSTEM FOR DETERMINING A CHARGED PARTICLE BEAM EXPOSURE FOR A LOCAL PATTERN DENSITY", 출원일: 2019년 5월 24일)에 대한 우선권을 주장하며, 이 기초 출원은 모든 목적을 위해 참조에 의해 원용된다.
본 개시내용은 리소그래피에 관한 것이고, 특히 하전 입자 빔 리소그래피를 사용한, 레티클, 웨이퍼, 또는 임의의 다른 표면일 수 있는 표면의 설계 및 제조에 관한 것이다.
하전 입자 빔 리소그래피의 세 가지 일반적인 유형은 비성형(가우시안(Gaussian)) 빔 리소그래피, 성형 하전 입자 빔 리소그래피 및 다중 빔 리소그래피이다. 모든 유형의 하전 입자 빔 리소그래피에서, 하전 입자 빔은 레지스트를 노광하기 위해 레지스트 코팅 표면에 에너지를 슈팅한다.
성형 하전 입자 빔 리소그래피는 가변 성형 빔(variable shaped beam: VSB) 또는 캐릭터 투사(character projection: CP)일 수 있으며, 여기에서, 정밀한 전자 빔의 샷(shot)은 웨이퍼의 표면 또는 레티클의 표면과 같은 레지스트 코팅 표면을 노광하도록 성형 및 조향된다. VSB에서, 이러한 형상은 일반적으로 직교 좌표 평면의 축에 평행한 변을 갖는(즉, "맨해튼" 배향의) 특정 최소 및 최대 크기의 직사각형, 및 특정 최소 및 최대 크기의 45° 직각 삼각형(즉, 3개의 내각이 45°, 45°및 90°인 삼각형)으로 제한되는 단순한 형상이다. 사전 결정된 위치에서, 전자의 선량(dose)은 이러한 단순한 형상으로 레지스트에 슈팅된다. 이러한 유형의 시스템에 대한 전체 기록 시간은 샷의 수에 따라 증가한다. CP에서, 직선, 임의적 각도의 선형, 원형, 근사 원형, 환형, 근사 환형, 타원형, 근사 타원형, 부분적 원형, 부분적 근사 원형, 부분적 환형, 부분적 근사 환형, 부분적 근사 타원형 또는 임의의 곡선 형상 같은 복잡한 형상일 수 있고 복잡한 형상의 연결된 세트 또는 복잡한 형상의 연결된 세트의 일관성 없는 세트의 그룹일 수 있는 다양한 개구 또는 캐릭터를 내부에 갖는 시스템의 스텐실(stencil)이 존재한다. 전자 빔은 레티클에 더 복잡한 패턴을 효율적으로 생성하기 위해 스텐실 상의 캐릭터를 통해 슈팅될 수 있다. 이론적으로 이러한 시스템은 각각의 시간 소모적인 샷으로 더 복잡한 형상을 슈팅할 수 있기 때문에 VSB 시스템보다 더 빠를 수 있다. 따라서, VSB 시스템으로 슈팅된 E-형상 패턴은 4개의 샷이 소요되지만, 동일한 E-형상 패턴은 CP 시스템을 이용하여 1개의 샷으로 슈팅될 수 있다.
성형 하전 입자 빔 리소그래피는 단일 성형 빔을 사용하거나, 또는 표면을 동시에 노광하는 복수의 성형 빔을 사용할 수 있으며, 복수의 성형 빔은 단일 성형 빔보다 더 높은 기록 속도를 생성한다. 복수의 하전 입자 빔이 동시에 표면을 노광할 때, 하전 입자 빔 리소그래피는 종종 다중 빔 리소그래피로서 지칭된다. 다중 빔 리소그래피는 성형 또는 비성형 하전 입자 빔 리소그래피의 다중 빔일 수 있다.
리소그래피에서, 리소그래피 마스크 또는 레티클은 기판 상에 통합될 회로 컴포넌트에 대응하는 기하학적 패턴을 포함한다. 레티클을 제조하도록 사용되는 패턴은 컴퓨터 지원 설계(computer-aided design: CAD) 소프트웨어 또는 프로그램을 이용하여 생성될 수 있다. 패턴을 설계할 때 CAD 프로그램은 레티클을 생성하기 위해 사전 결정된 설계 규칙 세트를 따를 수 있다. 이러한 규칙은 처리, 설계 및 최종 사용 제한에 의해 설정된다. 최종 사용 제한의 예는 요구되는 공급 전압에서 충분히 동작할 수 없는 방식으로 트랜지스터의 기하학적 형상을 한정하는 것이다. 특히, 설계 규칙은 회로 디바이스 또는 상호 접속 라인 사이의 공간 공차를 한정할 수 있다. 예를 들어, 설계 규칙은 회로 디바이스 또는 라인이 바람직하지 않은 방식으로 서로 상호 작용하지 않는 것을 보장하도록 사용된다. 예를 들어, 설계 규칙은 라인이 단락을 야기할 수 있는 방식으로 서로 너무 근접하지 않도록 하기 위해 사용된다. 설계 규칙 제한은 무엇보다도 안정적으로 제조할 수 있는 가장 작은 치수를 반영한다. 이러한 작은 치수를 언급할 때, 일반적으로 임계 치수의 개념이 도입된다. 이들은 예를 들어, 특징부의 중요한 폭 또는 면적 또는 두 특징부 사이의 중요한 공간 또는 중요한 공간 면적으로 한정되며, 이러한 치수는 정교한 제어가 필요하다.
집적 회로와 같은 반도체 디바이스의 생산 또는 제조시에, 광학 리소그래피는 반도체 디바이스를 제조하기 위해 사용될 수 있다. 광학 리소그래피는 리소그래피 마스크 또는 포토마스크 또는 레티클을 사용하여 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴을 전사하여 집적 회로(IC)를 생성하는 인쇄 프로세스이다. 다른 기판은 평판 패널 디스플레이, 홀로그램 마스크 또는 심지어 다른 레티클을 포함할 수 있다. 종래의 광학 리소그래피는 전형적으로 193㎚ 파장 이상의 방사선을 사용한다. 극자외선(EUV) 또는 X-선 리소그래피가 또한 광학 리소그래피 유형으로 고려되지만, 종래의 광학 리소그래피의 193㎚보다 훨씬 짧은 파장을 사용한다. 레티클 또는 다수의 레티클은 집적 회로의 개별 층에 대응하는 회로 패턴을 함유할 수 있으며, 이러한 패턴은 포토레지스트 또는 레지스트로 공지된 방사선 감응성 물질의 층으로 코팅된 기판 상의 특정 영역에 이미징될 수 있다. 종래의 광학 리소그래피 기록 기계는 전형적으로 광학 리소그래피 프로세스 동안 포토마스크 패턴을 4배 감소시킨다. 그러므로, 레티클 또는 마스크에 형성된 패턴은 기판 또는 웨이퍼 상의 원하는 패턴의 크기보다 4배 더 커야 한다.
집적 회로와 같은 반도체 디바이스의 생산 또는 제조시에, 비광학적 방법이 리소그래피 마스크 상의 패턴을 실리콘 웨이퍼와 같은 기판에 전사하기 위해 사용될 수 있다. 나노임프린트 리소그래피(NIL)는 비광학적 리소그래피 프로세스의 예이다. NIL에서, 리소그래피 마스크 패턴은 리소그래피 마스크와 기판의 접촉을 통해 기판에 전사된다. NIL의 리소그래피 마스크는 전형적으로 하전 입자 빔 리소그래피를 사용하여 표면의 제조로서 제조된다.
집적 회로와 같은 반도체 디바이스의 생산 또는 제조시에, 마스크리스 직접 기록은 또한 반도체 디바이스를 제조하도록 사용될 수 있다. 마스크리스 직접 기록은 하전 입자 빔 리소그래피를 사용하여 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴을 전사하여 집적 회로를 생성하는 인쇄 프로세스이다. 다른 기판에는 평판 패널 디스플레이, 나노임프린트용 임프린트 마스크 또는 심지어 레티클이 포함될 수 있다. 층의 원하는 패턴은 이 경우에 또한 기판인 표면에 직접 기록된다. 패턴화된 층이 전사되면, 층은 에칭, 이온 주입(도핑), 금속화, 산화 및 폴리싱과 같은 다양한 다른 프로세스를 거칠 수 있다. 이러한 프로세스는 기판에서 개별 층을 마무리하는데 이용된다. 여러 층이 필요하면, 전체 프로세스 또는 그 변형은 각각의 신규 층에 대해 반복된다. 층들 중 일부는 광학 리소그래피를 사용하여 기록될 수 있는 반면에, 다른 층은 동일한 기판을 제조하기 위해 마스크리스 직접 기록을 사용하여 기록될 수 있다. 또한, 주어진 층의 일부 패턴은 광학 리소그래피를 사용하여 기록될 수 있고, 다른 패턴은 마스크리스 직접 기록을 사용하여 기록될 수 있다. 궁극적으로, 다수의 디바이스 또는 집적 회로의 조합이 기판에 존재할 것이다. 다음에, 이러한 집적 회로는 다이싱(dicing)이나 소잉(sawing)을 통해 서로 분리되고, 그런 다음 개별 패키지에 장착된다. 보다 일반적인 경우에, 표면의 패턴은 디스플레이 픽셀, 홀로그램 또는 자기 기록 헤드와 같은 인공물을 한정하도록 사용될 수 있다.
광학 리소그래피에 의한 집적 회로 제조의 한 가지 목표는 레티클을 사용하여 기판에 원래의 회로 설계를 재현하는 것이고, 여기에서, 레티클(때때로 마스크 또는 포토마스크라고도 지칭됨)은 하전 입자 빔 리소그래피를 사용하여 노광될 수 있는 표면이다. 집적 회로 제조자는 항상 반도체 웨이퍼 공간을 가능한 효율적으로 사용하기를 시도한다. 엔지니어는 집적 회로가 더 많은 회로 요소를 포함하고 더 적은 전력을 사용할 수 있도록 회로의 크기를 계속 축소하고 있다. 집적 회로 임계 치수의 크기가 감소되고 그 회로 밀도가 증가됨에 따라서, 회로 패턴 또는 물리적 설계의 임계 치수는 기존 광학 리소그래피에 사용되는 광학 노광 도구의 해상도 한계에 접근한다. 회로 패턴의 임계 치수가 더 작아지고 노광 도구의 해상도 값에 접근함에 따라 물리적 설계를 레지스트 층에서 현상된 실제 회로 패턴으로 정확하게 전사하는 것이 어려워진다. 또한, 광학 리소그래피 프로세스에 사용되는 광 파장보다 더 작은 특징부를 갖는 패턴을 전사하는데 광학 리소그래피를 사용하기 위해 광학 근접 보정(OPC)으로서 공지된 프로세스가 개발되었다. OPC는 물리적 설계를 변경하여 광학 회절 및 근접한 특징부와 특징부의 광학 상호 작용과 같은 영향으로 인한 왜곡을 보상한다. 레티클을 사용하여 수행되는 해상도 개선 기술은 OPC 및 역 리소그래피 기술(ILT)을 포함한다.
OPC는 서브 해상도(sub-resolution) 리소그래피 특징부를 마스크 패턴에 추가하여 원래의 물리적 설계 패턴, 즉, 설계와 기판 상의 최종 전사된 회로 패턴 사이의 차이를 감소시킬 수 있다. 서브 해상도 리소그래피 특징부는 물리적 설계의 원래 패턴과, 서로 상호 작용하여 근접 효과를 보상하는 것으로 최종 전사된 회로 패턴을 개선한다. 패턴 전사를 개선하기 위해 추가된 하나의 특징부는 "세리프(serif)"로서 지칭된다. 세리프는 특정 특징부의 인쇄의 제조 변동에 대한 정밀도 또는 탄력성을 개선하는 작은 특징부이다. 세리프의 예는 패턴의 코너에 위치되어 최종 전사된 이미지의 코너를 선명하게 하는 작은 특징부이다. 기판 상에 인쇄되도록 의도된 패턴은 주 특징부로서 지칭된다. 세리프는 주 특징부의 일부이다. OPC 장식 이전의 설계를 반영하는 특징부인 주 특징부와 OPC 특징부에 관하여 레티클 상에 기록되는 OPC-장식 패턴을 설명하는 것이 통상적이며, 여기에서, OPC 특징부는 세리프, 조그, 서브 해상도 지원 특징부(SRAF) 및 네거티브 특징부를 포함할 수 있다. OPC 특징부는 광학 리소그래피를 사용하여 웨이퍼에 전사될 수 있는 가장 작은 특징부의 크기에 기초하는 규칙과 같은 다양한 설계 규칙이 적용된다. 다른 설계 규칙은 마스크 제조 프로세스에서 비롯되거나, 또는 캐릭터 투사 하전 입자 빔 기록 시스템이 레티클에 패턴을 형성하도록 사용되면 스텐실 제조 프로세스에서 비롯될 수 있다.
SRAF 특징부는 패턴의 전사를 개선하도록 사용된다. SRAF의 표면 제조 프로세스에 요구되는 정밀도 또는 정확도가 주 특징부보다 더 낮은 경우가 종종 있다. OPC에서 네거티브 특징부로서 도입되는 유사한 장식이 있다. 큰 특징부 내부에는 리소그래피 성능을 향상시키기 위해 도입된 좁은 공간이 있을 수 있다. 좁은 공간이 동등하게 좁은 라인보다 안정적으로 기록하는 것이 더 어렵기 때문에, 이러한 네거티브 특징부는 결국 종종 표면에 안정적으로 기록하기 가장 어려운 부분이 된다.
하전 입자 빔 시스템을 사용하여 표면 상의 영역에 있는 패턴을 노광하기 위한 방법은 상기 영역에 대한 원래 세트의 노광 정보를 입력하는 단계, 목표 근접 효과 보정(proximity effect correction: PEC) 후 최대 선량을 입력하는 단계를 포함한다. 목표 PEC 후 최대 선량은 최대 기록 시간에 기초한다. 원래 세트의 노광 정보에 기초한 패턴의 영역에 대한 국부 패턴 밀도가 결정된다. PEC 전 최대 선량은 목표 PEC 후 최대 선량에 기초하여 국부 패턴 밀도를 위해 결정된다. 원래 세트의 노광 정보는 수정된 세트의 노광 정보를 생성하기 위해 PEC 전 최대 선량을 이용하여 수정된다.
도 1은 당업계에서 공지된 가변 성형 빔(VSB) 하전 입자 빔 시스템의 예를 도시한다.
도 2는 당업계에서 공지된 바와 같은 다중 빔 노광 시스템의 전기 광학 개략도의 예를 도시한다.
도 3A 내지 도 3D는 당업계에서 공지된 바와 같은 다양한 유형의 샷을 도시한다.
도 4는 당업계에서 공지된 바와 같은 다중 빔 하전 입자 빔 시스템의 예를 도시한다.
도 5A 내지 도 5C 및 도 6A 내지 도 6C는 당업계에서 공지된 바와 같은 대형 및 소형의 형상 모두를 갖는 영역에 대해 PEC 후에 선량이 어떻게 영향을 받는지를 도시한다.
도 7A 내지 도 7G는 당업계에서 공지된 바와 같은 PEC 반복 및 결과적인 직사각형 형상의 선량 곡선을 도시한다.
도 8A 내지 도 8F는 당업계에서 공지된 바와 같은 고밀도 노광에 대한 저밀도 노광의 예를 도시한다.
도 9A 및 도 9B는 본 개시내용의 실시형태에 따라 추가된 인위적 배경 선량(artificial background dosage)을 갖는 저밀도 노광 영역을 도시한다.
도 10A 내지 도 10F는 인위적 배경 선량의 예시적인 실시형태를 도시한다.
도 11은 일부 실시형태에 따른, 국부 패턴 밀도에 의해 조정된 예시적인 동적 최대 선량을 도시한다.
도 12는 당업계에서 공지된 바와 같이, 선형성 보정이 있는 라인 단부의 선량 맵을 도시한다.
도 13은 일부 실시형태에 따른 PEC 후 최대 선량이 있는 라인 단부의 선량 맵을 도시한다.
도 14는 일부 실시형태에 따른 국부 패턴 밀도에 의한 다양한 PEC 후 최대 선량에서의 선량 마진(dose margin)을 도시한다.
도 15는 일부 실시형태에 따른 인위적 배경 선량이 추가된 국부 패턴 밀도에 의한 다양한 PEC 후 최대 선량에 대한 선량 마진을 도시한다.
도 16은 당업계에 공지된 바와 같이, 실리콘 웨이퍼 상의 집적 회로와 같은 기판을 제조하도록 사용하기 위하여 표면 또는 레티클을 준비하기 위한 개념적 흐름도를 도시한다.
도 17은 일부 실시형태에 따른 동적 최대 선량 및 인위적 배경 선량이 계산되는 흐름을 도시한다.
도 18은 방법의 실시형태에서 사용되는 예시적인 컴퓨팅 하드웨어 디바이스를 도시한다.
본 개시내용은 샷 또는 형상을 노광하는데 필요한 선량을 감소시키는 것에 의해 하전 입자 빔 기록 시간을 감소시키기 위한 방법을 설명한다. 방법은 기록될 패턴의 밀도에 기초하여 근접 효과 보정 전(PEC 전) 최대 선량을 동적으로 계산하는 단계를 포함한다. 방법은 또한 그 영역에서 인위적 배경 선량을 캐스팅하는 것에 의해 상대적으로 낮은 밀도의 노광 영역에서 PEC 전 최대 선량을 계산하는 단계를 포함한다.
하전 입자 빔 리소그래피의 비용은 레티클 또는 웨이퍼와 같은 표면에 패턴을 노광하는데 필요한 시간과 직접적으로 관련된다. 통상적으로, 노광 시간은 패턴을 기록하는데 필요한 노광의 양과 관련된다. 대부분의 복잡한 집적 회로 설계를 위해, 레티클의 세트 또는 기판에 층 패턴의 세트를 형성하는 것은 비싸고, 시간 소모적인 프로세스이다. 그러므로, 예를 들어, 이들을 기록하는데 요구되는 시간을 감소시키는 것에 의해 레티클 및 다른 표면 상에 이러한 패턴을 형성하는데 요구되는 노광을 감소시킬 수 있는 것이 유리할 것이다.
이제, 도면을 참조하면, 유사한 도면 부호는 유사한 항목을 나타내며, 도 1은 표면(112)을 제조하기 위해 가변 성형 빔(VSB)을 이용하는, 하전 입자 빔 기록 시스템, 이 경우에 전자 빔 기록 시스템(100)과 같은 리소그래피 시스템의 실시형태를 도시한다. 전자 빔 기록 시스템(100)은 전자 빔(116)을 개구 판(118)을 향해 투사하는 전자 빔 소스(114)를 갖는다. 판(118)은 전자 빔(116)이 통과할 수 있도록 내부에 형성된 개구(120)를 갖는다. 전자 빔(116)은 개구(120)를 통과하면 렌즈 시스템(도시되지 않음)에 의해 전자 빔(122)으로서 다른 직사각형 개구 판 또는 스텐실 마스크(124)를 향해 지향되거나 편향된다. 스텐실(124)에는 직사각형 및 삼각형과 같은 다양한 단순한 형상을 한정하는 다수의 구멍 또는 개구(126)가 내부에 형성된다. 스텐실(124)에 형성된 각각의 개구(126)는 실리콘 웨이퍼, 레티클 또는 다른 기판과 같은 기판(134)의 표면(112)에 패턴을 형성하기 위해 사용될 수 있다. 전자 빔(130)은 개구(126) 중 하나로부터 출사되고, 전자기 또는 정전기 감소 렌즈(138)를 통과하고, 이러한 렌즈는 개구(126)로부터 출사된 패턴의 크기를 감소시킨다. 통상적으로 이용 가능한 하전 입자 빔 기록 시스템에서, 감소 계수는 10 내지 60이다. 감소된 전자 빔(140)은 감소 렌즈(138)로부터 출사되고, 일련의 편향기(142)에 의해 패턴(128)으로서 표면(112) 상으로 지향된다. 표면(112)은 전자 빔(140)과 반응하는 레지스트(도시되지 않음)로 코팅된다. 전자 빔(122)은 패턴(128)의 크기 및 형상에 영향을 미치는 개구(126)의 가변 부분과 중첩되도록 지향될 수 있다. 블랭킹 판(도시되지 않음)은 빔(122)을 지향시키는 렌즈 및 편향기(142)가 후속 샷을 위해 재조정될 때, 각각의 샷 후의 소정 기간 동안 전자 빔이 표면(112)에 도달하는 것을 방지하기 위해 빔(116) 또는 성형 빔(122)을 편향시키도록 사용될 수 있다. 스텐실(124)은 스텐실(124)의 개방되지 않은 부분을 타격하도록 편향되는 빔(116)을 갖는 것에 의해 그 자체로 편향기로서 작용할 수 있다. 전형적으로, 블랭킹 판은 전자 빔(116)이 개구(120)를 조명하는 것을 방지하기 위해 전자 빔을 편향시키도록 위치된다. 통상적으로, 블랭킹 기간은 고정된 시간 길이이거나, 또는 예를 들어 후속 샷의 위치에 대해 편향기(142)가 얼마나 많이 재조정되어야만 하는지에 따라 달라질 수 있다. VSB 시스템은 캐릭터 투사의 특수한 (단순한) 경우로서 고려될 수 있으며, 여기에서, 캐릭터는 단지 단순한 캐릭터, 일반적으로 직사각형 또는 45° 직각 삼각형임을 유의한다. 캐릭터를 부분적으로 노광하는 것이 또한 가능하다. 예를 들어, 입자 빔의 일부를 차단하여 이를 수행할 수 있다. 본 개시내용에서, 부분 투사는 캐릭터 투사와 VSB 투사 모두를 의미하도록 사용된다.
전자 빔 기록 시스템(100)에서, 기판(134)은 이동 가능한 플랫폼 또는 스테이지(132) 상에 장착된다. 스테이지(132)는 기판(134)이 재위치될 수 있게 하여 하전 입자 빔(140)의 최대 편향 능력 또는 필드 크기보다 더 큰 패턴이 일련의 서브 필드에서 표면(112)에 기록될 수 있으며, 여기에서, 각각의 서브 필드는 빔(140)을 편향시키는 편향기(142)의 능력 내에 있다. 일 실시형태에서, 기판(134)은 레티클일 수 있다. 이 실시형태에서, 레티클은 패턴으로 노광된 후에 다양한 제조 단계를 거치며, 이를 통해 리소그래피 마스크 또는 포토마스크가 된다. 그런 다음, 마스크는 일반적으로 크기가 감소된 레티클 패턴(128)의 이미지를 실리콘 웨이퍼 상에 투사하여 집적 회로를 생성하기 위해 광학 리소그래피 기계에서 사용될 수 있다. 보다 일반적으로, 마스크는 패턴(128)을 기판(도시되지 않음) 상에 전사하기 위해 다른 디바이스 또는 기계에서 사용된다.
전자 빔 기록 시스템과 같은 하전 입자 빔 기록기(VSB, CP 또는 다중 빔 기계 중 어느 것이든)의 샷 선량은 이 VSB 예에서 빔 소스(114)의 강도 및 각각의 샷의 노광 시간의 함수이다. 전형적으로, 빔 강도는 고정된 상태로 유지되며, 노광 시간은 가변적인 샷 선량을 획득하기 위해 변경된다. 노광 시간은 중거리 효과 보정(MEC), 로딩 효과 보정(loading-effect correction: LEC) 및 포깅 효과 보정(fogging-effect correction: FEC)과 같은 다양한 장거리 효과 및 근접 효과 보정(PEC)으로 지칭되는 프로세스에서 후방 산란을 보상하도록 변경될 수 있다. 본 개시내용에서, PEC라는 용어는 MEC, LEC, FEC 및 후방 산란 보정을 설명하도록 사용된다. 전자 빔 기록 시스템은 일반적으로 노광 패스(exposure pass)의 모든 샷에 영향을 미치는 기본 선량으로 지칭되는 전체 선량을 설정할 수 있다. 일부 전자 빔 기록 시스템은 전자 빔 기록 시스템 자체 내에서 선량 보상 계산을 수행하고, 각각의 샷의 선량을 입력 샷의 목록의 일부로 개별적으로 할당할 수 없으므로, 입력 샷은 할당되지 않은 샷 선량을 갖는다. 이러한 전자 빔 기록 시스템에서, 모든 샷은 PEC 전에 기본 선량을 갖는다. 다른 전자 빔 기록 시스템은 샷 단위로 선량 할당을 허용한다. 샷 단위 선량 할당을 허용하는 전자 빔 기록 시스템에서, 사용 가능한 선량 레벨의 수는 64 내지 4096개 이상일 수 있거나, 3 내지 8 레벨과 같이 상대적으로 소수의 사용 가능한 선량 레벨이 있을 수 있다. 본 개시내용의 일부 실시형태는 선량 레벨의 할당을 허용하는 하전 입자 빔 기록 시스템과 함께 사용하는 것을 목표로 한다.
하전 입자 빔 시스템은 개별적으로 제어 가능한 복수의 빔 또는 빔렛(beamlet)으로 표면을 노광할 수 있다. 도 2는 3개의 하전 입자 빔렛(210)을 갖는 전기 광학 개략도를 도시한다. 각각의 빔렛(210)과 빔 제어기(220)가 관련된다. 각각의 빔 제어기(220)는 예를 들어, 그 관련된 빔렛(210)이 표면(230)을 타격하도록 할 수 있고, 또한 빔렛(210)이 표면(230)을 타격하는 것을 방지할 수 있다. 일부 실시형태에서, 빔 제어기(220)는 또한 빔렛(210)의 빔 블러(beam blur), 확대, 크기 및/또는 형상을 제어할 수 있다. 본 개시내용에서, 개별적으로 제어 가능한 복수의 빔렛을 갖는 하전 입자 빔 시스템이 다중 빔 시스템으로 지칭된다. 일부 실시형태에서, 단일 소스로부터의 하전 입자는 세분화되어 복수의 빔렛(210)을 형성할 수 있다. 다른 실시형태에서, 복수의 빔렛(210)을 생성하기 위해 복수의 소스가 사용될 수 있다. 일부 실시형태에서, 빔렛(210)은 하나 이상의 개구에 의해 성형될 수 있는 반면, 다른 실시형태에서는 빔렛을 성형하기 위한 개구가 없을 수 있다. 각각의 빔 제어기(220)는 그 관련된 빔렛의 노광 기간이 개별적으로 제어되도록 할 수 있다. 일반적으로, 빔렛은 전형적으로 레지스트로 코팅될 표면(230)을 타격하기 전에 하나 이상의 렌즈(도시되지 않음)에 의해 크기가 감소될 것이다. 일부 실시형태에서 각각의 빔렛이 별개의 전기 광학 렌즈를 가질 수 있는 반면, 다른 실시형태에서 가능한 모든 빔렛을 포함하는 복수의 빔렛이 전기 광학 렌즈를 공유할 것이다.
도 3A 내지 도 3D는 다양한 유형의 샷을 도시한다. 도 3A는 직사각형 샷(310)의 예를 도시한다. 예를 들어, VSB 하전 입자 빔 시스템은 다양한 x 및 y 치수로 직사각형 샷을 형성할 수 있다. 도 3B는 이 예에서 원형인 CP 샷(320)의 예를 도시한다. 임의의 형상은 팔각형 또는 문자 E와 같은 더 복잡한 형상과 같은 CP 샷으로 표현될 수 있다. 본 개시내용의 목적을 위해, 샷은 일정 시간 기간에 걸친 일부 표면적의 노광이다. 영역은 다수의 불연속적인 더 작은 영역으로 구성될 수 있다. 샷은, 중첩되거나 중첩되지 않을 수 있고 동시에 노광되거나 노광되지 않을 수 있는 복수의 다른 샷으로 구성될 수 있다. 샷은 지정된 선량을 포함하거나 선량이 지정되지 않을 수 있다. 샷은 성형 빔, 비성형 빔 또는 성형 빔과 비성형 빔의 조합을 사용할 수 있다.
도 3C는 원형 패턴(352)의 어레이인 샷(350)의 예를 도시한다. 샷(350)은 단일 원형 CP 캐릭터의 다중 샷, 원형 개구의 어레이인 CP 캐릭터의 하나 이상의 샷, 및 원형 개구를 사용하는 하나 이상의 다중 빔 샷을 포함하는 다양한 방식으로 형성될 수 있다. 도 3D는 직사각형 패턴(362 및 364)의 희박 어레이인 샷(360)의 예를 도시한다. 샷(360)은 복수의 VSB 샷, CP 샷 및 직사각형 개구를 사용하는 하나 이상의 다중 빔 샷을 포함하는 다양한 방식으로 형성될 수 있다. 다중 빔의 일부 실시형태에서, 샷(360)은 다른 다중 빔 샷의 복수의 인터리빙된 그룹을 포함할 수 있다. 예를 들어, 패턴(362)은 동시에 슈팅될 수 있고, 그런 다음, 패턴(364)은 패턴(362)과 상이한 시간에 동시에 슈팅될 수 있다.
도 4는 하전 입자 빔 노광 시스템(400)의 실시형태를 예시한다. 하전 입자 빔 시스템(400)은 다중 빔 시스템이며, 여기에서, 복수의 개별적으로 제어 가능한 성형 빔이 동시에 표면을 노광할 수 있다. 다중 빔 시스템(400)은 전자 빔(404)을 생성하는 전자 빔 소스(402)를 갖는다. 전자 빔(404)은 정전 및/또는 자기 요소를 포함할 수 있는 콘덴서(406)에 의해 개구 판(408)을 향해 지향된다. 개구 판(408)은 전자 빔(404)에 의해 조명되는 복수의 개구(410)를 가지며, 전자 빔(404)은 개구를 통과하여 복수의 성형된 빔렛(436)을 형성한다. 그러므로, 다중 빔 하전 입자 빔 시스템(400)은 하전 입자 빔 소스(402) 및 개구 판(408)을 포함하고, 여기에서, 개구 판(408)은 하전 입자 빔(402) 소스가 조명하는 복수의 개구(410)를 포함한다. 일부 실시형태에서, 다중 빔 하전 입자 빔 시스템은 단일 개구 판(408)을 포함한다. 일부 실시형태에서, 개구 판(408)은 수백 또는 수천의 개구(410)를 가질 수 있다. 비록 도 4가 단일 전자 빔 소스(402)를 갖는 실시형태를 예시하지만, 다른 실시형태에서, 개구(410)는 복수의 전자 빔 소스로부터의 전자에 의해 조명될 수 있다. 개구(410)는 도 4에 도시된 바와 같이 원형일 수 있거나, 또는 도 1에 도시된 바와 같이, 예를 들어 직사각형 개구(120)와 같은 상이한 형상일 수 있다. 빔렛(436)의 세트는 그런 다음 블랭킹 제어기 판(432)을 조명한다. 블랭킹 제어기 판(432)은 빔렛(436)과 각각 정렬되는 복수의 블랭킹 제어기(434)를 갖는다. 각각의 블랭킹 제어기(434)는 빔렛(436)이 표면(424)을 타격하도록 하거나 빔렛(436)이 표면(424)을 타격하는 것을 방지하기 위해 그 관련된 빔렛(436)을 개별적으로 제어할 수 있다. 빔이 표면을 타격하는 시간의 양은 대응하는 빔렛에 의해 인가되는 총 에너지 또는 "선량"을 제어한다. 전형적으로, 다중 빔 기록기는 노광될 전체 영역을 스캐닝한다. 그러므로, 기록 시간은 형상 수 또는 형상 복잡성과 관계없이 일정하다.
기판(426)은 액추에이터(430)를 사용하여 재위치될 수 있는 이동 가능한 플랫폼 또는 스테이지(428) 상에 위치된다. 스테이지(428)를 이동시키는 것에 의해, 빔(440)은 복수의 노광 또는 샷을 사용하여 빔렛 그룹(440)에 의해 형성된 최대 크기 패턴의 치수보다 더 큰 영역을 노광할 수 있다. 일부 실시형태에서, 스테이지(428)는 노광 동안 정지 상태로 유지되고, 그런 다음 후속 노광을 위해 재위치된다. 다른 실시형태에서, 스테이지(428)는 가변 속도로 연속적으로 이동한다. 또 다른 실시형태에서, 스테이지(428)는 연속적으로, 그러나 일정한 속도로 이동하고, 이는 스테이지 위치 설정의 정확도를 증가시킬 수 있다. 스테이지(428)가 연속적으로 이동하는 실시형태의 경우, 한 세트의 편향기(도시되지 않음)가 스테이지(428)의 방향 및 속도와 일치하도록 빔을 이동시키도록 사용되어, 빔렛 그룹(440)이 노광 동안 표면(424)에 대해 정지 상태를 유지할 수 있도록 한다. 다중 빔 시스템의 또 다른 실시형태에서, 빔렛 그룹의 개별 빔렛은 빔렛 그룹의 다른 빔렛과 독립적으로 표면(424)에 걸쳐 편향될 수 있다.
다른 유형의 다중 빔 시스템은 가우시안 빔렛의 어레이를 생성하기 위해 예를 들어 복수의 하전 입자 빔 소스를 사용하여 복수의 비성형 빔렛(436)을 생성할 수 있다. 본 개시내용이 하전 입자 빔 리소그래피의 관점에서 설명되지만, 설명된 방법은 레이저 리소그래피, 특히 다중 빔 레이저 리소그래피에도 적용될 수 있다.
선량은 빔의 전류 밀도를 동적으로 변경하는 대신 노광 시간을 제어하는 것에 의해 모든 고정밀 eBeam 기록기에서 제어된다. 실제로, 노광 시간은 전류 밀도보다 훨씬 더 정밀하게 제어될 수 있는 것으로 이해된다. 이 때문에, 고선량 노광은 저선량 노광에 비교하여 빔이 작용하는 시간을 증가시키는 것에 의해 달성된다. eBeam 기록기가 가변 전류 밀도를 갖는 것을 고려할 수 있다. 본 개시내용의 본 실시형태는 노광 시간을 제어하는 것에 의해 선량 제어를 적어도 부분적으로 달성하는 경우 적용 가능하다. 빔렛 그룹의 각각의 빔렛(436)에 대한 노광 시간은 블랭킹 제어기(434)를 사용하여 개별적으로 제어될 수 있다. 따라서, 다중 빔 기록 시간은 인가될 필요가 있는 최대 선량에 의해 결정된다. 각각의 빔렛 그룹(예를 들어, 스트라이프)에 대해 스테이지가 중지되는 기록기에서 가장 높은 선량의 빔렛은 전체 스트라이프에 대한 노광 시간에 영향을 미친다. 일정한 속도의 스테이지를 가진 기록기에서, 속도는, 레티클의 서브 세트, 전체 레티클 또는 웨이퍼 또는 임의의 다른 표면일 수 있는 전체 설계에 대해 일정할 수 있거나 일정 시간 기간 동안 부분적으로 일정할 수 있다. 따라서, 스트라이프에서 가장 높은 선량의 빔렛이 스테이지 속도를 결정할 수 있고, 따라서, 전체 설계 또는 표면에 대한 기록 시간을 결정할 수 있다. 심지어 가변 속도 스테이지에서도, 안정성을 제공하기 위해 스테이지가 무거우므로 속도가 너무 빨리 변경될 수 없으며, 속도의 가속 또는 감속은 많은 에너지를 필요로 하며 진동과 블러의 원인이 된다.
진보된 프로세스 노드에서, 마스크에 정밀하게 기록해야 하는 특징부는 마스크를 상업적 가치가 있을 만큼 빠르게 인쇄하도록 사용되는 전형적인 레지스트의 레지스트 블러 및 전방 산란의 전형적인 조합 블러 반경에 비교하여 3배 크기 미만이다. 전형적인 최첨단 마스크에서, 폭이 60㎚ 미만의 서브 해상도 지원 특징부(SRAF)는 범위가 20㎚ 이상이 조합된 블러와 함께 마스크에 기록된다. EUV 리소그래피용 마스크에서, 일반적으로 약 30㎚ 폭의 SRAF가 마스크에 대해 일반적으로 요구될 것으로 예상된다. 마스크 형상을 생성하는 광학 근접 보정(OPC) 또는 역 리소그래피 기술(ILT) 단계에서, 더 작은 형상(예를 들어, SRAF)을 정확하게 인쇄할 수 있으면, 웨이퍼 성능은 더욱 개선될 수 있다. 그러나, OPC/ILT에 의해 생성된 마스크 형상이 마스크 프로세스 변동 전반에 걸쳐 마스크에서 실제로 안정적으로 생성될 수 있는 것도 중요하다. 마스크 상에서의 최소 허용 특징부 폭 및 최소 허용 특징부 간격과 같은 마스크 설계 규칙이 확립되며, 이들은 트레이드오프를 나타낸다. OPC/ILT는 출력이 마스크 설계 규칙을 준수하도록 보장해야 할 필요가 있다. 마스크 제조자는 합의된 특정 사양에 따라 제조 변동 전반에 걸쳐 마스크 설계 규칙을 정확하게 준수하는 마스크를 생산할 필요가 있다. 좁은 형상일수록 마스크에 기록하기가 더 어렵다. 작은 정사각형 형상은 기록이 가장 어렵다. 그러나, 작은 정사각형 형상은 웨이퍼 성능에 미치는 영향이 적기 때문에, 전형적으로 좁은 SRAF를 정확하게 기록해야 할 필요성은 첨단 마스크 처리에서 중요하다.
동일한 마스크에서 더 큰 다른 형상이 존재하는 마스크 상에 이러한 작은 형상을 기록하는 것은 전형적으로 선량 변조로 달성된다. 전체 마스크 기록 시간이 마스크 비용의 주요 동인 중 하나이기 때문에, 더 큰 "주요" 특징부에 대해 원하는 정확도를 달성하기에 충분한 감도를 가진 레지스트가 정확성-속도/비용 트레이드오프를 나타내도록 선택된다. 그러나, SRAF 및 기타 작은 형상을 기록하는 것도 정확하게 수행되어야 할 필요가 있으며, 일반적인 기술은 SRAF의 선량을 개선(즉, 증가)하거나 부분적으로 개선하여 이들이 더 우수하게 인쇄되게 하는 것이다.
산업계에서, 정상 선량이 개선되는 양은 전형적으로 정상 선량의 1.2배에서 정상 선량의 3배까지 다양할 수 있지만, 선량은 정상 선량의 임의의 배수일 수 있다. 개선이 많을수록 기록 시간이 길어지지만 작은 형상을 더 정확하게 인쇄할 수 있게 한다. 도 5A 및 도 5B는 충분히 큰 형상(502) 및 그 선량 프로파일(즉, 선량 곡선)(512)과 더 작은 SRAF 형상(506) 및 그 선량 프로파일(522) 사이의 차이를 예시하고, 정상 선량을 갖는 둘 모두의 샷은 라인(514)에 의해 표시된 바와 동일한 레지스트 임계값으로 형상(502, 506)의 각각의 샷 윤곽선을 통하여 라인(504, 508)을 따라서 측정된다. 형상(502 및 506)은 정상 선량의 절반의 임계값으로 레지스트에 각각의 형상을 슈팅하기 위해 일반 선량이 사용되는 경우에 이들의 폭보다 훨씬 긴 직사각형 형상을 나타내도록 분할된다. 레지스트 임계값 미만의 선량은 인쇄되지 않는다.
VSB 기계에서, 샷 윤곽선은 기록기에 지시된 바와 같은 eBeam 투사의 형상이다. 다중 빔 기계에서, 샷 윤곽선은 기록기에 지시된 바와 같은 원하는 형상의 형상이며, 결과적으로 픽셀로 래스터화되고 형상을 그리도록 사용되는 픽셀에 대해 적절한 것으로서 다양한 선량의 eBeam 투사가 생성된다. 실시형태가 다중 빔에도 적용될 수 있지만, 이해를 단순화하기 위해, 본 개시내용에서, 기계가 VSB인 것처럼 선량 프로파일이 그려지고 설명된다. 다중 빔 기계에서, 픽셀에 대한 래스터화는 선량 프로파일을 더욱 복잡하게 만들고, 픽셀 그리드에 대한 형상의 위치에 의존한다. 본 개시내용의 개념의 이해를 위해, 다중 빔 기록의 이러한 추가적인 복잡성은 고려하지 않는다.
충분히 큰 형상에 대해, 선량 프로파일(512)은 정상 선량에서 안정기에 도달한다. 이러한 안정기는 형상(502)의 폭이 더 큰 경우에도 동일한 선량에 있다. 형상(506)에 의해 예시된 바와 같이 더 작은 형상의 경우, 선량 프로파일의 피크가 정상 선량에 도달할 만큼 충분한 에너지가 존재하지 않는다. 선량 프로파일(522)의 형상은 안정기를 가지지 않는다. 또한, 선량 프로파일(522)은 형상(506)의 폭과 동일한 위치에서 레지스트 임계값(514)과 교차하지 않는다. 에너지가 충분하지 않기 때문에, 레지스트에 노광된 형상은 원하는 폭보다 좁아질 것이다.
형상(502 및 506)의 좌측 에지의 선량 마진은 각각 에지 기울기(edge slope)(516 및 528)로 표시된다. 기울기(528)는 기울기(516)보다 얕다. 형상(506)이 좁을수록 선량 프로파일이 낮아지고 기울기(528)가 더 얕아질 것이다. 선량 프로파일(512)이 안정기에 도달하기에 충분할 만큼 형상(502)이 넓으면, 더 넓은 형상은 기울기(516)를 변경하지 않을 것이다. 더 얕은 기울기는 더 나쁜 선량 마진을 가지며, 이는 특정 선량 변동이 주어지면 형상의 폭인 임계 치수(CD)가 더 많이 변동된다는 것을 의미한다. 여기에서 선량 마진이라는 용어는 선량 관련 변동을 포함한 모든 유형의 제조 변동에 대한 하전 입자 빔 샷 세트에 의해 정의된 패턴의 공차를 설명한다. 더 나은 선량 마진은 더 높은 공차를 나타낸다. 당업자는, 선량 변동에 대한 탄력성이 제조 변동의 많은 근원에 대한 좋은 대체물이라는 것을 일반적으로 이해하고 있다. 선량 마진에 의해 표시되는 제조 변동에 대한 탄력성을 개선하기 위해, 하나의 통상적인 방법은 도 5C의 선량 프로파일(532)로 도시된 바와 같이 더 작은 형상에 대해 정상보다 더 높은 기본 선량을 사용하는 것이다. 1.0 초과의 기본 선량이 이 예에서 사용되며, 여기에서, 선량 양(dose amount)은 레지스트 임계값(514)과 교차하는 선량 프로파일(532)의 지점 사이의 거리에 의해 표시된 CD가 목표 형상(506)의 원하는 폭이 되도록 선택된다. 산업계에서는 선량 조정 및 형상 조정의 다양한 조합을 사용한다. 도 5C는 선량 조정만 배치된 일 예이다. 이 예에서, 일단 선량이 형상(506)에 대해 계산되면, 선량 마진은 임계값(514)을 교차하는 선량 프로파일(532)의 기울기(538)를 계산하는 것에 의해 측정될 수 있다. 에지 기울기(538)는 에지 기울기(528)보다 더 좋지만(즉, 더 가파른 기울기를 가짐), 여전히 에지 기울기(516)보다 더 나쁠 수 있음에 유의한다.
또한, 선량 마진은 대비 때문에 작은 특징부의 인쇄 가능성에도 중요하다. 대비는 노광된 영역의 바로 이웃하는 내부와 노광된 영역의 바로 이웃하는 외부에서 레지스트에 인가되는 에너지 양의 차이이다. 반도체 마스크 처리에서 바로 이웃한다는 것은 수 나노미터 내지 수십 나노미터일 수 있다. 선량 프로파일이 고려되는 길이 척도에서 연속적인 함수이기 때문에, 선량 마진 및 대비는 서로 고도로 상관된다. 대비가 충분하지 않으면 형상을 분해할 수 없다. 주어진 레지스트로 안정적으로 분해할 수 있는 형상의 최소 크기를 감소시키는 것은 마스크 제조의 경제성에 중요하다.
표면에 형상을 인쇄하기 위해 eBeam 기록기에 의해 직접 캐스팅될 필요가 있는 선량의 양에 영향을 미치는 또 다른 요인이 있다. 이는 관심 영역 주변의 eBeam "샷"에 의해 캐스팅된 전자의 후방 산란을 보정하는 근접 효과 보정(PEC)으로 지칭된다. 도 6A 및 도 6B는 PEC이 적용된 후에 도 5A 및 도 5B의 샷에 대응하는 결과적인 선량을 도시한다. 도 6A에서, 형상(502) 및 그 주변 샷에 의해 캐스팅된 전자의 후방 산란은 전형적으로 10㎛ 정도의 샷으로부터 거리 내에 있으며, 후방 산란(618)을 나타내는 점선으로 표시된다. 주변 영역에 노광이 밀집 배치되면, 후방 산란(618)이 더 높아질 것이다. 주변 영역이 희박하게 채워지면, 후방 산란(618)은 아마도 0에 가깝게 낮아질 것이다. 일반적으로, 관행과 같이, PEC가 충분히 큰 형상(502)에 대해 인가되는 선량을 반복적으로 최적화하여서, 형상(502)을 슈팅하기 위해 인가된 선량은 후방 산란에 의해 기여된 추가 선량에도 불구하고 형상(502)의 폭이 정확하게 인쇄될 수 있게 한다. 노광의 보정된 선량 프로파일(612)(후방 산란 제외)은 선량 프로파일(512)보다 적은 선량을 갖는다. 에지 기울기(616)는 에지 기울기(516)보다 얕다. 인접 영역으로부터 받는 후방 산란의 양이 많을수록 선량 마진은 더 나쁘다. 도 6B는 SRAF와 같이 더 작은 형상에 적용된 동일한 PEC를 도시한다. PEC가 일반적으로 모든 크기의 모든 형상에 동일한 방식으로 적용되기 때문에, 결과적인 PEC 후 선량 프로파일(622)은 PEC가 적용된 후에 선량 프로파일(522)에 비교하여 크기가 훨씬 더 작아진다(후방 산란(618)에 대해 수평 점선으로 도시된 후방 산란에서 오는 기본 선량을 포함하지 않는다). 그러므로, 에지 기울기(628)는 에지 기울기(528)보다 훨씬 더 얕고, 에지 기울기(616)보다 상당히 얕아 선량 마진을 더 나쁘게 하고, 이러한 형상은 제조 변동의 영향에 훨씬 더 민감하다. 도 6C는 원하는 임계 치수(CD)를 충족시키기 위해 선량이 증가된 도 5C에 설명된 샷의 PEC 후 선량 프로파일을 도시한다. 에지 기울기(638)는 PEC가 적용되기 전에 PEC 전 에지 기울기(538)보다 더 얕지만(더 나쁘지만), 정상 선량 PEC 후 에지 기울기(628)보다 양호하다.
후방 산란은 레지스트 및 레지스트 아래의 재료와 충돌한 후 다시 "반동"되는 전자와 같은 하전 입자에 의해 발생한다. 후방 산란의 유효 반경은 예를 들어 샷 크기보다 훨씬 큰 10㎛일 수 있다. 그러므로, 샷의 유효 반경 내에 있는 근방 샷(nearby shot)의 모든 후방 산란은 샷의 위치에 선량을 추가할 것이다. 보정되지 않으면, 후방 산란은 샷의 의도된 선량보다 더 많은 선량을 샷에 추가하여 패턴이 더 넓게 등록될 수 있으며, 노광 밀도가 높은 영역에서는 더욱 그렇다.
도 7A 내지 도 7G는 당업계에 공지된 후방 산란 효과를 보정하기 위해 각각의 형상을 슈팅하도록 사용되는 선량 양을 보정하기 위해 PEC에 의해 적용되는 반복 시퀀스를 도시한다. 도 7A는 직사각형 샷(702)의 윤곽의 예를 예시한다. 단절부는 샷(702)이 수직 방향으로 임의로 길 수 있다는 것을 예시한다. 예시를 위해, "g"와 "h" 사이의 거리인 샷(702)의 폭은 일반적으로 사용되는 마스크 생산 프로세스를 가정한 이 예에서 100㎚ 내지 300㎚로 가정되며, 약 10㎛의 추정된 후방 산란 범위보다 훨씬 작다. 다중 빔 기계의 샷 크기는 전형적으로 5 내지 20㎚이다. 다중 빔 기계의 경우, 함께 조합된 샷 모음은 샷(702)과 같은 형상을 슈팅한다. 후방 산란은 샷의 모음과 동일한 방식으로 단일 샷에 적용되며, PEC 보정은 동일한 방식으로 적용된다. 도 7B는 후방 산란이 거의 없는 정상 샷 선량으로 샷 윤곽선(702)을 통한 라인(704)을 따른 선량을 나타내는 선량 그래프(710)의 예를 예시한다. 다른 장거리 효과도 도 7B의 배경 노광에 전혀 기여하지 않아, 거의 0의 배경 노광 레벨을 초래하는 것으로 가정된다. 레지스트에 전달되는 전체 선량은 y-축에 예시되며 정상 선량의 1.0배이다. 배경 노광이 거의 0이기 때문에, 전체 선량과 샷 선량이 거의 동일하다. 선량 그래프(710)는 또한 정상 선량의 절반에서 레지스트 임계값(714)을 예시한다. x-방향에서 선량 그래프(710)에 의해 표현된 형상의 CD 변동은 레지스트 임계값(714)과 교차하는 x-좌표 "g" 및 "h"에서 선량 곡선(즉, 선량 프로파일)(712)의 기울기와 역관계(inversely related)이다. 임계값(714)에서 선량 곡선(712)의 에지 기울기(716)는 직각 삼각형의 빗변으로 예시된다.
거의 0의 배경 노광의 도 7B의 조건은 실제 설계를 반영하지 않는다. 실제 설계는 전형적으로 샷(702)의 후방 산란 거리 내에 많은 다른 샷을 가질 것이다. 도 7C는 50% 노광 밀도로부터 발생하는 후방 산란(728)을 갖는 정상 선량을 갖는 샷의 선량 그래프(720)의 예를 예시한다. 선량 그래프(720)에서, 선량 곡선(722)은 배경 노광(후방 산란(728))에 더하여 샷(702)의 단면 선량을 예시한다. 임계값(714)에서 선량 곡선(722)의 에지 기울기(726)는 직각 삼각형의 빗변으로 예시된다. 곡선(722)의 CD 변동은 곡선(722)이 에지 기울기(716)에 비교하여 지점 "g" 및 "h"를 넘어서 레지스트 임계값(714)과 교차하는 더 얕은 에지 기울기(726)에 의해 표시된 바와 같이 곡선(712)의 CD 변동보다 더 크다(더 나쁘다). 더 얕은 에지 기울기(726)는 후방 산란(728)에 의해 야기된 배경 노광으로 인해 선량 마진이 더 나쁜 선량 곡선의 하부 부분과 교차하는 레지스트 임계값에 기인한다. 레지스트 임계값(714)에서 선량 곡선(722)의 교차점은 지점 "g" 및 "h" 보다 넓어, 인쇄된 CD가 원하는 크기보다 클 것임을 나타낸다. 후방 산란(728)은 레지스트를 "사전 노광"하므로, 후방 산란(728)의 존재시에 정상 선량으로 선량 곡선(722)을 슈팅하는 것은 CD가 원하는 것보다 더 크게 인쇄되게 한다.
도 7D는 PEC 이후 새로운 선량 곡선(732)을 예시한다. 중간 선량 그래프(725)는 여전히 50% 후방 산란(728)을 나타내지만, 현재 후방 산란으로, 선량 곡선(732)과 레지스트 임계값(714)의 교차점이 "g" 및 "h"에서 정확하게 측정되도록 계산된 감소된 선량 곡선(732)을 갖는다. 이러한 것은 후방 산란의 존재로부터 오는 과다 선량을 보정하기 위한 PEC의 제1 반복의 결과이다. 이 반복에서, 모든 주변 샷에 대해 PEC가 수행된 후에 새로운 후방 산란이 무엇인지 알 수 있는 방법이 없기 때문에 후방 산란 양은 후방 산란(728)과 동일한 것으로 가정된다. PEC 계산은 레지스트 임계값(714)이 "g" 및 "h"에서 선량 프로파일(732)과 교차하도록 샷(702)에 인가되는 선량을 감소시킨다. 임계값(714)에서 선량 곡선(732)의 에지 기울기(736)는 직각 삼각형의 빗변으로 예시된다. PEC가 선량 마진을 더 나쁘게 함에 따라서, 기울기(736)는 기울기(716)보다 얕다.
도 7E의 선량 그래프(730)는 PEC가 다수 회의 반복이 필요한 이유를 예시한다. 도 7D에 예시되어 있는 바와 같이 수행된 PEC 계산은 후방 산란(738)이 후방 산란(728)과 동일한 것을 필요 충분 조건으로 하여 "g"와 "h"에 정확히 적중한다. 그러나, PEC가 모든 샷에 대해 수행되기 때문에, 이 샷 주변의 모든 샷에 PEC가 적용되어 후방 산란(738)이 감소될 것이어서, 각각의 샷 선량이 감소한다(이 샷의 선량이 곡선(722)에서 곡선(732)으로 감소됨에 따라서). 새로운 후방 산란은 도 7E에 후방 산란(738)으로 표시된다. 후방 산란(738)은 후방 산란(728)보다 더 낮다. 알 수 있는 바와 같이, 후방 산란의 감소는 원래 샷(702)의 원하는 크기보다 더 작은 등록된 패턴 크기를 초래한다.
PEC의 제2 반복은 이 새로운(감소된) 후방 산란 양(후방 산란(738))으로 PEC를 재계산하눈 것에 의해 이를 보정한다. 이제, 후방 산란을 낮추는 것으로, 정확한 CD로 샷(702)과 같은 형상을 인쇄하기 위해 목표 "g" 및 "h"에 적중하도록 증가된 선량으로 선량 프로파일(732)이 개선되어야 한다.
도 7F의 선량 그래프(740)에 표시된 바와 같이 설계의 모든 형상에서 PEC에 대한 선량을 개선하면 선량 곡선(732)보다 더 높은 선량 프로파일(742)이 생성된다. 이어서, 이웃의 다른 모든 형상이 조정된 후 후방 산란(738)보다 더 높은 후방 산란(748)이 생성될 것이다. PEC의 제3 반복은 이 새로운 (증가된) 후방 산란 양으로 PEC를 재계산하는 것에 의해 이를 보정한다. 이러한 반복은 도 7G의 선량 그래프(750)에서 선량 레벨(758)에 표시된 바와 같이 후방 산란 양의 사전 결정된 공차 내에서 수렴하고, 선량 프로파일(752)이 임계값(714)에서 원래 샷(702)의 원하는 크기를 정확하게 생성할 때까지 교대로 계속된다. 그런 다음, 수렴된 선량 양은 마스크의 개별 형상을 슈팅하도록 사용되어, PEC 결과로서 모든 형상에 대한 후방 산란 보정된 선량 세트가 생성된다.
도 8A 및 도 8B는 PEC가 격리된 형상에 어떻게 영향을 미치는지에 대한 예를 예시한다. 도 8A 형상(810 및 815)은 그 외의 다른 노광이 없는 영역에서 격리된 패턴이다. 초기 선량은 두 형상 모두 정상 선량의 1.0배로 계산될 수 있다. 도 8B는 PEC 후에 계산된 선량이 여전히 정상 선량의 약 1.0이며, 형상(810 및 815)에 의해 기여된 후방 산란 이외의 주변 후방 산란이 없기 때문에 사실상 영향을 받지 않는 것을 도시한다.
도 8C 및 도 8D는 50% 노광 밀도 영역; 즉, 영역의 50%가 패턴으로 덮여 있는 영역에서 PEC가 형상에 어떻게 영향을 미치는지에 대한 예를 예시한다. 도 8C에서, 형상(820, 822, 824, 826 및 828)은 반복된 라인 및 공간 패턴으로 표시되며, 여기에서, 사이의 조사된 형상 및 공간은 폭이 동일하고, 라인 및 공간 패턴은 모든 방향으로 유효 반경 10㎛에 대해 반복된다. 각각의 형상에 대한 초기 선량은 정상 선량의 1.0배로 계산될 수 있다. 도 8D는 PEC 동안 이웃한 형상으로부터의 주변 후방 산란으로 인해 선량이 반복된 패턴의 모든 형상에 대해 0.67의 정상 선량으로 감소되는 것을 예로서 도시한다.
도 8E 및 도 8F는 PEC가 고밀도 영역의 형상에 미치는 영향의 예를 예시한다. 도 8E에서, 형상(832)이 관심 형상인 형상(830, 832 및 834)이 도시된다. 형상(830 및 834)은 유효 반경 10㎛ 폭보다 더 큰 폭의 넓은 노광 영역이고, 패턴은 유효 반경 10㎛만큼 위아래로 확장된다. 각각의 형상에 대한 초기 선량은 정상 선량의 1.0배로 계산될 수 있다. 도 8F는 PEC 동안 이웃 형상으로부터의 주변 후방 산란으로 인해 선량이 예를 들어 형상(832)에 대해 정상 선량의 0.5로 감소됨을 도시한다. PEC는 충분히 큰 형상에 대해 후방 산란이 없는 상태의 CD와 CD가 동일하게 되기 위한 바로 정확한 양만큼 각각의 노광의 선량을 수학적으로 감소시킨다. 그러므로, PEC는 후방 산란의 영향을 사실상 사라지게 한다. 후방 산란은 항상 양의 값이다. 그러므로, PEC는 항상 선량을 감소시켜 보상한다.
PEC는 가우시안을 "평탄화"하는 방식으로 작동하기 때문에, 높은 후방 산란 영역에서 형상을 노광하는데 필요한 선량은 훨씬 적다. 후방 산란이 높은 영역(형상이 높은 선량 밀도로 둘러싸여 있음)과 후방 산란이 없는 영역(형상이 낮은 선량 밀도로 둘러싸여 있음) 사이에 필요한 선량의 차이는 2X만큼 클 수 있다. PEC 계산의 제1 반복을 위한 정상 선량을 갖는 샷 또는 픽셀의 수정된 선량(Dpec)은 다음 공식에 의해 주어진다:
Figure pct00001
정상 선량의 0.5의 레지스트 임계값에서, Temp는 레지스트 임계값에서 전방 산란의 비율이고, 에타(η)는 정규화 상수이다. 0.5의 Temp, 0.5의 에타(η), 및 100%의 노광 밀도에서, Dpec는 0.5로 계산된다. SRAF가 정상 선량의 두 배(2.0배)로 슈팅되도록 지정되었지만 후방 산란이 높은 고밀도 영역에 있으면, 픽셀 또는 샷은 결국 PEC 계산의 제1 반복 후에 0.5 * (2.0) = 1.0로서 정상 선량의 약 1.0배가 된다.
이는 영역이 주로 후방 산란으로부터의 에너지로 노광되기 때문이다. 그래서, 이러한 픽셀 또는 샷의 에너지 중 일부만이 레지스트를 노광하기 위한 임계값에 도달할 수 있는 충분한 에너지를 캐스팅하는데 필요하다.
거의 0의 후방 산란을 가진 영역은 샷당 가장 많은 eBeam 선량을 취한다. 그러므로, 일정 기록 시간 다중 빔 기록기에서, 전체 기계의 기록 시간은 전형적으로 SRAF에 대해서와 같은 좁은 형상에 대해 가장 높은 향상된 선량으로 이들 격리된 패턴의 기록에 의해 페이싱된다(paced). 전형적인 테스트 마스크는 고밀도 영역의 패턴과 저밀도 영역의 패턴으로 혼합된다. 전형적인 생산 마스크는 훨씬 적은 변형을 갖는다. 일부 마스크는 모두 조밀한(예를 들어, 평균 70% 노광 밀도) 패턴을 갖는다. 다른 마스크는 모두 희박한(예를 들어, 평균 25% 노광 밀도) 패턴을 갖는다. 그러나, 많은 생산 마스크가 일부 테스트 패턴을 조합하여, 낮은 변동이 보장될 수 없다. 어떤 경우에도, 특히 일정 기록 시간 기록기 동안, 다중 빔 기록 속도는 후방 산란이 적은 환경에서 크게 개선된 선량을 조합하는 픽셀에 의해 지정된다. 보다 적은 정도로, 그러나 여전히 상당하게, VSB 기록기 및 가능하게는 다중 빔 기록기와 같은 가변 기록 시간 기록기의 기록 속도는 후방 산란이 적은 환경에서 높은 개선된 선량을 조합한 샷에 의해 페이싱된다.
인위적 배경 선량
일부 실시형태에서, 인위적 배경 선량은 픽셀 또는 샷 선량을 감소시키고, 그러므로 전체 기록 시간을 감소시키기 위해 그렇지 않으면 낮은 후방 산란의 영역에 도입된다. 결과적으로, 픽셀 또는 샷은 PEC 후에 더 낮은 선량을 가져, 마스크 또는 마스크의 섹션에 대한 픽셀 또는 샷 선량의 최대값을 감소시키고, 스테이지 이동 속도를 증가시키며, 이에 의해 전체 기록 시간을 상당히 감소시킨다.
도 9A 및 도 9B는 일부 실시형태에 따른 인위적 배경 선량의 도입이 어떻게 도 8C 및 도 8D와 유사한 50% 밀도를 모방할 수 있는지를 예시한다. 도 9A는 도 8A와 유사한 격리된 형상(910 및 915)을 도시하지만, 형상(913)의 형태의 추가된 인위적 배경 선량을 갖는다. 초기 선량은 이전과 같이 형상(910 및 915)에 대한 정상 선량의 1.0배로 설정되고, 이 예에서 형상(913)에 대한 정상 선량의 0.30인 인위적 배경 선량이 설정된다. 이러한 새로운 형상(913)에 적용되는 선량이 인쇄에 대한 임계값 미만이라는 점을 유의하는 것이 중요하다. 도 9B는 도 8D와 같이 PEC 후 정상 선량의 0.67에서 유사한 선량 감소를 도시하고, 이는 이전에 격리된 형상(910 및 915)을 인쇄하는데 필요한 전체 선량을 감소시킨다. 일부 실시형태에서, 형상(913)은 또한 정상 선량의 0.20으로 감소된다. 이 단순화된 예에서, 형상(913)은 형상(910 및 915)으로부터 떨어져 후방 산란 반경을 넘어 확장되는 것으로 가정된다. 대부분의 상황에서, 형상(913)은 형상(910 및 915) 바로 주변 영역에서만 인위적 배경 선량을 추가하기 위해 크기가 훨씬 감소될 것이다. 형상(910, 913 및 915)을 둘러싼 다른 형상에 대한 다른 인위적 배경 선량은 이러한 형상에 실제 후방 산란을 기여한다. 본 실시형태에서, 모든 이러한 에너지 기여는 형상(910 및 915)의 실제 PEC 후 선량이 계산될 때 PEC를 사용하여 계산된다. 일부 실시형태에서, 형상(913)의 선량은 PEC의 모든 반복에 의해 수정되지 않는다. 일부 실시형태에서, 형상(913)의 선량은 PEC의 모든 반복을 통해 수정된다.
인위적 배경 선량은 PEC 후 선량 마진이 실시에 의해 설정된 일부 파라미터에 따라서 "충분히 양호"보다 충분히 양호한 후방 산란이 충분히 낮은 영역에 추가될 수 있다. 도 10A 내지 도 10F는 인위적 배경 선량의 몇 가지 예를 예시하고, 여기에서, 추가된 인위적 배경 선량은 원하는 패턴과 조합하여 슈팅될 추가적인 패턴(음영 채움 라인을 갖는 패턴)의 형태이다. 인위적 배경 선량은 임계값 미만(sub-threshold) 노광이며; 즉, 인쇄에 필요한 레지스트 임계값보다 더 낮은 선량이다. 도 10A는 인위적 배경 선량 형상(1001)이, 영역 내의 패턴을 완전히 덮는, 표면 상에 인쇄될 원하는 패턴 형상(1000)을 포함하는 영역 위에 어떻게 캐스팅될 수 있는지를 도시한다. 도 10B는 패턴을 덮지 않도록 원하는 패턴 형상(1000)이 이미 존재하는 영역을 제외한 영역의 인위적 배경 선량 형상(1002)을 도시한다. 도 10C는 예를 들어 패턴의 에지로부터 최대 3 시그마까지 떨어진 일부 마진을 갖는 원하는 패턴 형상(1000)을 둘러싸는 영역에서 인위적 배경 선량 형상(1003)을 도시한다. 도 10D의 패턴 형상(1010)에서와 같이 충분히 큰 패턴의 경우, 인위적 배경 선량 형상(1011 및 1012)은 표면에 인쇄될 패턴 형상(1010)의 에지로부터 사전 결정된 거리를 넘어서만 적용된다. 예를 들어, 일부 경우에 이러한 방식으로 적용된 에지, 라인 단부 또는 SRAF, 인위적 배경 선량과 같은 특징부 주위에 마진을 생성하는 것은 단지 모든 곳에서 배경 노광을 증가시키는 것보다 더 양호하다. 인위적 배경 선량은 대안적으로 예를 들어 도 10E 및 도 10F 각각에 도시된 영역에 모든 곳에 추가될 수 있으며, 인위적 배경 선량 형상(1004 및 1005)은 각각 원하는 패턴 형상(1000)을 부분적으로 덮는다.
동적 최대 선량
인위적 배경 선량은 레지스트 임계값 아래의 특정 선량 레벨까지 안전하게 추가될 수 있다. 기계 기록 시간은 PEC 후 최대 선량에 의존한다. 지정된 기록 시간을 보장하는 하나의 방식은 PEC 후 최대 선량을 제한하고, 그 이상의 선량을 자르는 것이다. 그러나, 선량을 자르는 것은 인쇄 오류를 유발할 수 있다. 본 실시형태에서, 동적 최대 선량(Dynamic Maximum Dose: DMD)으로 지칭될 수 있는 방법이 설명되며, 여기에서, 목표 PEC 후 최대 선량이 선택되어, PEC 전 최대 선량을 결정하기 위해 사용된다. 계산은 패턴 밀도에 기초하여 동적으로 수행되어서, 결과적인 PEC 후 선량은 선택된(목표) PEC 후 최대 선량을 초과하지 않아서, 선량 자르기에 대한 필요성을 제거한다. 일부 실시형태에서, 목표 PEC 후 최대 선량이 입력된다. 도 11은 예시적인 시나리오로서 50% 밀도(즉, 0.5의 값)에서의 선형 공간 패턴을 사용하여 목표 PEC 후 최대 선량을 충족시키기 위해 PEC 전 최대 선량을 계산하는 예를 도시한다. 이 실시형태에서, 1.5의 PEC 전 최대 선량(1110)은 종래의 PEC 방법에 따라 1.07의 PEC 후 최대 선량(1120)을 초래할 것이다. PEC 전 최대 선량(1110)으로부터 PEC 후 최대 선량(1120)의 이러한 계산은 도 11에서 아래를 향한 화살표로 표시된다. 1.5의 PEC 전 선량은 일반적으로 원하는 크기보다 큰 특징부를 인쇄하고, 그러나, 이러한 선량은 선형성 보정을 수행하는 방법으로서 작은 특징부를 위해 필요할 수 있다. 일부 실시형태에 따르면, 사용자는 1.07의 PEC 후 선량이 기록될 모든 패턴 밀도에 대해 충분하다고 결정할 수 있고, 목표 PEC 후 최대 선량으로서 선량(1120)(1.07의 선량 값)을 선택할 수 있다. 결과적으로, 다른 패턴 밀도(예를 들어, 10%, 20% 등)에 대해, PEC 전 최대 선량은 그런 다음 도 11에서 위로 향한 화살표에 의해 표시된 바와 같이 선택된 PEC 후 최대 선량(즉, 목표 PEC 후 최대 선량을 초래하는 PEC 전 선량)에 기초하여 계산된다. PEC 전 및 PEC 후 선량 사이의 계산은 종래의 PEC 방법을 사용하여 수행될 수 있을지라도, 목표 PEC 후 최대 선량에 기초한 PEC 전 선량을 계산하고 본 실시형태에서 개시된 바와 같이 기록된 패턴 밀도에 대해 조정되는 것은 당업계에서 고려되지 않았다.
특히 도 8A 내지 도 8F, 특히 도 8B, 도 8D 및 도 8F를 참조하면, 이들 도면은 패턴 밀도가 증가함에 따라서, 증가된 후방 산란에 의한 추가 노광을 보상하기 위해 PEC에 의해 보정된 바와 같은 선량이 감소되는 것을 도시한다. 또한, 후방 선량에서의 증가 및 선량의 결과적인 PEC 감소는 도 7A 내지 도 7G에 도시된 바와 같이 선량 마진을 감소시킨다. 그러므로, 정상 선량은 패턴 밀도가 증가함에 따라서 더욱 낮은 선량 마진을 초래하였을 것이다. 일부 실시형태에서, 충분한 선량 마진을 제공하는 것은 PEC 후 최대 선량이 사전 설정된 제한(예를 들어, 정상 선량의 0.7 내지 1.3배) 내에 있도록 선량을 증가시키고, 형상의 크기가 정확한 것을 보장하기 위해 선형성 보정을 수행하는 것을 포함한다.
도 12 및 도 13은 PEC 후 선량 최대값을 갖는 선량 마진 향상이 픽셀 선량 어레이 형상 데이터로 어떻게 달성될 수 있는지를 도시한다. 당업자에게 공지된 바와 같이, 반도체 디바이스 제조시에 최첨단 마스크 프로세스에서, 예를 들어 마스크 치수에서 약 100㎚보다 작은 형상이 정상적인 1.0의 선량 샷으로 노광될 때, 에지는 큰 샷보다 더 나쁜 선량 마진을 가질 것이다. 도 12는 60㎚의 폭을 갖는 좁은 라인 단부에 대해 선량 마진을 개선하도록 수행된 선형성 보정을 픽셀 선량이 반영하는 픽셀 어레이(1200)를 도시한다. 이 예에서, 픽셀 크기는 X 및 Y 방향 모두에서 10㎚이다. 계산된 에지(1210)는 이러한 데이터로부터 계산되어, 라인 단부 패턴을 나타낸다. 여기에서, 1.0을 초과하는 임의의 선량을 자르는 것은 선량 마진이 더 나쁜 1220으로 표시된 더 작은 형상을 초래하였을 것이다.
이에 반해, 도 13은 일부 실시형태에 따라서 기록 시간을 줄이고 허용 가능한 선량 마진을 달성하면서 패턴 크기를 유지하기 위해 노광 정보가 어떻게 수정될 수 있는지를 도시한다. 이 예에서, 0.9의 목표 PEC 후 최대 선량을 사용하는 실시형태에 대해, 도 13은 수정된 노광 정보에 기인하는 PEC 후 선량을 도시한다. 도 12에서의 선량 마진은 도 13에서의 선량 마진보다 양호하며; 그러나, 도 12에서의 선량 마진은 사전 결정된 임계 선량 마진과 비교하여 필요한 것보다 훨씬 클 수 있다. 도 13에서, 선량 마진은 또한 임계 선량 마진보다 양호하지만, 기록 시간은 선량이 보다 작기 때문에 도 12에서보다 짧다. 도 13은 최대 선량 미만의 픽셀 선량과 충분한 선량 마진과 함께, 1310으로 표시된 크기를 달성하는 결과적인 픽셀 어레이(1300)를 도시한다.
본 출원의 양수인에 의해 소유되고 참조에 의해 본 명세서에 원용되는 미국 특허 제9,372,391호(발명의 명칭: "Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage")에 개시된 바와 같이 패턴의 내부 부분에서 달성될 수 있는 가장 낮은 선량을 결정하기 위해 최적화 기술이 사용될 수 있다. 일부 실시형태에서, 이러한 최적화 기술은 샷의 세트가 사전 결정된 공차 내와 같은 특정 파라미터에 따라서 원하는 패턴을 형성한다고 결정하기 위해 입자 빔 시뮬레이션을 사용하는 것과 같이 샷의 세트에 대한 레지스트 응답을 계산하는 것을 포함할 것이다. 할당되지 않은 선량 샷만 지원하는 하전 입자 빔 기록기를 위한 샷을 생성할 때, 영역 선량을 감소시키도록 갭이 패턴의 내부 영역에서 사용될 수 있다는 점에 유의한다. 특히, 제조 공차의 "코너 경우"로 시뮬레이션하는 것에 의해, 보다 낮은 선량 또는 갭을 갖는 설계는 감소된 기록 시간 및 향상된 에지 기울기로 원하는 형상을 안전하게 슈팅하도록 사전 결정될 수 있다. 유사하게, 중첩 샷은 영역 선량을 증가시키도록 사용될 수 있다. 중첩 샷의 사용은 본 출원의 양수인에 의해 소유되고 참조에 의해 본 명세서에 통합되는 미국 특허 제7,754,401호(발명의 명칭: "Method for Manufacturing a Surface and Integrated Circuit Using Variable Shaped Beam Lithography")에 개시되어 있다.
도 14는 1, 0.9, 0.8 및 0.75의 PEC 후 최대 선량에 대한 선량 마진 대 패턴 밀도의 그래프를 도시한다. 이 실시형태에서 0.5의 허용 가능한 선량 마진은 점선(1450)으로 표시된다. 그래프는 약 0.3(즉, 30%) 미만의 패턴 밀도에 대해 허용 가능한 선량 마진을 초과하는 PEC 전 정상 선량 1.0(라인 1400)에 비해 더 높은 패턴 밀도에서도 주어진 패턴 밀도에 대해 1.0(곡선(1410)) 및 0.9(곡선(1420))에서 PEC 후 최대 선량이 허용 가능한 선량 마진(즉, 라인(1450) 아래)을 초래한다는 것을 도시한다. 즉, 점선(1400)에 의해 도시된 정상 선량에서, 선량 마진은 패턴 밀도가 증가함에 따라서 더 나쁘게 된다. 선량 마진을 제어하는 이러한 문제를 다루기 위해, 일부 실시형태에서, 목표 선량 마진은 목표 PEC 후 최대 선량을 선택할 때 패턴 밀도와 함께 고려된다. 예를 들어, 도 14에 도시된 PEC 후 최대 선량의 범위는 라인(1420)을 따라서 0.9 내지 라인(1410)을 따라서 1.0의 PEC 후 최대 선량을 가진 모든 패턴 밀도에 대해 점선(1450)을 따라서 표시된 바와 같이 0.5 미만으로 선량 마진이 충분하게 유지된다는 것을 예시한다. 0.9의 PEC 후 최대 선량은 기록 시간을 1.0의 PEC 후 최대 선량에 비해서 10%만큼 감소시킨다. 라인(1430)을 따라서 0.8 및 라인(1440)을 따라서 0.75의 더 낮은 PEC 후 최대 선량에서, 30% 미만의 패턴 밀도에 대한 선량 마진은 더 나쁘게 된다. 그러므로, 사용자는 목표 선량 마진을 충족시키면서 기록 시간을 제한하기 위해 목표 PEC 후 최대 선량으로서 0.9를 선택할 수 있다.
인위적 배경 선량을 가진 동적 최대 선량
도 15는 인위적 배경 선량이 추가될 때 선량 마진 대 패턴 밀도의 그래프이다. 패턴 밀도가 30%와 같은 특정 임계값 미만인 영역(1510)에서 인위적 배경 선량을 추가하는 것에 의해, 허용 가능한 선량 마진이 도 15에 도시된 바와 같이 달성될 수 있다. 즉, 선량 마진은 도 15의 영역(1510)에서 일정하고, 이는 도 14의 동일한 영역에서 다양한 선량 마진 대 패턴 밀도 이상의 개선이다. 일부 실시형태에서, 인위적 배경 선량은 국부 패턴 밀도를 원하는 임계값, 예를 들어 30%까지 증가시키기 위해 추가되어, 충분한 선량 마진과 함께 최대 25%의 최대 선량 감소를 허용한다. 아울러, PEC 전 최대 선량은 제조 변동에 대한 회복력의 다른 조치 중에서 임계 치수 균일성(CDU) 및 라인 에지 거칠기(LER)를 향상시키기 위해 계산된다. CDU 및 LER를 향상시키는 것은 선량 마진의 향상과, 마스크에서의 특징부 전반에 걸친 선량 마진의 균일성의 개선을 포함한다. 선량 마진(에지 기울기)의 향상은 본 출원의 양수인에 의해 소유되고 참조에 의해 본 명세서에 통합되는 미국 특허 제8,473,875호(발명의 명칭: "Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography")에 개시되어 있다.
일부 실시형태에서, 예를 들어 20% 내지 30% 범위의 사전 결정된 인위적인 배경 선량이 한정되고 모든 곳에 적용된다. 예를 들어, 30%의 최소 목표 후방 산란 양 또는 사전 결정된 임계값이 한정된다. PEC가 선량을 감소시키기에 자연적으로 충분한 후방 산란이 없는 일부 실시형태에서, 주변 선량은 최소 후방 산란 양을 충족시키기 위해 인위적 배경 선량을 추가하는 것에 의해 증가된다. 일부 실시형태에서, 인위적 배경 선량에 의해 기여된 추가적인 후방 산란은 인위적 배경 선량에 의해 기여된 바와 같이 추가적인 후방 산란을 사전 계산하는 것에 의해 추가되도록 인위적 배경 선량의 양에서 고려되거나 고려되지 않을 수 있다. 본 명세서에 설명된 실시형태는 예시이며 인위적 배경 선량을 추가하는 다른 변형이 가능하다. 일부 실시형태에서, 최소 후방 산란 양은 인위적 배경 선량으로부터 발생하는 추가적인 후방 산란을 고려하기 위해 빌트인 마진(built-in margin)을 가질 것이다. 후방 산란 양은 거동이 수학적으로 선형적이기 때문에, 인위적 배경 선량에 의해 추가된 후방 산란의 양은 임의의 주어진 영역에서 샷의 선량과 독립적으로 계산될 수 있다. 일부 실시형태에서, 전체 마스크 영역은 일부 크기 또는 크기들의 파티션으로 세분될 것이며, 인위적 배경 선량은 각각의 파티션에 대해 결정되고, 각각의 파티션은 파티션 내에서 하나의 인위적 배경 선량 양을 가지지만, 상이한 파티션들은 잠재적으로 상이한 인위적 배경 선량 양을 갖는다. 전형적으로, PEC는 50㎚ 그리드 또는 300㎚ 그리드와 같은 거친 그리드에 대해 계산된다. 각각의 그리드 또는 파티션에 대해, PEC 조정이 계산된다. 일부 구현에서, 파티션 내의 임의의 위치에 대한 PEC 조정은 인접한 파티션에 대해 계산된 PEC 조정을 기초로 보간된다. 일부 실시형태에서, 인위적 배경 선량 양의 계산은 PEC를 위해 사용되는 그리드와 동일한 그리드에서 수행된다. 이러한 구현에서, 각각의 PEC 그리드에서의 수신된 후방 산란 양은 지정된 최소 목표 후방 산란 양과 비교되어 PEC 그리드가 덮는 영역에 제공할 인위적 배경 선량 양을 결정한다. 일부 실시형태에서, 개별 파티션 내의 임의의 위치에 대한 인위적 배경 선량은, 예를 들어 인접한 파티션에 대한 인위적 배경 선량에 기초하여 파티션에 걸쳐서 보간된다. 이어지는 PEC 단계는 해당 샷/픽셀의 선량을 감소시켜, 대응하는 샷/픽셀의 기록 시간을 감소시킨다. 마스크의 모든 샷/픽셀 또는 마스크의 섹션에 대해 이를 수행하는 것은 선량을 감소시키고, 따라서, 마스크의 해당 섹션에 대한 기록 시간을 감소시킨다. 이어지는 PEC 단계는 인위적 배경 선량과, 인위적 배경 선량에 의해 기여된 임의의 추가적인 후방 산란의 추가를 설명한다. PEC는 마스크 상의 모든 샷의 샷 선량을 조정하여, PEC가 항상 자연 후방 산란을 위해 작동했던 것과 정확히 동일한 방식으로 목표에 도달하도록 모든 CD를 조정한다. 조정된 샷의 선량 마진은 인위적 배경 선량을 추가하기 전보다 더 나쁘다. 그러나, 최소 후방 산란에 대한 사용자 조정된 파라미터는 선량 마진이 특정 마스크 프로세스 동안 결정된 허용 가능한 선량 마진 내에 머물 수 있게 한다.
기존의 VSB 기계를 이용하여, 임의의 종류의 선량(그러나, 작은 선량)을 캐스팅하는 것은 별개의 샷과, 샷을 분리하는 블랭킹 시간을 요구한다. 블랭킹 시간이 전형적으로 정상 선량에서 노광 시간과 거의 동일한 양의 시간이기 때문에, 정상 선량에서, 샷 시간의 합리적인 1차 근사치는 2시간 단위를 취하는 것으로 고려될 수 있다. 이 근사치에서, 10% 선량의 샷은 1.1시간 단위를 취하였을 것이다. 기록 시간이 마스크의 비용과 수율 모두를 최적화하는데 가장 중요하고 복잡한 첨단 마스크가 이미 기록에 너무 오래 걸리는 것으로 밝혀졌기 때문에, 그 외에 샷이 없는 모든 곳에 인위적 배경 선량을 추가하는 것은 상업적으로 실현할 수 없다. 그럼에도 불구하고, 전형적으로 가변 속도인 마스크 스테이지가 VSB 마스크 기록기에서 무겁기 때문에, 마스크 스테이지는 속도를 점진적으로만 변경할 수 있고, 따라서, 피크 선량 밀도를 감소시키는 것은 VSB 기계의 기록 시간을 감소시키는 것을 돕는다.
특히, VSB 기계에 대해, 본 개시내용의 일부 실시형태는 의도적으로 일부 eBeam 에너지를 누설하고, 블랭킹 시간 동안 인위적 배경 선량을 생성하는 것을 포함한다. 누설된 eBeam이 캐스팅되는 정확한 위치는 정밀하게 제어될 필요가 없다. 후방 산란은 반경 10㎛ 범위에서의 대규모 효과이기 때문에, ㎚ 레벨의 위치 제어는 중요하지 않다. 블랭킹 시간 동안 누설의 양과 누설의 기간은 정밀하게 계산될 수 있다. 이러한 계산은 eBeam이 이동하는 경로를 계산할 수 있으며 정확한 제어가 필요한 임의의 패턴 에지에 근접한(즉, 조합된 전방 블러 내에서의) 누설의 캐스팅을 방지할 수 있다.
가장 발전된 기술 노드를 위한 표면 기록은 전형적으로 다중 패스 노광으로 지칭되는 프로세스인 하전 입자 빔 기록의 다중 패스를 수반하고, 이에 의해 레티클에 주어진 형상이 기록되고 중복 기록된다. 전형적으로, 2-4개의 패스는 하전 입자 빔 기록기의 정밀도 오류를 평균화하기 위해 레티클을 기록하도록 사용되여, 보다 정확한 포토 마스크의 생성을 가능하게 한다. 또한, 전형적으로, 선량을 포함하는 샷의 목록은 모든 패스에 대해 동일하다. 다중 패스 노광의 한 변형에서, 샷의 목록은 노광 패스마다 변할 수 있지만 임의의 노광 패스에서 샷의 연합은 동일한 영역을 커버한다. 다중 패스 기록은 표면을 코팅하는 레지스트의 과열을 감소시킬 수 있다. 다중 패스 기록은 또한 하전 입자 빔 기록기의 무작위 오류를 평균화한다. 상이한 노광 패스에 대해 상이한 샷 목록을 사용하는 다중 패스 기록은 또한 기록 프로세스에서 특정의 시스템 오류의 영향을 감소시킬 수 있다. 일부 실시형태에서, VSB 및 다중 패스 기록에서, 기록 패스 중 하나 또는 일부만이 인위적 배경 선량을 캐스팅한다. 즉, 일부 실시형태에서, 원래 세트의 노광 정보는 다중 노광 패스에 대한 정보를 포함하고, 인위적 배경 선량은 노광 패스에만 추가된다. 인위적 배경 선량에 대해 정밀도가 중요하지 않기 때문에, 이러한 것이 충분하고, 이는 모든 패스에 영향을 미치지 않는 것에 의해 기록 시간을 절약한다. 다른 패스는 픽셀의 감소된 피크 선량, 또는 도 10A에 도시된 실시형태에서와 같이 인위적 배경 선량에 필요한 추가적인 기록 시간 없이 영역의 감소된 피크 선량 밀도를 갖는다.
일부 실시형태에서, 상대적으로 격리된 패턴은 인위적 배경 선량에 의해 의도적으로 둘러싸여, 후방 산란을 증가시킨다. 후방 산란에 대한 보정인 PEC는 픽셀 또는 샷 선량을 감소시키는 것에 의해 달성되고, 노광 밀도가 낮은 경우에 덜 감소되고, 노광 밀도가 높은 경우에 더 많이 감소된다. 그러나, 선량을 감소시키는 것은 선량 마진을 더 악화시킨다.
허용 가능한 선량 마진은 선량에서의 감소에 의해 유발되는 크기 변동의 양에 의해 결정될 수 있다. 일 실시형태에서, 정상 선량으로 노광된 격리된 패턴은 인위적 배경 선량을 추가함이 없이 허용 가능한 레벨로 감소된 선량 및 더 큰 패턴을 사용하여 슈팅될 수 있다.
예를 들어, 하전 입자 빔 리소그래피를 사용하여 표면에 반복되는 패턴을 노광할 때, 최종 제조된 표면에서 측정된 바와 같은 각각의 패턴 인스턴스의 크기는 제조 변동으로 인해 약간 상이할 것이다. 크기 변동의 양은 필수적인 제조 최적화 기준이다. 특히, 최소 크기의 특징부에 대한 변동이 너무 많으면 해당 형상이 전혀 인쇄되지 않아 결과적인 회로가 오작동할 수 있다. 라인 에지 거칠기 및 코너 라운딩과 같은 제조 변동은 또한 표면의 실제 패턴에도 존재할 것이다. 또한 더 큰 크기 변동은 회로 성능에서 더 많은 변동을 초래하여 더 높은 설계 마진이 요구되어, 더 빠르고 저전력인 집적 회로를 설계하는 것을 점점 더 어렵게 한다. 이러한 변동은 임계 치수(CD) 변동으로서 지칭된다. 낮은 CD 변동, 특히 마스크에 전체에서 모든 형상에 걸쳐 균일하게 낮은 CD 변동이 바람직하고, 이는 제조 변동이 최종 제조된 표면에 대해 상대적으로 작은 크기 변동을 생성할 것임을 나타낸다. 더 작은 규모에서, 높은 CD 변동의 효과는 라인 에지 거칠기(LER)로서 관찰될 수 있다. LER은 약간 다르게 제조되는 라인 에지의 각각의 부분에 의해 유발되어, 직선 에지를 가지도록 의도된 라인에서의 일부 파형으로 이어진다. CD 변동은 에지 기울기로 지칭되는, 레지스트 임계값에서 선량 곡선의 기울기에 역관계이다. 따라서, 에지 기울기와 그 역인 선량 마진은 표면의 입자 빔 기록을 위해 중요한 최적화 인자이다. 본 개시내용에서, 에지 기울기 및 선량 마진은 상호 교환적으로 사용되는 용어이다.
일부 실시형태에서, 방법은 목표 레벨을 충족시키는 에지 기울기, 즉, "충분히 양호"한 에지 기울기의 사용을 통해 적절한 양의 인위적 배경 선량을 제안하는 단계를 포함한다. 제조 변동에 대한 탄성력이 통계적 개념이기 때문에, "충분히 양호"한 에지 기울기의 의미는 엄격한 불균등의 정확한 표현이 아니다. 본 방법의 일부 실시형태에서, 에지 기울기가 "충분히 양호"한 레벨을 초과하는 인위적 배경 선량의 양이 결정된다. 예를 들어, 특정 마스크 제조 프로세스는 75% 노광 밀도 영역에 기록되는 100㎚×2㎛라인에 대해 신뢰할 수 있는 제조 결과를 생성하도록 조정되어 더 많은 양의 후방 산란을 생성하고, 그러므로 최소량의 PEC 후 샷/픽셀 선량을 가지며, 그러므로, 상대적으로 얕은 에지 기울기를 갖는다. 일부 실시형태에서, PEC 후 해당 라인의 에지 기울기는 "충분히 양호"로서 지정된다. 에지 기울기가 더 나쁜 75% 밀도 영역에서 기록되는 40㎚×200㎚ 공간과 같은 다른 형상이 있는 반면에, 마스크 제조 프로세스는 거기에서의 에지 기울기가 "충분히 양호"하지 않다고 결정할 수 있지만, 경제성, 시간 및 제조 신뢰성의 전체적 트레이드오프로 여전히 제조 가능하다. 본 실시형태에 대한 "충분히 양호"한 에지 기울기의 역할은, "충분히 양호"한 것보다 훨씬 더 나은 것이 제조 변동에 대한 탄성력의 증분적 이득에 비교하여 해당 선량을 캐스팅하기에 너무 많은 시간이 소요된다는 것을 나타내는 것이다. 종래의 교시가 에지 기울기를 최대화하는 것이기 때문에 최적의 레벨 미만, 즉, 목표 레벨 미만으로 에지 기울기를 감소시키는 것은 반직관적이다.
일부 실시형태에서, PEC 전 최대 선량 및 인위적 배경 선량 양은 자동으로 계산된다. 일 실시형태에서, PEC 전 최대 선량 및 인위적 배경 선량은 허용 가능한 레벨의 대비(대비에서의 감소)를 달성하기 위해 계산될 수 있다. 다른 실시형태에서, PEC 전 최대 선량 및 인위적 배경 선량은 목표 최소 선량 마진을 보다 낮은 선량 마진을 달성하기 위해 계산될 수 있다. 최소 허용 가능한 선량 마진은 사전 결정된 후방 산란 영역에서 사전 결정된 패턴의 사전 결정된 에지 위치에서 선량 마진을 계산하는 것에 의해 결정될 수 있다.
일부 실시형태에서, 감소된 선량을 갖는 에지 기울기가 목표 레벨 미만일 수 있는 PEC 동안 선량을 감소시키는 것에 의해, 기록 시간을 감소시키기 위해 PEC 전에 인위적 배경 선량이 추가된다. 일부 실시형태에서, 목표 또는 "충분히 양호"한 레벨은 생산 목적을 위해 사용되도록 충분히 잘 작동하는 것으로 제조 프로세스에서 알려진 특징부의 에지 기울기를 시뮬레이션하는 것에 의해 계산될 수 있다. 예를 들어, 첨단 포토마스크 제조의 경우, PEC 전에 정상 선량으로 노광될 때, 100㎚ 폭의 공간으로 분리된 100㎚ 폭의 와이어의 반복 패턴이 안정적으로 만들어진다. 100㎚ 라인 및 공간 패턴과 관련하여 100㎚ 폭의 라인에 대한 에지 기울기 시뮬레이션은 "충분히 양호"한 선량 마진으로 고려될 수 있다. 인위적 배경 선량을 계산하기 위해, 100㎚ 라인 및 공간 패턴은 주변 영역에서 50% 노광 밀도를 갖는다. 50% 노광 밀도로 인한 자연 후방 산란은 최소 후방 산란 양으로서 계산된다. 영역에 이미 존재하는 자연 후방 산란과 인위적 배경 선량의 양을 더한 합계는 마스크 전체에 걸쳐서 균일한 최소 후방 산란까지의 전체적인 배경 노광에 기여하도록 균일하게 분배된다. 50%의 노광 밀도가 PEC 후 허용 가능한 최악의 선량 마진을 생성하지 않을 수 있을지라도, 인위적 배경 선량을 계산하는 최소 허용 가능한 선량의 양을 계산하기 위한 목적을 위해 달성하는 것이 양호한 실제 목표로서 선언될 수 있다.
도 16은 당업계에 공지된 하전 입자 빔 리소그래피를 사용하여 레티클 또는 다른 표면과 같은 표면을 준비하기 위한 개념적 흐름도(1600)이다. 제1 단계(1602)에서, 집적 회로의 물리적 설계와 같은 물리적 설계가 생성된다. 이러한 것은 로직 게이트, 트랜지스터, 금속 층 및 집적 회로의 물리적 설계와 같은 물리적 설계에서 찾아야 할 필요가 있는 다른 항목을 결정하는 것을 포함할 수 있다. 다음으로, 단계(1604)에서, 광학 근접 보정(OPC)이 단계(1602)의 물리적 설계에 대해 또는 마스크 설계(1606)를 생성하기 위한 웨이퍼 상의 원하는 물리적 설계의 일부에 대해 결정된다. OPC는 제조 변동 전반에 걸쳐 원하는 웨이퍼 형상을 가장 잘 생성하는데 필요한 마스크 형상을 계산한다. OPC는 물리적 설계를 변경하여 광학 회절 및 마스크 설계(1606)를 생성하는 근접한 특징부를 이용한 특징부의 광학 상호 작용과 같은 영향으로 인한 왜곡을 보상한다.
마스크 프로세스 보정(MPC)은 마스크 설계(1606)에 대해 선택적으로 수행될 수 있다. MPC는 종래의 광학 리소그래피 마스크에서 약 100㎚보다 작은 패턴과 관련된 효과와 같은 비선형 효과를 보상하기 위해 레티클에 기록될 패턴을 수정한다. MPC는 EUV 마스크에 영향을 미치는 비선형 효과를 보상하도록 또한 사용될 수 있다. 본 발명의 일부 실시형태에서, MPC는 파단(fracturing) 또는 다른 마스크 데이터 준비(MDP) 동작의 일부로서 수행될 수 있다.
단계(1608)에서, 마스크 데이터 준비(MDP) 동작이 이루어지며, 이는 파단 동작, 샷 배치 동작, 선량 할당 동작 또는 샷 시퀀스 최적화를 포함할 수 있다. 다중 빔 마스크 기록을 위한 일부 실시형태에서, MDP 단계(1608)는 복수의 다중 빔 샷을 생성하는 것을 포함할 수 있으며, 각각의 다중 빔 샷은 하나 이상의 빔렛을 포함하고, 여기에서, 선량 영역은 결정되고 각 선량 영역에서 빔렛에 선량이 할당된다. 일부 실시형태에서, 빔렛 선량은 선량 영역 내에서 달라질 수 있다.
근접 효과 보정(PEC) 정제(efinement)가 단계(1618)에서 수행되며, 여기에서, 선량은 후방 산란, 포깅 및 로딩 효과를 처리하도록 조정되고, 조정된 선량으로 단계(1620)에서 노광 정보를 생성한다. 단계(1620)의 노광 정보에서 조정된 선량은 전자 빔 기록 시스템과 같은 하전 입자 빔 기록기를 사용하는 마스크 기록 단계(1622)에서 표면을 생성하도록 사용된다. 사용되는 하전 입자 빔 기록기의 유형에 따라, PEC 정제(1618)가 하전 입자 빔 기록기에 의해 수행될 수 있다. 마스크 기록 단계(1622)는 단일 노광 패스 또는 다중 노광 패스를 포함할 수 있다. 전자 빔 기록 시스템은 스텐실 또는 개구 판을 통해 전자 빔을 표면에 투사하여, 표면 상의 패턴을 포함하는 마스크 이미지(1624)를 형성한다. 그런 다음, 레티클과 같은 완성된 표면은 광학 리소그래피 기계에서 사용될 수 있으며, 이는 단계(1626)에 도시되어 있다.
도 17은 일부 실시형태에 따른, 동적 최대 선량 및 인위적 배경 선량이 계산되고 적용될 수 있는 표면 상의 영역에서 패턴을 노광하기 위한 방법을 나타내는 흐름(1700)을 예시한다. 단계(1706)에서, 패턴에 대한 원래 세트의 노광 정보가 입력된다. 일부 실시형태에서, 원래 세트의 노광 정보는 픽셀 및 그 선량의 모음이다. 다른 실시형태에서, 원래 세트의 노광 정보는 곡선 또는 완전히 비-다각형 묘사(원 또는 스플라인과 같은)를 잠재적으로 포함하는 형상의 세트이다. 또 다른 실시형태에서, 원래 세트의 노광 정보는 PEC 전 선량이 할당되거나 암시된 샷의 세트(예를 들어, VSB) 또는 PEC 전 선량이 할당되거나 암시된 형상의 세트(예를 들어, 다중 빔 기록)이다. 일반적으로, 단계(1706)의 입력은 형상 또는 선량을 묘사하는 다른 모든 것이다.
PEC 후 최대 선량이 단계(1708)에서 입력되고, 이는 PEC 후에 목표 또는 원하는 최대 선량으로서 작용한다. 일부 실시형태에서, 단계(1708)는 목표 근접 효과 보정 후 최대 선량을 입력하는 것을 포함하고, 목표 PEC 후 최대 선량은 최대 기록 시간에 기초한다. 일부 실시형태에서, 인위적 배경 선량에 대한 임계값이 또한 입력된다. 다른 실시형태에서, 목표 선량 마진은 입력되어, 목표 PEC 후 최대 선량을 결정하도록 사용된다. 즉, 목표 선량 마진은 목표 선량 마진 미만의 선량 마진을 달성하도록 PEC 후 최대 선량을 선택하는 인자로서 사용될 수 있다. 이러한 실시형태에서, 흐름(1700)은 사전 결정된 후방 산란 영역에서 사전 결정된 패턴의 사전 결정된 에지 위치에서 목표 최소 선량 마진을 계산하는 것과 같이 노광될 패턴을 위한 선량 마진을 계산하는 단계를 포함할 수 있으며, PEC 전 최대 선량은 목표 최소 선량 마진 미만으로 노광될 패턴의 선량 마진을 달성하도록 결정된다. PEC 후 최대 선량, 인위적 배경 선량 임계값 및/또는 목표 선량 마진은 예를 들어, 사용자에 의해 입력될 수 있다. 또 다른 실시형태에서, PEC 후 최대 선량은 예를 들어 정상 선량과 비교하여 특정 양만큼 기록 시간을 감소시키거나, 또는 최대 기계 기록 시간에 의해 제한되는 임계값 미만에 머물도록 원하는 기록 시간에 기초하여 선택될 수 있다. 다른 실시형태에서, PEC 후 최대 선량은 가장 작은 특징부와 같은 특징부 크기에 기초할 수 있다. 다른 실시형태에서, PEC 후 최대 선량은 인쇄 가능성의 다른 측정치 또는 일부 다른 방법에 기초할 수 있다.
국부 패턴 밀도는 원래 세트의 노광 정보에 기초하여 단계(1710)에서 결정(즉, 계산)된다. 일 실시형태에서, 단계(1710)에서 국부 패턴 밀도의 계산은 후방 산란의 초기 맵을 생성하는, PEC에 대한 초기 결정을 포함한다. PEC는 후방 산란의 존재시에 원하는 크기를 인쇄하도록 선량이 조정되는 프로세스이다. 초기 PEC 결정으로부터의 후방 산란의 초기 맵은 국부 패턴 밀도의 양호한 상대 표시이다. 즉, 국부 패턴 밀도는 후방 산란의 양을 계산하는 것에 의해 계산될 수 있다. 일부 실시형태에서, 후방 산란이 사전 결정된 임계값 미만인 영역은 단계(1710)에서 식별된다.
국부 패턴 밀도에 대한 PEC 전 최대 선량은 단계(1708)의 목표 PEC 후 최대 선량에 기초하여 단계(1712)에서 동적으로 결정(즉, 계산)된다. 즉, PEC 전 최대 선량은 특정 세트의 노광 정보(국부 패턴 밀도), 목표 선량 마진, 원하는 최대 기록 시간 및/또는 기타 목표 파라미터에 따라서 변경될 수 있다는 점에서 동적이다. 일부 실시형태에서, PEC 전 최대 선량은 다양한 영역 패턴 밀도에 대해 사전 계산되고, PEC 전 최대 선량은 이에 따라 국부 패턴 밀도에 대해 제공된다. 일부 실시형태에서, 국부 패턴 밀도에 대해 계산된 PEC 전 최대 선량은 선형성 보정 알고리즘에 입력된다.
단계(1716)에서, 원래의 노광 정보는 수정된 세트의 노광 정보를 생성하기 위해 단계(1712)의 PEC 전 최대 선량을 포함하도록 수정된다. 일부 실시형태에서, 수정된 세트의 노광 정보는 PEC에 의해 정제되어, 단계(1708)의 PEC 후 최대 선량보다 적은(즉, 초과하지 않는) 조정된 선량을 초래한다. 일부 실시형태에서, 흐름(1700)은 또한 수정된 세트의 노광 정보가 있는 표면을 노광하는 단계를 포함할 수 있다.
일부 실시형태에서, 계산은 단계(1714)에서 영역에 대한 인위적 배경 선량을 결정하는 단계를 포함하고, 인위적 배경 선량은 추가 노광 정보를 포함한다. 일부 실시형태에서, 단계(1714)는 국부 패턴 밀도를 가진 영역에서 수행되며, 여기에서, 사전 결정된 임계값 미만인 후방 산란은 단계(1710)에서 식별되었다. 일부 실시형태에서, 흐름(1700)은 원래 세트의 노광 정보에 기초하여 영역에 대한 후방 산란을 계산하는 단계를 포함하고, 단계(1714)는 계산된 후방 산란이 사전 결정된 임계값 미만인 경우에만, 즉, 인위적 배경 선량이 사전 결정된 임계값과 계산된 후방 산란 사이의 차이인 경우에만 인위적 배경 선량을 추가한다. 일부 실시형태에서, 단계(1714)에서, 선량 마진 또는 에지 기울기는 영역에서의 원하는 패턴에 대해 계산되고, 인위적 배경 선량은 그 영역에서의 원하는 패턴에 대해 "충분히 양호한" 에지 기울기 또는 대비를 유지하도록 결정된다. 일부 실시형태에서, 단계(1714)는 "충분히 양호한" 에지 기울기에 대한 최소 대상 크기와 같은 특정 기준을 충족시키는 영역에서 패턴에 대한 "충분히 양호한" 에지 기울기를 유지하기 위해 인위적 배경 선량을 추가한다. 예를 들어, SRAF의 더 작은 크기 형상은 "충분히 양호한" 에지 기울기로부터 제외될 수 있다. 또 다른 예로서, SRAF를 위한 더 작은 크기의 형상은 추가될 인위적 배경 선량을 결정할 때 이행하도록 상이한 "충분히 양호한" 에지 기울기를 가질 수 있다. 일부 실시형태에서, 이러한 계산은 추가 패턴이 인쇄되지 않도록 서브 임계 노광을 이용한 추가 패턴을 포함하는 인위적 배경 선량의 생성을 초래할 수 있다. 추가 패턴(들)은 수정된 세트의 노광 정보와 조합된다. 일부 실시형태에서, 인위적 배경 선량은 표면 상의 패턴의 에지로부터 사전 결정된 거리를 넘어서만 적용된다. 일부 실시형태에서, 원래 세트의 노광 정보는 다중 노광 패스에 대한 정보를 포함하고, 인위적 배경 선량은 노광 패스에만 추가된다.
일부 실시형태에서, PEC 전 최대 선량의 계산 및 추가 패턴의 생성은 MDP 단계(예를 들어, 흐름(1600)의 단계(1608), 도 16)의 일부로서 발생하고, 여기에서, 추가 패턴은 마스크 설계에서 원하는 패턴을 기하학적으로 중첩한다(예를 들어, 흐름(1600)의 단계(1606), 도 16). PEC 전 최대 선량으로 조정될 수 있거나 또는 추가 노광 정보(즉, 추가 패턴)와 조합될 수 있는, 원래 세트의 노광 정보를 포함하는 단계(1716)에서 수정된 세트의 노광 정보는 근접 효과 보정(PEC) 정제 단계(예를 들어, 흐름(1600)의 단계(1618), 도 16)에 의해 판독되어, 인위적 배경 선량을 포함하고 또한 인위적 배경 선량으로부터 발생하는 후방 산란을 포함하는 수정된 노광 정보를 단계(1716)에서 생성한다. 일부 실시형태에서, 수정된 세트의 노광 정보는 원래 세트의 노광 정보와 비교하여 전체 기록 시간을 감소시킨다. 일부 실시형태에서, 수정된 세트의 노광 정보는 PEC에 의해 정제되어, 수정된 세트의 노광 정보의 조정된 선량을 초래한다. 노광 정보는 그런 다음 수정된 세트의 노광 정보로 표면을 노광하도록 사용된다. 일부 실시형태에서, 픽셀 또는 샷 선량은 인위적 배경 선량을 추가하도록 수정된다. 일부 실시형태에서, 픽셀 또는 샷은 예를 들어 인접한 픽셀의 3×3 이상의 개수로 픽셀에 대해 상대적으로 유사한 선량의 양으로 빈 영역(0 선량에서 시작하는 픽셀)에서 인위적 배경 선량을 캐스팅한다.
일부 실시형태에서, 도 16의 단계(1618 및 1620)가 이어지는 도 17의 단계(1710, 1712, 1714 및 1716)의 일부 또는 전부는 도 16의 흐름(1600)의 마스크 기록 단계(1622)와, 마스크가 노광되는 동안 데이터 조작을 수행하기 위한 인라인 처리와 동시에 수행된다. 즉, 일부 실시형태에서, 마스크 노광은 국부적(즉, 영역) 패턴 밀도를 결정(즉, 계산)하는 단계, 영역에 대한 PEC 전 최대 선량을 결정하는 단계, 후방 산란을 계산하는 단계, 계산된 후방 산란이 사전 설정된 임계값 미만인 영역을 결정하는 단계, 인위적 배경 선량을 결정하는 단계, 및 수정된 노광설정 정보를 생성하는 단계를 포함한다. 다른 실시형태에서, 계산은 파이프라인 방식으로 다른 표면의 노광 동안 수행될 수 있다. 파이프라인 시스템에서, 기계에 기록될 다음의 표면은 이전 표면이 기계에 기록되는 동안 계산된다. 파이프라인 시스템은 표면이 유사한 기록 시간과 계산 시간을 가지면 많은 표면의 처리량을 개선하는데 효과적이다. 인라인(실시간) 시스템은 처리량뿐만 아니라 각각의 표면의 전체 처리 시간을 개선하는데 효과적이다.
현재 방법은 오프라인, 파이프라인 또는 인라인으로 사용될 수 있다. 인라인으로 처리할 수 있을 만큼 충분히 빠른 것이 가장 바람직하다. 인라인 처리는 특히 기록될 필요가 있는 전체 픽셀의 수가 매우 많을 때 가장 바람직하다. 예를 들어, 마스크의 다중 빔 eBeam 기록을 위한 반도체 디바이스 제조를 위하여, 모든 픽셀 데이터를 저장하기 위해 500T-바이트 이상의 데이터가 요구된다. 다중 빔 eBeam 기계가 픽셀을 극히 빠르게 기록할 필요가 있기 때문에, 하드 디스크 또는 심지어 솔리드 스테이트 디스크에 이러한 데이터를 저장하는 것은 비용면에서 실용적이지 않을 수 있다. 인라인 처리에서, 오프라인 또는 파이프라인 처리와 달리, 데이터가 계산된 직후에 기계가 픽셀을 기록하기 위해 데이터를 소비하기 때문에, 데이터를 저장할 필요가 없다. 이러한 것이 현재 방법이 가능하게 하는 인라인 처리가 가치 있는 또 다른 이유이다. 위에서 언급된 바와 같이, 동일한 방법이 선량 마진(즉, 에지 기울기)을 개선하기 위해 픽셀 선량을 조정하도록 사용될 수 있다.
본 개시내용에 설명된 마스크 데이터 준비, 최대 선량의 계산, 인위적 배경 선량의 계산 및 근접 효과 보정은 계산 디바이스로서 적절한 컴퓨터 소프트웨어와 함께 중앙 처리 유닛(CPU)을 사용하는 범용 컴퓨터를 사용하여 구현될 수 있다. 요구되는 많은 양의 계산으로 인하여, 다수의 컴퓨터 또는 CPU의 프로세서 코어가 또한 병렬로 사용될 수 있다. 일 실시형태에서, 계산은 병렬 처리를 지원하기 위해 흐름에서 하나 이상의 계산 집약적인 단계를 위해 복수의 2차원 기하학적 영역으로 세분될 수 있다. 다른 실시형태에서, 단일 또는 다수로 사용되는 특수 목적 하드웨어 디바이스는 범용 컴퓨터 또는 프로세서 코어를 사용하는 것보다 더 빠른 속도로 하나 이상의 단계의 계산을 수행하도록 사용될 수 있다. 특수 컴퓨팅 하드웨어 디바이스 또는 프로세서에는 예를 들어 필드 프로그램 가능 게이트 어레이(FPGA), 주문형 집적 회로(ASIC) 또는 디지털 신호 프로세서(DSP) 칩이 포함될 수 있다. 일 실시형태에서, 특수 목적 하드웨어 디바이스는 그래픽 처리 유닛(GPU)일 수 있다. 다른 실시형태에서, 본 개시내용에 설명된 최적화 및 계산 프로세스는 전체 하전 입자 빔 기록 시간 또는 일부 다른 파라미터를 최소화하기 위해 가능한 해결책을 변경하고 재계산하는 반복 프로세스를 포함할 수 있다. 또 다른 실시형태에서, 프로세스는 반복이 없는 결정론적 계산일 수 있다.
도 18은 본 개시내용에서 설명된 계산을 수행하도록 사용될 수 있는 컴퓨팅 하드웨어 디바이스(1800)의 예를 예시한다. 컴퓨팅 하드웨어 디바이스(1800)는 메인 메모리(1804)가 부착된 중앙 처리 유닛(CPU)(1802)을 포함한다. CPU는 예를 들어 8개의 처리 코어를 포함할 수 있으며, 이에 의해 다중 스레드 컴퓨터 소프트웨어의 임의의 부분의 성능을 개선할 수 있다. 메인 메모리(1804)의 크기는 예를 들어 64G-바이트일 수 있다. CPU(1802)는 주변장치 상호 연결 익스프레스(PCIe) 버스(1820)에 연결된다. 그래픽 처리 유닛(GPU)(1814)도 PCIe 버스에 연결된다. 컴퓨팅 하드웨어 디바이스(1800)에서, GPU(1814)는 비디오 모니터와 같은 그래픽 출력 디바이스에 연결되거나 연결되지 않을 수 있다. 그래픽 출력 디바이스에 연결되지 않으면, GPU(1814)는 순수히 고속 병렬 계산 엔진으로서 사용될 수 있다. 컴퓨팅 소프트웨어는 모든 계산을 위해 CPU(1802)를 사용하는 것과 비교하여 계산의 일부를 위해 GPU를 사용하는 것에 의해 훨씬 더 높은 성능을 획득할 수 있다. CPU(1802)는 PCIe 버스(1820)를 통해 GPU(1814)와 통신한다. 다른 실시형태(예시되지 않음)에서, GPU(1814)는 PCIe 버스(1820)에 연결되기보다는 CPU(1802)와 통합될 수 있다. 디스크 제어기(1808)는 또한 예를 들어 디스크 제어기(1808)에 연결된 2개의 디스크(1810)와 함께 PCIe 버스에 부착될 수 있다. 마지막으로, 근거리 통신망(LAN) 제어기(1812)가 또한 PCIe 버스에 부착될 수 있으며, 다른 컴퓨터에 기가비트 이더넷(GbE) 연결을 제공한다. 일부 실시형태에서, 컴퓨터 소프트웨어 및/또는 설계 데이터는 디스크(1810)에 저장된다. 다른 실시형태에서, 컴퓨터 프로그램 또는 설계 데이터 또는 컴퓨터 프로그램과 설계 데이터 모두는 GbE 이더넷을 통해 하드웨어를 서빙하는 다른 컴퓨터 또는 파일로부터 액세스될 수 있다.
명세서가 특정 실시형태와 관련하여 상세히 설명되었지만, 당업자는 앞서 설명한 내용을 이해하면 이들 실시형태에 대한 변경, 변형 및 균등물을 용이하게 고려할 수 있다는 것을 이해할 것이다. 당업자는 마스크 데이터 준비, 및 근접 효과 보정을 위한 본 방법에 대한 이들 및 다른 수정 및 변형을 첨부된 청구범위에 더 구체적으로 기록되어 있는 본 요지의 범위를 벗어나지 않고 실시할 수 있다. 또한, 당업자는 앞서 설명된 설명이 단지 예일 뿐이며 제한하고자 하는 것이 아님을 이해할 것이다. 본 발명의 범위를 벗어남이 없이 단계가 본 명세서의 단계에 추가되거나, 제외되거나, 수정될 수 있다. 일반적으로, 제시된 모든 순서도는 기능을 달성하기 위한 기본 동작의 하나의 가능한 시퀀스를 나타내기를 의도하는 것일 뿐이며, 다수의 변형이 가능하다. 따라서, 본 요지는 첨부된 청구범위 및 그 균등물의 범위 내에 있는 이러한 수정 및 변형을 포함하는 것으로 의도된다.

Claims (14)

  1. 하전 입자 빔 시스템을 사용하여 표면 상의 영역에서 패턴을 노광하기 위한 방법으로서,
    상기 영역에 대한 원래 세트의 노광 정보를 입력하는 단계;
    목표 근접 효과 보정(proximity effect correction: PEC) 후 최대 선량을 입력하는 단계로서, 상기 목표 PEC 후 최대 선량은 최대 기록 시간에 기초하는, 상기 최대 선량을 입력하는 단계;
    상기 원래 세트의 노광 정보에 기초하여, 상기 영역을 위한 국부 패턴 밀도를 결정하는 단계;
    상기 목표 PEC 후 최대 선량에 기초하여, 상기 국부 패턴 밀도에 대한 PEC 전 최대 선량을 결정하는 단계; 및
    상기 PEC 전 최대 선량으로 상기 원래 세트의 노광 정보를 수정하여 수정된 세트의 노광 정보를 생성하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서, 상기 수정된 세트의 노광 정보는 PEC에 의해 정제되어, 상기 목표 PEC 후 최대 선량보다 적은 조정된 선량을 초래하는, 방법.
  3. 제1항에 있어서, 상기 영역에 대한 인위적 배경 선량을 결정하는 단계를 더 포함하되, 상기 인위적 배경 선량은 서브 임계 노광을 이용한 추가 패턴을 포함하고, 상기 추가 패턴은 상기 수정된 세트의 노광 정보와 조합되는, 방법.
  4. 제3항에 있어서, 상기 인위적 배경 선량은 상기 표면 상의 상기 패턴의 에지로부터 사전 결정된 거리를 넘어서만 적용되는, 방법.
  5. 제3항에 있어서, 상기 원래 세트의 노광 정보는 다중 노광 패스를 위한 정보를 포함하고, 상기 인위적 배경 선량은 노광 패스에서만 추가되는, 방법.
  6. 제3항에 있어서, 상기 원래 세트의 노광 정보에 기초하여 상기 영역에 대한 후방 산란을 계산하는 단계를 더 포함하고, 상기 계산된 후방 산란이 사전 결정된 임계값보다 작은 영역에서, 상기 인위적 배경 선량은 상기 사전 결정된 임계값과 상기 계산된 후방 산란 사이의 차이인, 방법.
  7. 제3항에 있어서, 상기 영역은 파티션들로 세분되고, 상기 인위적 배경 선량은 각각의 파티션에 대해 결정되는, 방법.
  8. 제7항에 있어서, 개별 파티션 내의 임의의 위치에 대한 상기 인위적 배경 선량은 파티션에 걸쳐서 보간되는, 방법.
  9. 제8항에 있어서, 상기 보간은 인접한 파티션들에 대한 인위적 배경 선량에 기초하는, 방법.
  10. 제3항에 있어서, 마스크 노광이 상기 국부 패턴 밀도를 결정하는 단계, 상기 PEC 전 최대 선량을 결정하는 단계, 상기 인위적 배경 선량을 결정하는 단계, 및 상기 수정된 세트의 노광 정보를 생성하는 단계로 이루어진 군으로부터 선택되는 하나 이상의 단계에 따라서 수행되는, 방법.
  11. 제1항에 있어서, 목표 선량 마진을 입력하는 단계를 더 포함하되, 상기 목표 선량 마진은 상기 목표 PEC 후 최대 선량을 결정하도록 사용되는, 방법.
  12. 제1항에 있어서, 노광될 패턴에 대한 선량 마진을 계산하는 단계를 더 포함하는, 방법.
  13. 제12항에 있어서, 사전 결정된 후방 산란 영역에서 사전 결정된 패턴의 사전 결정된 에지 위치에서 목표 최소 선량 마진을 계산하는 단계를 더 포함하되;
    상기 PEC 전 최대 선량은 상기 목표 최소 선량 마진 미만으로 노출될 패턴의 선량 마진을 달성하기 위해 결정되는, 방법.
  14. 제1항에 있어서, 상기 수정된 세트의 노광 정보로 표면을 노광하는 단계를 더 포함하는, 방법.
KR1020217038241A 2019-05-24 2020-05-13 국부 패턴 밀도를 위한 하전 입자 빔 노광을 결정하기 위한 방법 및 시스템 KR20220000399A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/422,269 2019-05-24
US16/422,269 US10748744B1 (en) 2019-05-24 2019-05-24 Method and system for determining a charged particle beam exposure for a local pattern density
PCT/IB2020/054546 WO2020240322A1 (en) 2019-05-24 2020-05-13 Method and system for determining a charged particle beam exposure for a local pattern density

Publications (1)

Publication Number Publication Date
KR20220000399A true KR20220000399A (ko) 2022-01-03

Family

ID=72046106

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217038241A KR20220000399A (ko) 2019-05-24 2020-05-13 국부 패턴 밀도를 위한 하전 입자 빔 노광을 결정하기 위한 방법 및 시스템

Country Status (5)

Country Link
US (2) US10748744B1 (ko)
JP (1) JP7474787B2 (ko)
KR (1) KR20220000399A (ko)
AT (1) AT526413A2 (ko)
WO (1) WO2020240322A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US10748744B1 (en) * 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US11756765B2 (en) * 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4463265A (en) 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
JP3192157B2 (ja) 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
KR950027933A (ko) 1994-03-21 1995-10-18 김주용 위상반전 마스크
US5510214A (en) 1994-10-05 1996-04-23 United Microelectronics Corporation Double destruction phase shift mask
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JP2000292907A (ja) 1999-04-02 2000-10-20 Nikon Corp 荷電粒子線露光装置及びレチクル
JP3508617B2 (ja) 1999-05-11 2004-03-22 株式会社日立製作所 電子線描画装置および電子線を用いた描画方法
KR100459697B1 (ko) 2001-12-27 2004-12-04 삼성전자주식회사 가변적인 후방 산란 계수를 이용하는 전자빔 노광 방법 및이를 기록한 컴퓨터로 읽을 수 있는 기록 매체
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
EP1612834A1 (en) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH A process for controlling the proximity effect correction
US20080168419A1 (en) * 2007-01-04 2008-07-10 International Business Machines Corporation Optical proximity correction improvement by fracturing after pre-optical proximity correction
US8057970B2 (en) * 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US20120219886A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8221940B2 (en) * 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US8137871B2 (en) * 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
US8221939B2 (en) * 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9612530B2 (en) * 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8745549B2 (en) * 2012-02-05 2014-06-03 D2S, Inc. Method and system for forming high precision patterns using charged particle beam lithography
JP2013219288A (ja) 2012-04-11 2013-10-24 Fujitsu Semiconductor Ltd 露光方法および半導体装置の製造方法
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
WO2013158574A1 (en) 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
JP2013232531A (ja) * 2012-04-27 2013-11-14 Canon Inc 描画装置及び物品の製造方法
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
JP6076708B2 (ja) * 2012-11-21 2017-02-08 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビームの照射量チェック方法
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
KR102302015B1 (ko) * 2015-03-17 2021-09-15 아이엠에스 나노패브릭케이션 게엠베하 완화된 임계 치수의 패턴 에어리어의 멀티빔 기록
JP2016225357A (ja) * 2015-05-27 2016-12-28 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US10444629B2 (en) * 2016-06-28 2019-10-15 D2S, Inc. Bias correction for lithography
US10522329B2 (en) * 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
JP7126367B2 (ja) * 2018-03-29 2022-08-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10748744B1 (en) * 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density

Also Published As

Publication number Publication date
US20200373122A1 (en) 2020-11-26
TW202102933A (zh) 2021-01-16
AT526413A2 (de) 2024-01-15
WO2020240322A1 (en) 2020-12-03
JP7474787B2 (ja) 2024-04-25
JP2022533790A (ja) 2022-07-25
US10748744B1 (en) 2020-08-18
US11062878B2 (en) 2021-07-13

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP6189933B2 (ja) 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
KR102154105B1 (ko) 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템
KR101690063B1 (ko) 반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법
TWI661265B (zh) 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US11592802B2 (en) Method and system of reducing charged particle beam write time
US11062878B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
JP2018006748A (ja) 表面上に書込む形状をバイアスするための方法およびシステム
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
TWI840559B (zh) 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統
US11886166B2 (en) Method and system of reducing charged particle beam write time
JP2024099611A (ja) 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム

Legal Events

Date Code Title Description
A201 Request for examination