KR20210055101A - 실리콘-함유 필름의 고온 원자 층 증착 - Google Patents

실리콘-함유 필름의 고온 원자 층 증착 Download PDF

Info

Publication number
KR20210055101A
KR20210055101A KR1020217013442A KR20217013442A KR20210055101A KR 20210055101 A KR20210055101 A KR 20210055101A KR 1020217013442 A KR1020217013442 A KR 1020217013442A KR 20217013442 A KR20217013442 A KR 20217013442A KR 20210055101 A KR20210055101 A KR 20210055101A
Authority
KR
South Korea
Prior art keywords
reactor
oxygen
silicon oxide
methylene
oxide film
Prior art date
Application number
KR1020217013442A
Other languages
English (en)
Inventor
메일리앙 왕
신지안 레이
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20210055101A publication Critical patent/KR20210055101A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/80Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70
    • C01P2002/85Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70 by XPS, EDX or EDAX data
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/10Solid density
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Dispersion Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

600℃ 이상의 하나 이상의 온도에서 원자 층 증착 공정으로 실리콘 옥사이드 필름을 증착하기 위한 방법 및 조성물이 제공된다. 한 양태에서, 본원에 기재된 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계; 퍼지 가스로 반응기를 퍼징하는 단계; 산소-함유 소스를 반응기에 도입하는 단계; 및 퍼지 가스로 반응기를 퍼징하는 단계를 포함하며, 상기 단계가 원하는 두께의 실리콘 옥사이드가 증착될 때까지 반복되는 약 600℃ 내지 1000℃ 범위의 하나 이상의 온도에서 반응기 내의 기판 상에 실리콘 옥사이드 필름 또는 재료를 증착시키는 방법이 제공된다.

Description

실리콘-함유 필름의 고온 원자 층 증착
본 출원은 2018년 10월 5일에 출원된 미국 가특허 출원 62/742,056에 대한 우선권을 주장한다. 본 출원은 추가로 2018년 10월 10일에 출원된 미국 가특허 출원 62/743,887에 대한 우선권을 주장한다.
발명의 분야
실리콘-함유 필름의 형성을 위한 조성물 및 방법이 본원에 기술된다. 보다 구체적으로, 약 600℃ 이상의 하나 이상의 증착 온도에서 원자 층 증착(ALD) 공정을 사용하여 실리콘 옥사이드 필름을 형성하기 위한 조성물 및 방법이 본원에 기술된다.
발명의 배경
열 산화는 반도체 응용 분야에서 실리콘 디옥사이드(SiO2)와 같은 고순도의 고도로 등각적인 실리콘 옥사이드 필름을 증착하는데 일반적으로 사용되는 공정이다. 그러나, 열 산화 공정은, 예를 들어, 700℃에서 0.0007 Å/s 미만의 매우 낮은 증착 속도를 가지며(B. E. Deal and A. S. Grove "General Relationship for the Thermal Oxidation of Silicon." Journal of Applied Physics Vol 36, page 3770 (1965) 참조), 그로 인해 대량 제조 공정을 상업적으로 채택하는 것은 비실용적이다.
원자 층 증착(ALD) 및 플라즈마 강화 원자 층 증착(PEALD)은 저온(<500℃)에서 실리콘 디옥사이드(SiO2) 등각 필름을 증착하는데 사용되는 공정이다. ALD 및 PEALD 공정 둘 모두에서, 전구체 및 반응성 가스(예를 들어, 산소 또는 오존)는 각 사이클에서 실리콘 디옥사이드(SiO2)의 단층을 형성하기 위해 특정 수의 사이클로 개별적으로 펄스된다. 그러나, 이러한 공정을 사용하여 저온에서 증착된 실리콘 디옥사이드(SiO2)는 반도체 적용에 해로운 수소(H), 탄소(C), 질소(N) 또는 이들의 조합과 같은 불순물 수준을 함유할 수 있다. 이를 해결하기 위해, 가능한 한 가지 해결책은 증착 온도를 500℃보다 높은 온도로 높이는 것이다. 그러나, 이러한 더 높은 온도에서, 반도체 산업에 의해 사용되는 통상적인 전구체는 자가-반응하고, 열적으로 분해되고, ALD 방식이 아닌 화학 기상 증착(CVD) 방식으로 증착되는 경향이 있다. CVD 방식 증착은, 특히 NAND 및 V-NAND와 같은 높은 종횡비 구조를 갖는 반도체 응용 분야의 경우, ALD 증착에 비해 등각성을 감소시켰다. 또한, CVD 방식 증착은 ALD 방식 증착보다 필름 또는 재료 두께의 제어를 덜 제공한다.
미국 공개 번호 2014/0170858은 미리 결정된 횟수만큼 사이클을 수행함으로써 기판 상에 미리 결정된 원소, 산소, 및 질소, 탄소 및 붕소로 구성된 군으로부터 선택되는 적어도 하나의 원소를 포함하는 필름을 형성하는 방법을 설명하며, 상기 사이클은 소스 가스를 기판에 공급하고, 반응성 가스를 기판에 공급하는 것을 포함하고, 상기 소스 가스는 미리 결정된 원소와 산소의 화학적 결합을 갖는 미리 결정된 원소, 염소 및 산소를 함유하고, 상기 반응성 가스는 질소, 탄소 및 붕소로 구성된 군으로부터 선택되는 적어도 하나의 원소를 함유한다.
미국 공개 번호 2007/0111545는 반도체 디바이스 제조에서 증착 속도를 향상시키고 단차 피복을 개선하기 위해 ALD를 사용하여 실리콘 디옥사이드 층을 형성하는 방법을 기술한다.
미국 특허 번호 7,498,273은 낮은 다공성, 높은 에칭 선택성 및 더 적은 크랙을 갖는 필름을 제공하는 PECVD에서 실록산을 사용하여 기판 상에 형성된 갭에 저-κ 유전체 층을 증착시키는 방법을 기술한다. 상기 방법은 증착 챔버에 오가노-Si 전구체 및 O 전구체를 도입하는 것을 포함한다. 오가노-Si 전구체는 <8의 C:Si 원자 비를 가지며, O 전구체는 증착 챔버 외부에서 생성되는 원자 O를 포함한다.
미국 특허 번호 7,084,076은 원자 층 증착(ALD)을 사용하여 실리콘 디옥사이드 필름을 형성하는 방법을 설명하며, 여기서 할로겐- 또는 NCO-치환된 실록산이 Si 소스로서 사용된다.
미국 공개 번호 2013/0295779는 약 500℃ 이상의 하나 이상의 증착 온도에서 실리콘 옥사이드 함유 필름을 형성하기 위한 조성물 및 ALD를 기재하고 있다.
이전에 확인된 특허 및 특허 출원은 본원에 참조로서 포함된다.
따라서, 수직 NAND(V-NAND) 메모리 기술을 위해 600℃ 초과의 온도에서 열-기반 증착 공정을 대체하기 위해, 원자 층 증착(ALD) 공정 또는 ALD-유사 공정, 예를 들어, 비제한적으로 순환 화학 기상 증착 공정을 사용하여 고품질, 저 불순물, 고 등각 실리콘 옥사이드 필름을 형성하기 위한 공정을 개발할 필요가 있다. 또한, V-NAND 메모리 제조를 위한 ALD 또는 ALD-유사 공정에서 순도 및/또는 밀도와 같은 하나 이상의 필름 특성을 개선하기 위해 고온 증착(예를 들어, 600℃ 이상의 하나 이상의 온도에서의 증착)을 개발하는 것이 바람직하다.
발명의 간단한 개요
원자 층 증착(ALD) 또는 ALD-유사 공정에서, 600℃ 이상의 하나 이상의 온도와 같은 고온에서 실리콘 옥사이드 재료 또는 필름의 증착을 위한 공정이 본원에 기술된다. 본원에 기재된 조성물 또는 방법을 사용하여 증착된 실리콘 옥사이드 필름은 다음 속성 중 적어도 하나 이상을 포함한다: 약 2.1 g/cm3 이상의 밀도; 열 옥사이드에 대해 0.5 wt.% dHF에서 약 6 이하, 바람직하게는 약 4 이하, 가장 바람직하게는 약 3 이하의 습식 에칭 속도(WER); 탄소 함량은 2차 이온 질량 분광법(SIMS)에 의해 측정시 2x1019 원자/cm3 이하이다.
한 양태에서, 하기 단계를 포함하는 실리콘 옥사이드 필름 또는 재료를 증착하는 공정이 제공된다:
a. 반응기에 기판을 제공하고 기판을 원하는 온도로 가열하는 단계;
b. 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 소스를 반응기에 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계;
여기서 단계 b 내지 e는 원하는 두께의 실리콘 옥사이드가 증착될 때까지 반복되고; 상기 공정은 약 600 내지 850℃ 범위의 하나 이상의 온도에서 수행된다. 상기 또는 다른 구체예에서, 방법은 약 50 milliTorr(mTorr) 내지 약 760 Torr 범위의 하나 이상의 압력에서 수행된다. 상기 또는 다른 구체예에서, 산소-함유 소스는 산소, 과산화물, 산소 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 수소와 산소를 포함하는 조성물, 수소와 오존을 포함하는 조성물, 이산화탄소와 산소를 포함하는 조성물, 물과 산소를 포함하는 조성물, 질소와 산소를 포함하는 조성물(즉, 아산화질소 N2O 또는 산화질소, NO), 수증기, 수증기 플라즈마, 물과 오존을 포함하는 조성물, 과산화수소, 오존 소스, 및 이들의 조합으로 구성된 군으로부터 선택되는 적어도 하나의 구성원이다.
다른 양태에서, 하기 단계를 포함하는 실리콘 옥사이드 필름 또는 재료를 증착하는 공정이 제공된다:
a. 반응기에 기판을 제공하고 기판을 원하는 온도로 가열하는 단계;
b. 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 소스를 반응기에 도입하는 단계;
e. 반응기를 퍼지 가스로 퍼징하는 단계;
f. 수증기 또는 하이드록실 소스를 반응기에 도입하는 단계; 및
g. 반응기를 퍼지 가스로 퍼징하는 단계;
여기서 단계 b 내지 g는 원하는 두께의 실리콘 옥사이드가 증착될 때까지 반복되고; 상기 공정은 600 내지 850℃ 범위의 하나 이상의 온도에서 수행된다.
상기 기재된 공정의 하나 이상의 구체예에서, 공정은 약 50 milliTorr (mTorr) 내지 약 760 Torr 범위의 하나 이상의 압력에서 수행된다.
전술한 공정의 하나 이상의 구체예에서, 퍼지 가스는 질소, 헬륨, 아르곤 및 이들의 조합으로 구성된 군으로부터 선택된다.
전술한 공정의 하나 이상의 구체예에서, 산소-함유 소스는 산소, 과산화물, 산소 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 수소와 산소를 포함하는 조성물, 수소와 오존을 포함하는 조성물, 이산화탄소와 산소를 포함하는 조성물, 물과 산소를 포함하는 조성물, 질소와 산소를 포함하는 조성물(즉, 아산화질소 N2O 또는 산화질소, NO), 수증기, 수증기 플라즈마, 물과 오존을 포함하는 조성물, 과산화수소, 오존 소스, 및 이들의 조합으로 구성된 군으로부터 선택되는 적어도 하나의 구성원을 포함한다.
추가의 양태에서, 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 포함하는 실리콘 옥사이드 필름을 증착하기 위한 조성물이 제공된다:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다. 이들 할리도카르보실란 전구체의 예는 하기 표 I에 제시되어 있다:
표 I
Figure pct00001
Figure pct00002
본 발명의 한 구체예는 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 포함하는 실리콘 함유 필름을 증착하는데 사용하기 위한 조성물에 관한 것이다:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다.
본 발명의 다른 구체예는 임의의 전술한 방법에 의해 제조된 실리콘 함유 필름에 관한 것이다. 본 발명의 추가 구체예는 약 2.1 g/cm3 이상의 밀도; 열 옥사이드에 대해 0.5 wt.% dHF에서 약 6 이하의 습식 에칭 속도(WER)를 갖는 실리콘 함유 필름에 관한 것이다; 탄소 함량은 2x1019 원자/cm3 이하이다.
본 발명의 다양한 양태 및 구체예는 단독으로 또는 서로 조합하여 사용될 수 있다.
발명의 상세한 설명
실리콘 옥사이드 필름을 형성하기 위한 방법 및 조성물이 본원에 기술된다. 용어 실리콘 옥사이드 필름 또는 재료는 화학량론적 또는 비-화학량론적 실리콘 옥사이드 필름, 실리콘 옥시니트라이드 필름, 실리콘 옥시카바이드 필름, 실리콘 옥시카르보니트라이드 필름, 및 이들의 조합을 포함하나 이에 제한되지 않는다. 하나의 특정 구체예에서, 실리콘 옥사이드 필름은 원자 층 증착(ALD) 또는 ALD-유사 증착 공정, 예를 들어, 비제한적으로 순환 화학 기상 증착 공정(CCVD)에서 약 600℃ 이상의 하나 이상의 온도에서 증착된다. 설명 전반에 걸쳐, 용어 "ALD 또는 ALD-유사"는 다음 공정을 포함하나 이에 제한되지 않는 공정을 지칭한다: a) 할리도실란 전구체 및 반응성 가스를 포함하는 각 반응물을 단일 웨이퍼 ALD 반응기, 반-배치 ALD 반응기, 또는 배치 노 ALD 반응기와 같은 반응기에 순차적으로 도입한다; b) 할리도실란 전구체 및 반응성 가스를 포함하는 각 반응물을 기판을 반응기의 상이한 섹션으로 이동시키거나 회전시킴으로써 기판에 노출시키고, 각 섹션을 불활성 가스 커튼, 즉, 공간적 ALD 반응기 또는 롤 투 롤(roll to roll) ALD 반응기에 의해 분리한다. 설명 전반에 걸쳐, 용어 "C1 링커"는 Si-CH2-Si(즉, C1 링커는 메틸렌임) 또는 Si-CH(Me)-Si(즉, C1 링커는 (메틸)메틸렌임), 또는 Si-CMe2-Si(즉, C1 링커는 (디메틸)메틸렌임), 또는 Si-CH(Et)-Si(즉, C1 링커는 (에틸)메틸렌임)와 같은 2개의 실리콘 원자에 결합된 하나의 탄소 원자를 지칭한다.
본원에 기재된 방법은 실리콘 옥사이드 필름을 제공하기 위해 약 600℃ 내지 약 950℃ 또는 약 650℃ 내지 약 750℃ 또는 약 700 내지 850℃ 범위의 하나 이상의 증착 온도에서 순환 공정으로 적어도 하나의 할리도카르보실란 전구체 및 산소-함유 소스를 사용한다. 본원에 기재된 증착 공정의 한 구체예에서, 공정은 다음 단계를 포함한다:
a. 반응기에 기판을 제공하고 기판을 원하는 온도로 가열하는 단계;
b. 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 소스를 반응기에 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계.
여기서 단계 b 내지 e는 원하는 두께의 실리콘 옥사이드 필름이 적어도 기판의 표면 상에 증착될 때까지 반복된다.
이론이나 설명에 구속되길 원치 않으며, 본원에 기술된 적어도 하나의 할리도카르보실란 전구체는, 특히 실리콘 테트라클로라이드 또는 디메틸아미노트리메틸실란과 같이 단 하나의 실리콘 원자를 갖는 통상적인 실리콘 전구체와 비교하여 처음 몇 층의 실리콘 옥사이드의 형성 동안, 산소-함유 소스와 기판 사이의 임의의 원치 않는 상호 작용을 방지하기 위해 장벽 층으로 기능할 수 있는 Si-C-Si 종의 단층을 고정하도록 기판 표면의 특정 반응성 부위와 반응하는, 기존의 Si-C-Si 결합(즉, C1 링커)뿐만 아니라 적어도 하나의 고정 작용기를 가져야 한다고 여겨진다. 고정 작용기는 할라이드(Cl, Br, I) 기로부터 선택될 수 있다. 패시베이션 작용기는 알킬로부터 선택되고, 바람직하게는 메틸이다. 이후 표면 상의 나머지 기는 산화되어 더 많은 Si-O-Si 결합뿐만 아니라 하이드록실기를 형성할 수 있다. 추가로, H2O 또는 물 플라즈마와 같은 하이드록실 소스가 또한 다음 ALD 사이클에 대한 반응성 부위로서 더 많은 하이드록실기를 형성하기 위해 반응기에 도입될 수 있다.
전술한 대로, 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 포함하는 실리콘 옥사이드 필름을 증착하기 위한 조성물이 제공된다:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다. 화학식 I 또는 II를 갖는 전구체의 예는 1,1,1,3,3,3-헥사클로로디실라프로판, 1,1,1,3,3-펜타할리도-1,3-디실라부탄, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3-펜타할리도-2-메틸-1,3-디실라부탄, 2,2,4,4-테트라클로로-2,4-디실라펜탄, 1,1,3,3-테트라클로로-1,3-디실라프로판, 2,4-디클로로-2,4-디메틸-2,4-디실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 2,2,4,6,6-펜타클로로-2,4,6-트리메틸-2,4,6-트리실라헵탄 및 이들의 혼합물을 포함하나 이에 제한되지 않는다.
하나의 특정 구체예에서, 할리도카르보실란 전구체는 적어도 하나의 고정 작용기(예를 들어, Si-Cl) 및 적어도 하나의 패시베이션 작용기(예를 들어, Me가 메틸기인 경우, Si-Me)로 구성된다. 그러한 전구체의 예는 다음 표 II에 제공된다:
표 II:
Figure pct00003
Figure pct00004
특정 구체예에서, 본원에 기재된 방법을 사용하여 증착된 실리콘 옥사이드 필름은 산소를 포함하는 산소-함유 소스, 시약 또는 전구체를 사용하여 산소의 존재하에 형성된다. 산소-함유 소스는 적어도 하나의 산소-함유 소스 가스의 형태로 반응기에 도입될 수 있고/있거나 증착 공정에 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 산소-함유 소스 가스는, 예를 들어, 산소, 과산화물, 산소 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 수소와 산소를 포함하는 조성물, 수소와 오존을 포함하는 조성물, 이산화탄소와 산소를 포함하는 조성물, 물과 산소를 포함하는 조성물, 질소와 산소를 포함하는 조성물(즉, 아산화질소 N2O 또는 산화질소, NO), 수증기, 수증기 플라즈마, 물과 오존을 포함하는 조성물, 과산화수소, 오존 소스, 및 이들의 조합을 포함할 수 있다. 특정 구체예에서, 산소-함유 소스는 약 1 내지 약 10000 표준 입방 센티미터(sccm) 또는 약 1 내지 약 2000 표준 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는 산소-함유 소스 가스를 포함한다. 산소-함유 소스는 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다. 하나의 특정 구체예에서, 산소-함유 소스는 10℃ 이상의 온도를 갖는 물을 포함한다. 필름이 ALD 또는 순환 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초보다 긴 펄스 지속 시간을 가질 수 있고, 산소-함유 소스는 0.01초 미만의 펄스 지속 시간을 가질 수 있는 반면, 물의 펄스 지속 시간은 0.01초 미만의 펄스 지속 시간을 가질 수 있다. 또 다른 구체예에서, 펄스 사이의 퍼지 지속 시간은 0초만큼 낮을 수 있거나 중간에 퍼지 없이 계속해서 펄스된다.
특정 구체예에서, 실리콘 옥사이드 필름은 질소를 추가로 포함한다. 이들 구체예에서, 필름은 본원에 기술된 방법을 사용하여 증착되고 질소-함유 소스의 존재하에 형성된다. 질소-함유 소스는 적어도 하나의 질소 소스 가스의 형태로 반응기에 도입될 수 있고/있거나 증착 공정에 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 소스 가스는, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 특정 구체예에서, 질소-함유 소스는 약 1 내지 약 2000 제곱 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는 암모니아 플라즈마 또는 수소/질소 플라즈마 소스 가스를 포함한다. 질소-함유 소스는 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다. 필름이 ALD 또는 순환 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초보다 긴 펄스 지속 시간을 가질 수 있고, 질소-함유 소스는 0.01초 미만의 펄스 지속 시간을 가질 수 있는 반면, 물의 펄스 지속 시간은 0.01초 미만의 펄스 지속 시간을 가질 수 있다. 또 다른 구체예에서, 펄스 사이의 퍼지 지속 시간은 0초만큼 낮을 수 있거나 중간에 퍼지 없이 계속해서 펄스된다.
본원에 개시된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징하기 위해 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2) 및 이들의 혼합물을 포함하나 이에 제한되지 않는다. 특정 구체예에서, Ar과 같은 퍼지 가스는 약 0.1 내지 1000초 동안 약 10 내지 약 6000 sccm 범위의 유량으로 반응기에 공급되고, 이에 의해 반응기에 잔류할 수 있는 임의의 부산물 및 반응하지 않은 물질을 퍼징한다.
전구체, 산소-함유 소스, 질소-함유 소스 및/또는 다른 전구체, 소스 가스, 및/또는 시약을 공급하는 각각의 단계는 생성된 유전체 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 시간을 변경함으로써 수행될 수 있다.
퍼지 가스는 이전 단계의 잔류 가스와 조합하여 조성물을 형성할 수 있다. 예를 들어, 조성물은 퍼지 가스 및 본 발명의 전구체 중 적어도 하나를 포함할 수 있다. 퍼지 가스는 이 조성물의 약 1% 내지 약 95%를 차지할 것이다.
에너지는 할리도카르보실란 전구체, 산소-함유 소스, 질소-함유 소스 또는 이들의 조합 중 적어도 하나에 적용되어 반응을 유도하고 기판 상에 실리콘-함유 필름 또는 코팅을 형성한다. 그러한 에너지는, 비제한적으로, 열, 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해 제공될 수 있다. 특정 구체예에서, 2차 RF 주파수 소스는 기판 표면에서 플라즈마 특성을 수정하는데 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마-생성 공정, 또는 대안적으로 플라즈마가 반응기 외부에서 생성되어 반응기에 공급되는 원격 플라즈마-생성 공정을 포함할 수 있다.
적어도 하나의 할리도카르보실란 전구체는 다양한 방식으로 순환 CVD 또는 ALD 반응기와 같은 반응 챔버로 전달될 수 있다. 한 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안적인 구체예에서, 예를 들어, MSP Corporation of Shoreview, MN에 의해 제조된 터보 기화기와 같은 결합된 액체 전달 및 플래시 기화 공정 유닛이 사용될 수 있어, 저 휘발성 물질이 체적측정에 의해 전달될 수 있으며, 이는 전구체의 열 분해 없이 재현 가능한 수송 및 증착으로 이어진다. 액체 전달 제형에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로 이를 포함하는 용매 제형 또는 조성물로 사용될 수 있다. 따라서, 특정 구체예에서, 전구체 제형은 기판 상에 필름을 형성하기 위해 주어진 최종 용도 적용에서 바람직하고 유리할 수 있는 적합한 특성의 용매 성분(들)을 포함할 수 있다.
본원에 기재된 방법의 한 구체예에서, ALD-유사, ALD, 또는 PEALD와 같은 순환 증착 공정이 사용될 수 있으며, 여기서 증착은 적어도 하나의 할리도카르보실란 전구체 및 산소-함유 소스를 사용하여 수행된다. ALD-유사 공정은 순환 CVD 공정으로 정의되지만 여전히 높은 등각 실리콘 옥사이드 필름을 제공한다.
특정 구체예에서, 전구체 캐니스터로부터 반응 챔버로 연결되는 가스 라인은 공정 요건에 따라 하나 이상의 온도로 가열되고, 적어도 하나의 할리도카르보실란 전구체의 용기는 버블링을 위해 하나 이상의 온도로 유지된다. 다른 구체예에서, 적어도 하나의 할리도카르보실란을 포함하는 용액은 직접 액체 주입을 위해 하나 이상의 온도로 유지되는 기화기에 주입된다.
아르곤 및/또는 다른 가스의 흐름은 전구체 펄싱 동안 반응 챔버로 적어도 하나의 할리도카르보실란의 증기를 전달하는 것을 돕기 위한 담체 가스로서 사용될 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 1 Torr이다.
전형적인 ALD 또는 ALD-유사 공정, 예를 들어, CCVD 공정에서, 실리콘 옥사이드 기판과 같은 기판은 착물이 기판의 표면에 화학적으로 흡착될 수 있도록 초기에 실리콘 전구체에 노출되는 반응 챔버의 히터 스테이지에서 가열된다.
아르곤과 같은 퍼지 가스는 공정 챔버로부터 흡수되지 않은 과량의 복합체를 퍼징한다. 충분한 퍼징 후, 산소-함유 소스가 반응 챔버에 도입되어 흡수된 표면과 반응한 다음 또 다른 가스로 퍼징하여 챔버로부터 반응 부산물을 제거할 수 있다. 공정 사이클은 원하는 필름 두께를 달성하기 위해 반복될 수 있다. 일부 경우에, 펌핑은 퍼지를 불활성 가스로 대체할 수 있거나, 둘 모두를 이용하여 반응하지 않은 실리콘 전구체를 제거할 수 있다.
본 발명의 ALD 공정은 약 0.5 Å/사이클 내지 약 4 Å/사이클, 약 0.8 Å/사이클 내지 약 3.5 Å/사이클 및 일부 바람직한 경우에 약 1 Å/사이클 내지 약 3.5 Å/사이클의 범위일 수 있는 필름 성장 속도를 달성할 수 있다. 증착된 필름의 굴절률(RI)은 약 1.35 내지 약 1.55, 약 1.40 내지 약 1.50 및 일부 경우에 약 1.44 내지 약 1.48의 범위일 수 있다. 열 옥사이드에 대한 증착된 필름의 희석된 HF(탈이온수 중 약 0.5 wt.% HF)에서의 상대적인 에칭 속도는 약 0.5 내지 약 8.0, 약 1.0 내지 약 6.0 및 일부 바람직한 경우에 약 1.0 내지 약 4.0의 범위일 수 있다.
상기 또는 다른 구체예에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있고, 순차적으로 수행될 수 있고, 동시에(예를 들어, 다른 단계의 적어도 일부 동안) 수행될 수 있으며, 이들의 임의의 조합일 수 있음이 이해된다. 전구체 및 산소-함유 소스 가스를 공급하는 각각의 단계는 생성된 유전체 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 시간의 지속 시간을 변화시킴으로써 수행될 수 있다. 증착된 필름의 유전 상수(k)는 약 3.0 내지 약 6.0, 약 3.5 내지 약 5.0 및 일부 바람직한 경우에 약 3.8 내지 약 4.2의 범위일 수 있다.
약 600℃ 이상의 하나 이상의 증착 온도에서 기판 상에 실리콘 옥사이드 필름을 증착하기 위한 본원에 기재된 공정의 하나의 특정 구체예는 다음 단계를 포함한다:
a. 반응기에 기판을 제공하고 기판을 원하는 온도로 가열하는 단계;
b. 하기 화학식 I 및 II를 갖는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계:
I R3-nXnSi-R1-SiXmR2 3-m
II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 소스를 반응기에 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계,
여기서 단계 b 내지 e는 원하는 두께의 실리콘 옥사이드 필름이 증착될 때까지 반복된다.
본원에 기재된 공정의 다른 구체예는 산소-함유 소스가 반응기에 도입된 후 H2O 증기 또는 H2O 플라즈마와 같은 하이드록실(예를 들어, 증착 공정 동안 형성된 OH 단편)을 포함하는 산소-함유 소스를 도입한다. 이 구체예에서, 하이드록실기는 표면에 다시 채워져 단층을 형성하기 위해 표면에 고정되는 할리도카르보실란전구체에 대한 반응성 부위를 생성하는 것으로 여겨진다. 증착 단계는 다음으로 구성된다:
a. 반응기에 기판을 제공하고 기판을 원하는 온도로 가열하는 단계;
b. 상기 기술된 화학식 I 또는 II를 갖는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계:
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 물, 과산화수소, 및 물을 포함하는 플라즈마로부터 선택되는 적어도 하나를 포함하는 산소-함유 소스를 반응기에 도입하는 단계;
e. 반응기를 퍼지 가스로 퍼징하는 단계;
f. 산소-함유 소스를 반응기에 도입하는 단계; 및
g. 반응기를 퍼지 가스로 퍼징하는 단계,
여기서 단계 b 내지 g는 원하는 두께의 실리콘 옥사이드 필름이 증착될 때까지 반복된다.
본원에 기재된 방법의 대안적인 구체예에서, 증착 단계는 다음으로 구성된다:
a. 반응기에 기판을 제공하고 기판을 원하는 온도로 가열하는 단계;
b. 본원에 기술된 화학식 I 또는 II를 갖는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계:
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 소스를 반응기에 도입하는 단계;
e. 반응기를 퍼지 가스로 퍼징하는 단계;
f. OH-함유 소스를 반응기에 도입하는 단계; 및
g. 반응기를 퍼지 가스로 퍼징하는 단계,
여기서 단계 b 내지 g는 원하는 두께의 실리콘 옥사이드 필름이 증착될 때까지 반복된다.
또 다른 구체예는 과산화수소, 오존, 수소와 산소를 포함하는 조성물, 또는 산소 플라즈마를 사용하여 패시베이션 작용기 또는 메틸 또는 염소와 같은 기를 제거한다. 증착 단계는 다음과 같다:
a. 반응기에 기판을 제공하고 기판을 원하는 온도로 가열하는 단계;
b. 본원에 기술된 화학식 I 또는 II를 갖는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계:
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 오존, 과산화수소, 수소와 산소를 포함하는 조성물, 및 산소 플라즈마로부터 선택되는 적어도 하나를 포함하는 소스를 반응기에 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계,
여기서 단계 b 내지 e는 원하는 두께의 실리콘 옥사이드 필름이 증착될 때까지 반복된다.
본원에 기재된 공정에서, 하나 이상의 증착 온도는 다음 종말점 600, 650, 675, 600, 700, 725, 750, 775, 800, 825, 850, 875, 900, 925, 950, 975, 또는 1000℃ 중 임의의 하나 이상으로부터의 범위이다. 특정 구체예에서, 적어도 하나의 증착 온도는 약 600℃ 내지 약 1000℃; 또는 약 600℃ 내지 약 750℃; 또는 약 700℃ 내지 약 850℃; 또는 약 750℃ 내지 약 850℃의 범위이다.
설명 전반에 걸쳐, 본원에서 사용되는 용어 "단차 피복"은 비아(vias) 또는 트렌치 또는 둘 모두를 갖는 구조화되거나 특징화된 기판에서 증착된 실리콘-함유 필름의 2개 두께의 백분율로서 정의되며, 하단 단차 피복은 특징부 하단의 두께를 특징부 상단의 두께로 나눈 비율(%)이고, 중간 단차 피복은 특징부 측벽의 두께를 특징부 상단의 두께로 나눈 비율(%)이다. 본원에 기재된 공정을 사용하여 증착된 필름은 필름이 등각임을 나타내는 약 60% 이상, 약 70% 이상, 약 80% 이상, 또는 약 90% 이상의 단차 피복을 나타낸다.
설명 전반에 걸쳐, 본원에서 사용되는 용어 "하이드록실 함유 소스"는 하이드록실기를 갖는 산소-함유 소스를 지칭한다. 예는 물, 물 플라즈마, 수소와 산소를 포함하는 조성물, 수소와 오존을 포함하는 조성물, 물과 산소를 포함하는 조성물, 물과 이산화탄소를 포함하는 조성물, 물과 산소를 포함하는 조성물, 물과 오존을 포함하는 조성물, 물과 아산화질소를 포함하는 조성물, 물과 산화질소를 포함하는 조성물, 과산화수소(H2O2), 수소 및 산소로부터 생성된 플라즈마, 및 이들의 조합을 포함하나 이에 제한되지 않는다.
증착 압력은 50 millitorr(mT) 내지 760 Torr, 또는 500 mT-100 Torr 범위의 하나 이상의 압력이다.
하나의 특정 구체예에서, 본원에 기재된 공정은 오가노아민(예를 들어, 피리딘, 트리메틸아민, 미국 특허 번호 7,084,076 참조; 본원에 참조로 포함됨)과 같은 촉매가 실질적으로 없이 수행된다. 상기 또는 다른 구체 예에서, 본원에 기재된 공정은 하나 이상의 어닐링 단계를 요구하지 않고 수행된다.
하기 실시예는 본 발명의 특정 구체예를 예시하기 위해 제공되며 첨부된 청구 범위의 범위를 제한하지 않는다.
작업 실시예
비교 가능한 실시예 1a: 실리콘 테트라클로라이드를 사용한 실리콘 옥사이드 필름의 원자 층 증착
실리콘 옥사이드 필름의 원자 층 증착은 전구체 실리콘 테트라클로라이드(SiCl4)를 사용하여 수행되었다. 증착은 실험실 규모의 ALD 처리 도구에서 수행되었다. 실리콘 전구체가 증기 드로우에 의해 챔버로 전달되었다. 모든 가스(예를 들어, 퍼지 및 반응 가스 또는 전구체 및 산소-함유 소스)는 증착 챔버에 들어가기 전에 100℃로 예열되었다. 가스 및 전구체 유량은 고속 작동되는 ALD 다이어프램 밸브로 제어되었다. 증착에 사용된 기판은 12-인치 길이의 실리콘 스트립이었다. 기판 온도를 확인하기 위해 열전대를 샘플 홀더에 부착하였다. 산소-함유 소스 가스로서 오존을 사용하여 증착을 수행하였다. 증착 파라미터는 표 III에 제공되며, 여기서 용어 펄스 또는 투입은 상호 교환 가능하며, 실리콘 전구체 또는 산소-함유 소스를 반응기에 도입하는 단계를 의미한다.
표 III: SiCl4를 사용하여 산소 소스와 함께 실리콘 옥사이드 필름의 원자 층 증착을 위한 공정
Figure pct00005
원하는 두께에 도달할 때까지 단계 b 내지 e를 반복하였다. 필름으로부터의 반사 데이터를 미리 설정된 물리적 모델(예를 들어, Lorentz Oscillator 모델)에 핏팅함으로써 FilmTek 2000SE 엘립소미터를 사용하여 필름의 두께 및 굴절률을 측정하였다. 탈이온수(약 0.5 wt.% HF)에서 49% 불화수소(HF)산의 1% 용액을 사용하여 습식 에칭 속도가 수행되었다. 용액 농도를 확인하기 위해 열 옥사이드 웨이퍼를 각 배치에 대한 참조로서 사용하였다. 탈이온수 용액에서 0.5 wt.% HF에 대한 전형적인 열 옥사이드 웨이퍼 습식 에칭 속도(WER)는 0.5 Å/s이다. 에칭 전후의 필름 두께를 사용하여 습식 에칭 속도를 계산하였다. 표 IV는 800℃의 웨이퍼 온도에서 산소-함유 소스로서 오존과 함께 SiCl4 전구체의 12초 전구체 노출로 증착된 SiO2 필름 특성을 요약한다. 성장 속도 또는 사이클 당 성장(GPC)은 옹스트롬(Å) 단위의 실리콘 옥사이드 두께를 사이클 수로 나눈 값으로 정의된다.
표 IV. SiCl4 및 산소 소스로서 오존을 사용하여 증착된 실리콘 옥사이드 필름 특성
Figure pct00006
비교 가능한 실시예 1b: 600℃보다 높은 기판 온도에서 헥사클로로디실록산을 사용한 실리콘 옥사이드 필름의 원자 층 증착
실리콘 옥사이드 필름의 원자 층 증착은 비교 가능한 실시예 1a의 표 III에 열거된 단계를 사용하여 산소-함유 소스 가스로서 오존과 함께 실리콘 헥사클로로디실록산(HCDSO)으로 수행되었다. 표 V는 700℃ 내지 800℃ 범위의 온도에서 증착된 실리콘 옥사이드의 증착 조건 및 물리적 특성을 요약하며, HCDSO가 유사한 ALD 조건에서 SiCl4보다 훨씬 더 높은 성장 속도를 갖는 것을 입증한다.
표 V. HCDSO 및 오존 공정으로 증착된 실리콘 옥사이드 필름의 증착 속도 및 필름 특성
Figure pct00007
실시예 2: 600℃ 초과의 기판 온도에서 1,1,1,3,3,3-헥사클로로디실라프로판을 사용한 실리콘 옥사이드 필름의 원자 층 증착
실리콘 옥사이드 필름의 원자 층 증착은 비교 가능한 실시예 1a의 표 III에 열거된 단계를 사용하여 산소-함유 소스로서 오존 및 실리콘 1,1,1,3,3,3-헥사클로로디실라프로판으로 수행되었다. 표 VI는 700℃ 내지 800℃ 범위의 온도에서 증착된 실리콘 옥사이드의 증착 조건 및 물리적 특성을 요약하며, 1,1,1,3,3,3-헥사클로로디실라프로판이 유사한 ALD 조건에서 SiCl4 및 HCDSO보다 훨씬 더 높은 성장 속도를 갖는 것을 입증한다. 표 VI는 또한 1,1,1,3,3,3-헥사클로로디실라프로판 및 오존을 사용하여 다양한 기판 온도에서 증착된 실리콘 옥사이드 필름에 대한 열 옥사이드에 대한 상대적인 WER를 나타내며, 이는 온도가 높을수록 WER이 낮아짐을 입증한다.
표 VI. 1,1,1,3,3,3-헥사클로로디실라프로판 및 오존을 사용하여 증착된 실리콘 옥사이드 필름의 증착 속도 및 필름 특성
Figure pct00008
필름 불순물을 2차 이온 질량 분광법(SIMS)에 의해 분석하고 필름 불순물을 표 VII에 나타낸다. 필름은 낮은 C, N 및 염소 불순물을 보여준다.
표 VII. 1,1,1,3,3,3-헥사클로로디실라프로판 및 오존을 사용하여 증착된 실리콘 옥사이드 필름의 필름 불순물
Figure pct00009
본 발명은 특정 바람직한 구체예를 참조하여 기술되었지만, 당업자는 본 발명의 사상을 벗어나지 않으며 다양한 변경이 가해질 수 있고 등가물이 이의 요소로 대체될 수 있음을 이해하여야 한다. 또한, 본 발명의 본질적인 범위를 벗어나지 않으면서 본 발명의 교시에 특정 상황 또는 재료를 적용하기 위해 많은 수정이 이루어질 수 있다. 따라서, 본 발명은 특정 구체예로 제한되지 않고, 본 발명은 첨부된 청구 범위의 범위 내에 있는 모든 구체예를 포함할 것이다.

Claims (22)

  1. 실리콘 옥사이드 필름을 증착하는 방법으로서, 상기 방법이,
    a. 반응기에 표면을 포함하는 기판을 제공하고 반응기를 약 600℃ 내지 약 1000℃ 범위의 온도로 가열하는 단계;
    b. 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하는 단계로서, 상기 적어도 하나의 할리도카르보실란 전구체가 기판의 표면의 적어도 일부에서 반응하여 화학흡착된 층을 제공하는, 단계:
    I R3-nXnSi-R1-SiXmR2 3-m
    II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
    여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다;
    c. 제1 퍼지 가스로 반응기의 임의의 소비되지 않은 전구체 및/또는 단계 b로부터의 반응 부산물을 퍼징하는 단계;
    d. 산소-함유 소스를 반응기에 도입하여 화학흡착된 필름과 반응시켜 실리콘 옥사이드 필름을 형성하는 단계; 및
    e. 제1 퍼지 가스와 동일하거나 상이한 제2 퍼지 가스로 반응기의 임의의 소비되지 않은 산소-함유 소스 및/또는 단계 d로부터의 반응 부산물을 퍼징하는 단계를 포함하고;
    여기서 단계 b 내지 e가 원하는 두께의 실리콘 옥사이드 필름이 증착될 때까지 반복되는, 방법.
  2. 제1항에 있어서, 적어도 하나의 할리도카르보실란 전구체가 1,1,1,3,3,3-헥사클로로디실라프로판, 1,1,1,3,3-펜타할리도-1,3-디실라부탄, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3-펜타할리도-2-메틸-1,3-디실라부탄, 2,2,4,4-테트라클로로-2,4-디실라펜탄, 1,1,3,3-테트라클로로-1,3-디실라프로판, 2,4-디클로로-2,4-디메틸-2,4-디실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 2,2,4,6,6-펜타클로로-4-메틸-2,4,6-트리실라헵탄, 및 이들의 혼합물로 구성된 군으로부터 선택되는 방법.
  3. 제1항에 있어서, 제1 및 제2 퍼지 가스 각각이 질소, 헬륨, 아르곤 및 이들의 조합으로 구성된 군으로부터 선택되는 방법.
  4. 제1항에 있어서, 산소-함유 소스가 산소, 과산화물, 산소 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 수소와 산소를 포함하는 조성물, 수소와 오존을 포함하는 조성물, 이산화탄소와 산소를 포함하는 조성물, 물과 산소를 포함하는 조성물, 질소와 산소를 포함하는 조성물, 수증기, 수증기 플라즈마, 물과 오존을 포함하는 조성물, 과산화수소, 오존 소스, 및 이들의 조합으로 구성된 군으로부터 선택되는 적어도 하나의 구성원을 포함하는 방법.
  5. 실리콘 옥사이드 필름을 증착하는 방법으로서,
    a. 반응기에 기판을 제공하고 반응기를 약 600℃ 내지 약 1000℃ 범위의 온도로 가열하는 단계;
    b. 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 반응기에 도입하여 기판 상에 층을 형성하는 단계:
    I R3-nXnSi-R1-SiXmR2 3-m
    II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
    여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다;
    c. 제1 퍼지 가스로 반응기의 임의의 소비되지 않은 전구체 및/또는 단계 b로부터의 반응 부산물을 퍼징하는 단계;
    d. 산소-함유 소스를 반응기에 도입하여 상기 층과 반응시켜 실리콘 옥사이드 필름을 형성하는 단계;
    e. 제1 퍼지 가스와 동일하거나 상이한 제2 퍼지 가스로 반응기의 임의의 소비되지 않은 산소-함유 소스 및/또는 단계 d로부터의 반응 부산물을 퍼징하는 단계;
    f. 하이드록실 함유 소스를 반응기에 도입하여 실리콘 옥사이드 필름과 반응시키는 단계;
    g. 반응기를 퍼지 가스로 퍼징하여 임의의 반응하지 않은 하이드록실 함유 소스 및/또는 임의의 반응 부산물을 제거하는 단계를 포함하고;
    여기서 단계 b 내지 g가 원하는 두께의 실리콘 옥사이드 필름이 형성될 때까지 반복되는, 방법.
  6. 제5항에 있어서, 적어도 하나의 할리도카르보실란 전구체가 1,1,1,3,3,3-헥사클로로디실라프로판, 1,1,1,3,3-펜타할리도-1,3-디실라부탄, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3-펜타할리도-2-메틸-1,3-디실라부탄, 2,2,4,4-테트라클로로-2,4-디실라펜탄, 1,1,3,3-테트라클로로-1,3-디실라프로판, 2,4-디클로로-2,4-디메틸-2,4-디실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 2,2,4,6,6-펜타클로로-4-메틸-2,4,6-트리실라헵탄, 및 이들의 혼합물로 구성된 군으로부터 선택되는 방법.
  7. 제5항에 있어서, 제1 및 제2 퍼지 가스 각각이 질소, 헬륨, 아르곤 및 이들의 조합으로 구성된 군으로부터 선택되는 방법.
  8. 제5항에 있어서, 산소-함유 소스가 산소, 과산화물, 산소 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 수소와 산소를 포함하는 조성물, 수소와 오존을 포함하는 조성물, 이산화탄소와 산소를 포함하는 조성물, 물과 산소를 포함하는 조성물, 질소와 산소를 포함하는 조성물, 수증기, 수증기 플라즈마, 물과 오존을 포함하는 조성물, 과산화수소, 오존 소스, 및 이들의 조합으로 구성된 군으로부터 선택되는 적어도 하나의 구성원을 포함하는 방법.
  9. 제1항에 있어서, 단계 b가 반응기를 50 milliTorr(mTorr) 내지 760 Torr 범위의 압력으로 만드는 것을 추가로 포함하고, 상기 적어도 하나의 할리도카르보실란 전구체가 적어도 하나의 고정 작용기 및 Si-Me 또는 Si-Cl 기 또는 Si-Me과 Si-Cl 둘 모두를 포함하는 패시베이션 작용기를 포함하는 방법.
  10. 제9항에 있어서, 반응기 온도가 700 내지 850℃의 범위인 방법.
  11. 제9항에 있어서, 반응기 압력이 50 milliTorr (mTorr) 내지 100 Torr의 범위인 방법.
  12. 하기 화학식 I 및 II를 갖는 화합물의 군으로부터 선택되는 적어도 하나의 할리도카르보실란 전구체를 포함하는 실리콘 함유 필름을 증착하는데 사용하기 위한 조성물:
    I R3-nXnSi-R1-SiXmR2 3-m
    II R3-nXnSi-R1-SiXqR3 p-R1-SiXmR2 3-m
    여기서 X = Cl, Br 또는 I이고; R 및 R2는 각각 독립적으로 수소 원자 및 C1 내지 C3 알킬기로부터 선택되고; R1은 2개의 실리콘 원자에 결합되고 메틸렌, (메틸)메틸렌, (디메틸)메틸렌 및 (에틸)메틸렌으로부터 선택되는 C1 링커이고; R3는 수소 및 C1 내지 C3 알킬기로부터 선택되고; n = 1, 2 또는 3이고; m = 0, 1, 2 또는 3이고; p = 0, 1 또는 2이고, q = 0, 1 또는 2이고, p+q = 2이다.
  13. 제12항에 있어서, 적어도 하나의 퍼지 가스를 추가로 포함하는 조성물.
  14. 제12항에 있어서, 적어도 하나의 할리도카르보실란 전구체가 1,1,1,3,3,3-헥사클로로디실라프로판, 1,1,1,3,3-펜타할리도-1,3-디실라부탄, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3-펜타클로로-2-메틸-1,3-디실라부탄, 2,2,4,4-테트라클로로-2,4-디실라펜탄, 1,1,3,3-테트라클로로-1,3-디실라프로판, 2,4-디클로로-2,4-디메틸-2,4-디실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 2,2,4,6,6-펜타클로로-4-메틸-2,4,6-트리실라헵탄, 및 이들의 혼합물로 구성된 군으로부터 선택되는 조성물.
  15. 제1항의 방법에 의해 형성된 실리콘 옥사이드 필름.
  16. 제5항의 방법에 의해 형성된 실리콘 옥사이드 필름.
  17. 약 2.1 g/cm3 이상의 밀도; 열 옥사이드에 대해 약 4 이하의 0.5 wt.% dHF에서의 습식 에칭 속도(WER); 및 SIMS에 의해 측정시 2x1019 원자/cm3 이하의 탄소 함량을 갖는 제1항의 방법에 의해 형성된 실리콘 옥사이드 필름.
  18. 제17항에 있어서, 열 옥사이드에 대해 약 3 이하의 0.5 wt.% dHF에서의 습식 에칭 속도(WER)를 갖는 실리콘 옥사이드 필름.
  19. 제17항에 있어서, 탄소가 X-선 광전자 분광법(XPS)에 의해 측정시 5 at.% 미만의 양으로 존재하는 실리콘 옥사이드 필름.
  20. 약 2.1 g/cm3 이상의 밀도; 열 옥사이드에 대해 약 4 이하의 0.5 wt.% dHF에서의 습식 에칭 속도(WER); 및 SIMS에 의해 측정시 2x1019 원자/cm3 이하의 탄소 함량을 갖는 제5항의 방법에 의해 형성된 실리콘 옥사이드 필름.
  21. 제20항에 있어서, 탄소가 X-선 광전자 분광법(XPS)에 의해 측정시 5 at.% 미만의 양으로 존재하는 실리콘 옥사이드 필름.
  22. 제20항에 있어서, 0.5 wt.% dHF에서의 습식 에칭 속도(WER)가 열 옥사이드에 대해 약 3 이하인 실리콘 옥사이드 필름.
KR1020217013442A 2018-10-05 2019-10-04 실리콘-함유 필름의 고온 원자 층 증착 KR20210055101A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862742056P 2018-10-05 2018-10-05
US62/742,056 2018-10-05
US201862743887P 2018-10-10 2018-10-10
US62/743,887 2018-10-10
PCT/US2019/054650 WO2020072874A1 (en) 2018-10-05 2019-10-04 High temperature atomic layer deposition of silicon-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247017197A Division KR20240090860A (ko) 2018-10-05 2019-10-04 실리콘-함유 필름의 고온 원자 층 증착

Publications (1)

Publication Number Publication Date
KR20210055101A true KR20210055101A (ko) 2021-05-14

Family

ID=70054903

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217013442A KR20210055101A (ko) 2018-10-05 2019-10-04 실리콘-함유 필름의 고온 원자 층 증착

Country Status (6)

Country Link
US (1) US20210380418A1 (ko)
JP (1) JP7256263B2 (ko)
KR (1) KR20210055101A (ko)
CN (2) CN118086873A (ko)
TW (2) TWI721588B (ko)
WO (1) WO2020072874A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230028418A (ko) * 2020-06-23 2023-02-28 엔테그리스, 아이엔씨. 규소-함유 필름을 형성시키기 위한 규소 전구체 화합물 및 방법
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
JP5658118B2 (ja) * 2011-09-29 2015-01-21 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
JP6009513B2 (ja) * 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102650626B1 (ko) * 2015-02-06 2024-03-21 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
WO2017007986A1 (en) * 2015-07-09 2017-01-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
CN113403604B (zh) * 2015-07-31 2024-06-14 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films

Also Published As

Publication number Publication date
CN112969817B (zh) 2024-04-19
TWI816086B (zh) 2023-09-21
US20210380418A1 (en) 2021-12-09
TWI721588B (zh) 2021-03-11
JP7256263B2 (ja) 2023-04-11
WO2020072874A1 (en) 2020-04-09
CN118086873A (zh) 2024-05-28
JP2022504232A (ja) 2022-01-13
CN112969817A (zh) 2021-06-15
TW202134471A (zh) 2021-09-16
TW202018119A (zh) 2020-05-16

Similar Documents

Publication Publication Date Title
KR102013412B1 (ko) 규소-함유 막의 고온 원자층 증착
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
TWI557259B (zh) 用於沉積氧化矽膜的組合物及方法
US9460912B2 (en) High temperature atomic layer deposition of silicon oxide thin films
TWI738200B (zh) 摻雜碳的矽氧化物的沉積
TWI405865B (zh) 由有機胺基矽烷前驅物製造矽氧化物膜的方法
EP2857552A2 (en) Methods for depositing silicon nitride films
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
KR20210055101A (ko) 실리콘-함유 필름의 고온 원자 층 증착
CN112969816A (zh) 用于高质量氧化硅薄膜的高温原子层沉积的组合物
KR20210047966A (ko) 실리콘 및 질소 함유 막의 제조 방법
TWI792947B (zh) 熱沉積含矽膜的組合物及方法
KR20240090860A (ko) 실리콘-함유 필름의 고온 원자 층 증착
KR102291056B1 (ko) 보론 및 카본 함유 물질들의 퇴적

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision