KR20210047811A - Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same - Google Patents

Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same Download PDF

Info

Publication number
KR20210047811A
KR20210047811A KR1020200135908A KR20200135908A KR20210047811A KR 20210047811 A KR20210047811 A KR 20210047811A KR 1020200135908 A KR1020200135908 A KR 1020200135908A KR 20200135908 A KR20200135908 A KR 20200135908A KR 20210047811 A KR20210047811 A KR 20210047811A
Authority
KR
South Korea
Prior art keywords
bnnt
pellicle
layer
extreme ultraviolet
sic
Prior art date
Application number
KR1020200135908A
Other languages
Korean (ko)
Other versions
KR102463517B1 (en
Inventor
남기수
이창훈
윤종원
Original Assignee
주식회사 에스앤에스텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에스앤에스텍 filed Critical 주식회사 에스앤에스텍
Publication of KR20210047811A publication Critical patent/KR20210047811A/en
Application granted granted Critical
Publication of KR102463517B1 publication Critical patent/KR102463517B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02606Nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10325Boron nitride [BN], e.g. cubic, hexagonal, nanotube

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Environmental & Geological Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Nanotechnology (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Ceramic Products (AREA)

Abstract

A pellicle for extreme ultraviolet lithography has a center layer formed of one of BNNT, BNNT/SiMx, SiMx/BNNT, SiMx/BNNT/SiMx by utilizing a boron nitride nanotube (BNNT) and a silicon compound (SiMx). By forming the center layer utilizing a boron nitride nanotube having excellent stability in an extreme ultraviolet lithography environment, excellent mechanical strength, and high optical transmittance, the performance of the pellicle can be improved.

Description

질화붕소 나노튜브를 사용하는 극자외선 리소그래피용 펠리클 및 이의 제조방법 {Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same}Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet (EUV) Lithography and method for fabricating of the same}

본 발명은 극자외선 리소그래피용 펠리클 및 이의 제조방법에 관한 것으로서, 보다 상세하게는, 극자외선 노광 광에 대하여 85% 이상의 투과율 및 1% 이하의 반사율을 만족하는 펠리클 및 이의 제조방법에 관한 것이다.The present invention relates to a pellicle for extreme ultraviolet lithography and a method for manufacturing the same, and more particularly, to a pellicle that satisfies a transmittance of 85% or more and a reflectance of 1% or less for extreme ultraviolet exposure light, and a method of manufacturing the same.

포토리소그래피(Photo-lithography)라고 불리는 노광(Exposure) 기술의 발달은 반도체 집적 회로의 고집적화(High integration)를 가능하게 하였다. 웨이퍼 위에 보다 미세한 회로 패턴을 형성하려면 분해능이라고도 불리는 노광 장비의 해상력(resolution)이 높아져야 한다. 해상력의 한계를 넘어서는 미세 패턴을 전사한다면, 빛의 회절(diffraction)과 산란(scattering)으로 인한 빛 간섭이 발생하여 원래의 마스크 패턴과는 다른, 왜곡된 상이 전사되는 문제가 발생한다.The development of exposure technology called photolithography has enabled high integration of semiconductor integrated circuits. To form a finer circuit pattern on a wafer, the resolution of exposure equipment, also called resolution, must be increased. If a fine pattern that exceeds the limit of the resolution is transferred, light interference due to light diffraction and scattering occurs, resulting in a problem that a distorted image different from the original mask pattern is transferred.

현재 상용화된 노광 공정은 193㎚의 ArF 파장대를 이용하는 노광 장비로 전사 공정을 진행하여 웨이퍼 상에 미세 패턴을 형성하고 있으나, 50㎚ 이하의 미세 패턴 형성에 대해서는 빛의 회절과 산란으로 인한 한계를 보이고 있어 공기보다 굴절률이 큰 액상 매체를 이용한 액침 노광기술(Immersion lithography), 노광 공정을 두 번 시행하는 이중 노광기술(Double lithography), 빛의 위상을 180˚ 반전시켜 인접하는 투과광과 소멸간섭을 발생시키도록 하는 위상전이기술(Phase shift technology), 빛의 간섭 및 회절 효과에 의하여 설계된 패턴 크기보다 작아지거나 끝부분이 라운드되는 현상을 보정하는 광학위상보정(Optical phase correction) 등의 다양한 방법들이 개발되고 있다.Currently, the commercially available exposure process is an exposure equipment that uses an ArF wavelength band of 193 nm to form a fine pattern on the wafer by performing a transfer process, but for the formation of a fine pattern of 50 nm or less, it shows limitations due to light diffraction and scattering. Immersion lithography using a liquid medium that has a higher refractive index than air, double lithography that performs the exposure process twice, and inverts the phase of light by 180° to generate adjacent transmitted light and extinction interference. Various methods are being developed, such as phase shift technology, which compensates for the phenomenon that the pattern size becomes smaller than the designed pattern size or the edge is rounded due to light interference and diffraction effects. .

그러나, 상기 ArF 파장을 이용하는 노광 기술로는 더욱 미세화된 32㎚ 이하의 회로 선폭을 구현하기 어려울 뿐 아니라, 생산 비용이 증가하고 공정 복합성이 증가할 수 밖에 없다. 이로 인하여 193㎚의 파장에 비하여 매우 단파장인 13.5㎚ 파장을 주 노광 파장으로 사용하는 극자외선(Extreme Ultra-Violet, 이하 EUV 라고 함)광을 사용하는 EUV 리소그래피 기술이 차세대 공정으로 주목을 받고 있다.However, with the exposure technology using the ArF wavelength, it is difficult to realize a more fine circuit line width of 32 nm or less, and production cost increases and process complexity is inevitably increased. For this reason, EUV lithography technology using Extreme Ultra-Violet (hereinafter referred to as EUV) light, which uses a wavelength of 13.5 nm, which is a very short wavelength compared to the wavelength of 193 nm, as the main exposure wavelength is attracting attention as a next-generation process.

한편, 리소그래피 공정은 패터닝을 위한 원판으로서 포토마스크(Photomask)가 사용되고, 포토마스크의 패턴이 웨이퍼(Wafer)에 전사된다. 만약 포토마스크 상에 파티클(Particle)이나 이물질 등의 불순물이 부착되어 있으면 이 불순물로 인해 노광 광이 흡수되거나 반사되어 전사된 패턴이 손상될 수 있으며, 이에 따라 반도체 장치의 성능이나 수율의 저하가 초래된다.Meanwhile, in the lithography process, a photomask is used as an original plate for patterning, and the pattern of the photomask is transferred to a wafer. If impurities such as particles or foreign substances adhere to the photomask, exposure light may be absorbed or reflected by the impurities and the transferred pattern may be damaged, resulting in a decrease in the performance or yield of the semiconductor device. do.

이에 따라, 포토마스크 표면에 불순물이 부착되는 것을 방지하기 위하여 포토마스크에 펠리클(Pellicle)을 부착하는 방법이 사용되고 있다. 상기 펠리클은 포토마스크 표면 상부에 배치되며, 펠리클 상에 불순물이 부착되더라도 포토리소그래피 공정 시 초점은 포토마스크의 패턴 상에 일치되어 있으므로, 펠리클 상의 먼지 또는 이물질은 초점이 맞지 않아 웨이퍼 표면에 전사되지 않는다. 최근에는, 회로 선폭의 미세화에 따라 패턴 손상에 영향을 미칠 수 있는 불순물의 크기 또한 줄어 들었기 때문에, 포토마스크 보호를 위한 펠리클의 역할이 더욱 중요해지고 있다.Accordingly, in order to prevent impurities from adhering to the surface of the photomask, a method of attaching a pellicle to the photomask is used. The pellicle is disposed on the surface of the photomask, and even if impurities are adhered on the pellicle, the focus is aligned on the pattern of the photomask during the photolithography process, so dust or foreign matter on the pellicle is not in focus and is not transferred to the wafer surface. . In recent years, since the size of impurities that may affect pattern damage has also been reduced as the circuit line width is miniaturized, the role of the pellicle for photomask protection is becoming more important.

본 발명은 펠리클의 광학적 특성 손실을 최소화하면서 펠리클의 열적, 화학적 안정성을 개선할 수 있는 극자외선 리소그래피용 펠리클 및 이의 제조방법을 제공하는 것을 목적으로 한다.An object of the present invention is to provide a pellicle for extreme ultraviolet lithography and a method of manufacturing the pellicle capable of improving the thermal and chemical stability of the pellicle while minimizing the loss of optical properties of the pellicle.

본 발명에 따른 극자외선 리소그래피용 펠리클은, 질화붕소 나노튜브(BNNT) 및 실리콘 화합물(SiMx)를 활용하여, BNNT; BNNT/SiMx; SiMx/BNNT; SiMx/BNNT/SiMx; 중 하나의 구조로 형성된 중심층을 포함하는 것을 특징으로 한다. 여기에서, M 은 N, O, C, 전이금속 중 하나 이상이고, x 는 실수이다.The pellicle for extreme ultraviolet lithography according to the present invention is a boron nitride nanotube (BNNT) and a silicon compound (SiMx) using, BNNT; BNNT/SiMx; SiMx/BNNT; SiMx/BNNT/SiMx; It characterized in that it comprises a central layer formed in one of the structures. Here, M is at least one of N, O, C, and transition metal, and x is a real number.

본 발명의 펠리클은, 상기 중심층의 상면과 하면 중 적어도 하나 이상에 형성되며, SiNx, SiCxOy, MoSix, RuSix 중 하나의 실리콘 화합물로 형성된 단층구조 또는 하나 이상의 상기 실리콘 화합물이 적층된 다층구조의 캡핑층을 더 포함하여 구성될 수 있다. 여기에서 x, y 는 실수이다.The pellicle of the present invention is formed on at least one of the upper and lower surfaces of the center layer, and has a single layer structure or at least one silicon compound formed of one silicon compound among SiN x , SiC x O y , MoSi x , and RuSi x It may be configured to further include a stacked multi-layered capping layer. Where x and y are real numbers.

상기 실리콘 화합물은, SiNx (x=0.5~2), SiCx (x=0.1~2), SiCxO2-x (x=0~2), RuSix(x=0~2), MoSix(x=0.5~2.5), MSix (M: metal, x=0.3~3) 의 조성을 갖는다.The silicon compound is SiN x (x=0.5~2), SiC x (x=0.1~2), SiC x O 2-x (x=0~2), RuSi x (x=0~2), MoSi It has a composition of x (x=0.5~2.5), MSi x (M: metal, x=0.3~3).

상기 펠리클은, 극자외선 노광 광의 입사방향을 기준으로, SiCxOy/MoSix/BNNT/SiCxOy; SiCxOy/MoSix/BNNT/SiNx; SiCxOy/MoSix/BNNT; MoSix/BNNT/SiCxOy; MoSix/BNNT/SiNx; MoSix/BNNT; SiCxOy/BNNT/MoSix/SiCxOy; SiNx/BNNT/MoSix/SiCxOy; BNNT/MoSix/SiCxOy; SiCxOy/BNNT/MoSix; SiNx/BNNT/MoSix; BNNT/MoSix; RuSix/BNNT/SiCxOy; RuSix/BNNT/SiNx; RuSix/BNNT; SiCxOy/BNNT/RuSix; SiNx/BNNT/RuSix; BNNT/RuSix; BNNT; 중 하나의 구조로 형성될 수 있다. 여기에서 x, y 는 실수이다.The pellicle is SiC x O y /MoSi x /BNNT/SiC x O y ; SiC x O y /MoSi x /BNNT/SiN x ; SiC x O y /MoSi x /BNNT; MoSi x /BNNT/SiC x O y ; MoSi x /BNNT/SiN x ; MoSi x /BNNT; SiC x O y /BNNT/MoSi x /SiC x O y ; SiN x /BNNT/MoSi x /SiC x O y ; BNNT/MoSi x /SiC x O y ; SiC x O y /BNNT/MoSi x ; SiN x /BNNT/MoSi x ; BNNT/MoSi x ; RuSi x /BNNT/SiC x O y ; RuSi x /BNNT/SiN x ; RuSi x /BNNT; SiC x O y /BNNT/RuSi x ; SiN x /BNNT/RuSi x ; BNNT/RuSi x ; BNNT; It may be formed in one of the structures. Where x and y are real numbers.

상기 펠리클의 각 층 사이 중 하나 이상의 계면에는 계면층이 형성될 수 있다.An interface layer may be formed at one or more interfaces between each layer of the pellicle.

상기 펠리클의 각 층의 두께는 극자외선 노광 광에 대하여 85% 이상의 투과율 및 1% 이하의 반사율을 만족하는 범위 내에서 조절된다.The thickness of each layer of the pellicle is adjusted within a range that satisfies a transmittance of 85% or more and a reflectance of 1% or less for extreme ultraviolet exposure light.

본 발명에 따른 펠리클 제조방법은, a) 지지기판상에 에칭스탑층을 형성하는 단계; b) 상기 에칭스탑층상에 중심층을 형성하는 단계; c) 상기 중심층 상부에 상부 식각보호층을 형성하고 상기 지지기판의 하부에 하부 식각보호층 패턴을 형성하는 단계; d) 상기 하부 식각보호층패턴을 식각 마스크로 사용하여 상기 지지기판 및 상기 에칭스탑층을 식각하여 펠리클 프레임을 형성하는 단계; 및 e) 상기 상부 식각보호층 및 상기 하부 식각보호층패턴을 제거하는 단계;를 포함한다. 여기에서 상기 중심층은, BNNT; BNNT/SiMx; SiMx/BNNT; SiMx/BNNT/SiMx; 중 하나의 구조로 형성된다. 이때, M 은 N, O, C, 전이금속 중 하나 이상이고, x 는 실수이다.A method for manufacturing a pellicle according to the present invention comprises the steps of: a) forming an etching stop layer on a support substrate; b) forming a center layer on the etch stop layer; c) forming an upper etch protection layer over the center layer and forming a lower etch protection layer pattern under the support substrate; d) forming a pellicle frame by etching the support substrate and the etching stop layer using the lower etch protection layer pattern as an etching mask; And e) removing the upper etch protection layer and the lower etch protection layer pattern. Here, the center layer is BNNT; BNNT/SiMx; SiMx/BNNT; SiMx/BNNT/SiMx; It is formed in one of the structures. At this time, M is at least one of N, O, C, and transition metal, and x is a real number.

본 발명의 펠리클 제조방법은, 상기 e) 단계 후에, 노출된 펠리클의 상면과 하면 중 적어도 하나 이상을 덮도록 하나 이상의 캡핑층을 형성하는 단계를 추가로 포함할 수 있다.The method for manufacturing a pellicle of the present invention may further include forming one or more capping layers to cover at least one of an upper surface and a lower surface of the exposed pellicle after step e).

본 발명의 펠리클 제조방법은, 상기 c) 단계 전에, 노출된 상기 중심층의 상면에 하나 이상의 캡핑층을 형성하는 단계를 추가로 포함할 수 있다.The pellicle manufacturing method of the present invention may further include forming one or more capping layers on the exposed upper surface of the center layer before step c).

본 발명의 펠리클 제조방법은, 상기 a) 단계 후에 상기 에칭스탑층상에 캡핑층을 형성하는 단계를 추가로 포함할 수 있다.The pellicle manufacturing method of the present invention may further include forming a capping layer on the etching stop layer after step a).

상기 중심층 또는 캡핑층을 구성하는 금속 실리사이드 및 실리콘 화합물은, 금속:실리콘=1:0.1~3 조성의 스퍼터링(Sputtering) 타겟(Target)을 이용하여 스퍼터링 하는 방법; 및 실리콘:화합물(O, C, N 중 하나 이상)=1:0.1~4 조성의 스퍼터링 타겟을 이용하여 스퍼터링 하는 방법;중 하나 이상의 방법으로 형성될 수 있다.The metal silicide and silicon compound constituting the center layer or the capping layer may include a method of sputtering using a sputtering target having a composition of metal: silicon = 1:0.1 to 3; And silicon: a compound (one or more of O, C, and N) = 1: a method of sputtering using a sputtering target having a composition of 0.1 to 4; it may be formed by one or more of the methods.

상기 스퍼터링은 20~600℃ 온도 범위에서 수행되는 것이 바람직하다.The sputtering is preferably carried out in a temperature range of 20 ~ 600 ℃.

본 발명의 펠리클 제조방법은, 캡핑층 형성 후, 질소(N), 또는 아르곤(Ar), 또는 수소(H), 또는 탄화수소 (Hydrocarbon), 또는 이들의 혼합 가스 분위기 상에서 150℃ 이상의 온도로 열처리하는 단계를 더 포함할 수 있다.The pellicle manufacturing method of the present invention is heat-treated at a temperature of 150° C. or higher in nitrogen (N), argon (Ar), or hydrogen (H), or hydrocarbon (Hydrocarbon), or a mixed gas atmosphere thereof after forming the capping layer. It may further include a step.

본 발명의 펠리클은 극자외선 리소그래피 환경에서의 안정성이 뛰어나고 기계적 강도가 우수하며 광학적 투과율이 높은 질화붕소 나노튜브를 활용하여 중심층을 형성한다. 따라서 극자외선 리소그래피 환경에 노출된 펠리클의 성능을 향상시킬 수 있다.The pellicle of the present invention forms a central layer using boron nitride nanotubes having excellent stability in an extreme ultraviolet lithography environment, excellent mechanical strength, and high optical transmittance. Therefore, it is possible to improve the performance of the pellicle exposed to the extreme ultraviolet lithography environment.

도 1 및 도 2는 본 발명의 제 1 구조에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도.
도 3은 본 발명의 제 2 구조에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도.
도 4는 본 발명의 제 3 구조에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도.
도 5 내지 도 9는 본 발명의 제 1 구조에 따른 극자외선 리소그래피용 펠리클의 제조방법을 순차적으로 도시한 도면.
도 10 내지 도 14는 본 발명의 제 2 구조에 따른 극자외선 리소그래피용 펠리클의 제조방법을 순차적으로 도시한 도면.
1 and 2 are cross-sectional views showing a pellicle for extreme ultraviolet lithography according to the first structure of the present invention.
3 is a cross-sectional view showing a pellicle for extreme ultraviolet lithography according to the second structure of the present invention.
4 is a cross-sectional view showing a pellicle for extreme ultraviolet lithography according to the third structure of the present invention.
5 to 9 are views sequentially showing a method of manufacturing a pellicle for extreme ultraviolet lithography according to the first structure of the present invention.
10 to 14 are views sequentially showing a method of manufacturing a pellicle for extreme ultraviolet lithography according to the second structure of the present invention.

이하에서는, 도면을 참조하여 본 발명을 보다 상세하게 설명한다.Hereinafter, the present invention will be described in more detail with reference to the drawings.

도 1 및 도 2는 본 발명의 제 1 구조에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도이다.1 and 2 are cross-sectional views showing a pellicle for extreme ultraviolet lithography according to the first structure of the present invention.

도 1 을 참조하면, 본 발명에 따른 극자외선 리소그래피용 펠리클(100)은 질화붕소 나노튜브(BNNT)로 형성된 중심층(110)을 구비한다. 도 1에서 중심층(110)은 단일층으로 구성된다. 도 2를 참조하면, 중심층(110)은 질화붕소 나노튜브(BNNT) 및 실리콘 화합물(SiMx)를 활용하여 SiMx/BNNT/SiMx 의 3층 구조를 구비할 수 있다. 도 2에서는 중심층(110)의 중간 부분의 BNNT 층의 상부와 하부에 SiMx 층이 형성된 예를 도시하고 있으나, SiMx 층은 BNNT 층의 상부와 하부 중 어느 하나에만 형성될 수도 있다. 즉, 본발명의 중심층(110)은 BNNT, SiMx/BNNT, BNNT/SiMx, SiMx/BNNT/SiMX 의 구조 중 어느 하나로 형성될 수 있다. 여기서, M은 실리콘과 결합한 화합물로, 산소(O), 질소(N), 탄소(C), 및 전이금속 중 하나 이상의 물질을 나타내고, 조성비 x는 실수 범위의 값이다.Referring to FIG. 1, a pellicle 100 for extreme ultraviolet lithography according to the present invention includes a central layer 110 formed of boron nitride nanotubes (BNNT). In FIG. 1, the center layer 110 is composed of a single layer. Referring to FIG. 2, the center layer 110 may have a three-layer structure of SiMx/BNNT/SiMx using boron nitride nanotubes (BNNT) and silicon compounds (SiMx). 2 shows an example in which SiMx layers are formed on the upper and lower portions of the BNNT layer in the middle portion of the central layer 110, but the SiMx layer may be formed only on one of the upper and lower portions of the BNNT layer. That is, the central layer 110 of the present invention may be formed of any one of the structures of BNNT, SiMx/BNNT, BNNT/SiMx, and SiMx/BNNT/SiMX. Here, M is a compound bonded with silicon, and represents at least one of oxygen (O), nitrogen (N), carbon (C), and transition metal, and the composition ratio x is a value in a real range.

중심층(110)은 극자외선용 노광 광에 대해 높은 투과율을 유지하면서 기계적 강도가 우수한 BNNT가 중심이 되며, BNNT의 상면, 하면, 또는 상하면에 형성되는 SiMx는 바람직하게는, SiNx (x=0.5~2), SiCx (x=0.1~2), RuSix (x=0~2), MoSix (x=0.5~2.5), MSix (M: metal, x=0.3~3) 중 하나 이상의 물질로 형성될 수 있다.The center layer 110 is mainly composed of BNNT having excellent mechanical strength while maintaining high transmittance to exposure light for extreme ultraviolet rays, and SiMx formed on the upper, lower, or upper and lower surfaces of the BNNT is preferably SiNx (x=0.5 ~2), SiCx (x=0.1~2), RuSix (x=0~2), MoSix (x=0.5~2.5), MSix (M: metal, x=0.3~3) I can.

중심층(110)은 100nm 이하의 두께를 갖고, EUV 노광 광에 대하여 85% 이상의 투과율 및 1% 이하의 반사율을 가지며, 이를 위해, 중심층(110)을 구성하는 각 막의 두께는 다양한 조합을 가질 수 있다. The center layer 110 has a thickness of 100 nm or less, and has a transmittance of 85% or more and a reflectance of 1% or less for EUV exposure light, and for this purpose, the thickness of each film constituting the center layer 110 has various combinations. I can.

아울러, 도 1 및 2 에는 도시하지는 않았지만, 본 발명에 따른 극자외선 리소그래피용 펠리클(100)은, 중심층(110)을 지지하는 역할을 하며 펠리클(100) 제작완료 시 핸들링 및 이송을 용이하게 하는 역할을 하는 펠리클 프레임(211)을 포함한다. 상기 펠리클 프레임(211)은 건식/습식 식각 공정이 가능한 물질로 형성되며, 예를 들어, 석영, SOI 또는 실리콘(Si) 웨이퍼를 식각 공정, 또는 미세가공기술을 이용하여 형성할 수 있다. 이하, 후술되는 본 발명에 따른 펠리클(100)은 펠리클 프레임(211)에 대한 특별한 언급이 없더라도 상기 펠리클 프레임(211)을 포함한다.In addition, although not shown in Figs. 1 and 2, the pellicle 100 for extreme ultraviolet lithography according to the present invention serves to support the center layer 110 and facilitates handling and transport when the pellicle 100 is completed. It includes a pellicle frame 211 serving as a role. The pellicle frame 211 is formed of a material capable of a dry/wet etching process, and for example, a quartz, SOI, or silicon (Si) wafer may be formed using an etching process or a microfabrication technique. Hereinafter, the pellicle 100 according to the present invention to be described later includes the pellicle frame 211 even if there is no special mention of the pellicle frame 211.

도 3은 본 발명의 제 2 구조에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도이다.3 is a cross-sectional view showing a pellicle for extreme ultraviolet lithography according to a second structure of the present invention.

도 3을 참조하면, 본 발명에 따른 극자외선 리소그래피용 펠리클(100)은 중심층(110)의 상면, 하면 또는 상하면에 한층 이상의 캡핑층(120)을 더 포함하는 다층 구조로 이루어진다.Referring to FIG. 3, the pellicle 100 for extreme ultraviolet light lithography according to the present invention has a multilayer structure further including one or more capping layers 120 on the upper surface, the lower surface, or the upper and lower surfaces of the center layer 110.

본 발명에 따른 펠리클(100)을 구성하는 캡핑층(120)은 극자외선 리소그래피 환경에서 일어나는 화학적 반응으로부터 중심층(110)을 보호하고, 펠리클(100)의 기계적 강도를 강화하며, 열방사를 통하여 펠리클(100)의 열적 안정성을 높이는 역할을 한다. 이를 위해, 캡핑층(120)은 수소(H) 라디칼 및 산소(O)와의 반응성이 낮은 화학적으로 안정하면서 기계적으로 우수한 물질들로 구성된다.The capping layer 120 constituting the pellicle 100 according to the present invention protects the center layer 110 from chemical reactions occurring in an extreme ultraviolet lithography environment, enhances the mechanical strength of the pellicle 100, and through thermal radiation. It serves to increase the thermal stability of the pellicle 100. To this end, the capping layer 120 is made of chemically stable and mechanically excellent materials having low reactivity with hydrogen (H) radicals and oxygen (O).

즉, 캡핑층(120)은 SiNx, SiCx, SiCxOy, RuSix, MoSix, MSix (M: metal) 중 하나의 물질로 형성된 단층구조이거나, 또는 하나 이상의 물질이 적층된 다층구조로 구성된다. 여기서, x 및 y는 실수 범위의 물질 조성비를 의미하고, 바람직하게는 각 물질별로 SiNx (x=0.5~2), SiCx (x=0.1~2), SiCxO2-x (x=0~2), RuSix (x=0~2), MoSix (x=0.5~2.5), MSix (M: metal, x=0.3~3)의 범위를 갖는다.That is, the capping layer 120 has a single layer structure formed of one of SiNx, SiCx, SiCxOy, RuSix, MoSix, and MSix (M: metal), or a multilayer structure in which one or more materials are stacked. Here, x and y mean a material composition ratio in a real range, and preferably SiNx (x=0.5~2), SiCx (x=0.1~2), SiCxO2-x (x=0~2), for each material, RuSix (x=0~2), MoSix (x=0.5~2.5), MSix (M: metal, x=0.3~3).

캡핑층(120)은 15nm 이하의 두께, 바람직하게는 10nm 이하일 수 있으며, 펠리클(100)의 기계적 강도 및 광학적 특성을 고려하여 다양한 두께로 형성할 수 있다. 캡핑층(120)은, 바람직하게는, 펠리클(100)의 극자외선 노광 광에 대하여 반사율을 최대로 감소시키는 두께로 형성할 수 있다. 예를 들어, 하나 이상의 층에서 반사한 극자외선 노광 광과 상쇄간섭 일으키는 광학두께로 형성할 수 있다.The capping layer 120 may have a thickness of 15 nm or less, preferably 10 nm or less, and may be formed in various thicknesses in consideration of the mechanical strength and optical properties of the pellicle 100. The capping layer 120 may preferably be formed to have a thickness that maximizes the reflectance of the pellicle 100 with respect to the extreme ultraviolet ray exposure light. For example, it may be formed with an optical thickness that causes destructive interference with extreme ultraviolet exposure light reflected from one or more layers.

도 3에 도시된 펠리클(100)은 노광 광의 입사방향을 기준으로 SiCxOy/MoSix/BNNT/SiCxOy; SiCxOy/MoSix/BNNT/SiNx; SiCxOy/MoSix/BNNT; MoSix/BNNT/SiCxOy; MoSix/BNNT/SiNx; MoSix/BNNT; SiCxOy/BNNT/MoSix/SiCxOy; SiNx/BNNT/MoSix/SiCxOy; BNNT/MoSix/SiCxOy; SiCxOy/BNNT/MoSix; SiNx/BNNT/MoSix; BNNT/MoSix; RuSix/BNNT/SiCxOy; RuSix/BNNT/SiNx; RuSix/BNNT; SiCxOy/BNNT/RuSix; SiNx/BNNT/RuSix; BNNT/RuSix; BNNT; 중 하나의 구조로 형성될 수 있다.The pellicle 100 shown in FIG. 3 is SiC x O y /MoSi x /BNNT/SiC x O y based on the incident direction of exposure light; SiC x O y /MoSi x /BNNT/SiN x ; SiC x O y /MoSi x /BNNT; MoSi x /BNNT/SiC x O y ; MoSi x /BNNT/SiN x ; MoSi x /BNNT; SiC x O y /BNNT/MoSi x /SiC x O y ; SiN x /BNNT/MoSi x /SiC x O y ; BNNT/MoSi x /SiC x O y ; SiC x O y /BNNT/MoSi x ; SiN x /BNNT/MoSi x ; BNNT/MoSi x ; RuSi x /BNNT/SiC x O y ; RuSi x /BNNT/SiN x ; RuSi x /BNNT; SiC x O y /BNNT/RuSi x ; SiN x /BNNT/RuSi x ; BNNT/RuSi x ; BNNT; It may be formed in one of the structures.

도 4는 본 발명의 제 3 구조에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도이다.4 is a cross-sectional view showing a pellicle for extreme ultraviolet lithography according to a third structure of the present invention.

도 4를 참조하면, 본 발명에 따른 중심층(110) 및 캡핑층(120)으로 구성된 극자외선 리소그래피용 펠리클(100)은 각 층간 계면에 조성이 다른 계면층(130)을 포함할 수 있다.Referring to FIG. 4, the pellicle 100 for extreme ultraviolet ray lithography composed of the center layer 110 and the capping layer 120 according to the present invention may include an interface layer 130 having a different composition at the interface between layers.

계면층(130)은 각 층을 구비하는 과정에서 자연스럽게 형성될 수 있고, 또는 인위적인 형성 단계를 추가하여 계면층(130)을 형성할 수 있다. 계면층(130)은 계면층(130)과 인접한 층들을 구성하는 물질들이 조합된 물질로 형성될 수 있다. 각층 사이에 형성된 계면층(130)의 조성은 두께방향 위치에 따라 선형, 혹은 비선형적으로 변화하는 형태일 수 있고, 또는 평면방향 위치에 따라 상이한 구조일 수 있으며, 또는 이 둘이 혼합된 형태일 수 있다. 예를 들어, 전이금속과의 실리콘 화합물에서 형성된 계면에는 두께방향으로 금속실리사이드 층의 조성이 달라질 수 있다. 더욱 구체적으로는, MoSix 재질의 계면층(130)은 그의 하부층과 인접한 위치에서 MoSi1.1x 재질로 형성되고 그의 상부층과 접한 곳에서는 MoSi0.9x 재질로 형성될 수 있으며, 또는 이와 반대의 조성으로 형성될 수 있다.The interface layer 130 may be naturally formed in the process of providing each layer, or the interface layer 130 may be formed by adding an artificial forming step. The interface layer 130 may be formed of a material in which materials constituting layers adjacent to the interface layer 130 are combined. The composition of the interfacial layer 130 formed between each layer may be in a form that changes linearly or non-linearly according to the position in the thickness direction, or may have a different structure depending on the position in the plane direction, or may be a mixture of the two. have. For example, the composition of the metal silicide layer may vary in the thickness direction at the interface formed from the silicon compound with the transition metal. More specifically, the interface layer 130 made of MoSix may be formed of MoSi1.1x material at a position adjacent to the lower layer thereof, and may be formed of MoSi0.9x material at a position adjacent to the upper layer thereof, or the opposite composition. Can be.

본 발명에서는 계면층(130)에 대하여 MoSix 하나의 예시를 설명하였으나, 다층구조의 중심층(110) 및 캡핑층(120)으로 구성된 다층 펠리클(100)의 각 계면에서는 계면을 형성하는 해당 물질에 따라 다양한 재질의 계면층(130)이 존재할 수 있다. 즉, 본 발명에서 설명하는 다층구조에서, 각 층간 계면에 하나 이상의 층이 존재하고, 계면에 존재하는 층의 물질 및 조성이 계면을 형성하는 인접한 두 층을 구성하는 물질들의 조합으로 형성 가능하다면, 이는 계면층(130)의 범주에 포함될 수 있다.In the present invention, one example of MoSix has been described for the interface layer 130, but at each interface of the multilayer pellicle 100 composed of the multilayered central layer 110 and the capping layer 120, the material forming the interface Accordingly, the interface layer 130 of various materials may exist. That is, in the multilayer structure described in the present invention, if at least one layer exists at the interface between each layer, and the material and composition of the layer present at the interface can be formed by a combination of materials constituting two adjacent layers forming the interface, This may be included in the category of the interface layer 130.

도 5 내지 도 9는 본 발명의 제 1 구조에 따른 극자외선 리소그래피용 펠리클의 제조 방법을 순차적으로 도시한 도면이다. 5 to 9 are views sequentially showing a method of manufacturing a pellicle for extreme ultraviolet lithography according to the first structure of the present invention.

도 5를 참조하면, 펠리클 지지기판(210)인 실리콘 기판 상에 에칭스탑층(140) 및 중심층(110)을 순차적으로 형성한다.Referring to FIG. 5, an etching stop layer 140 and a center layer 110 are sequentially formed on a silicon substrate serving as a pellicle support substrate 210.

도 6을 참조하면, 중심층(110) 상면에 상부 식각보호층(310)을 형성하고, 펠리클 지지기판(210) 하면에 하부 식각보호층을 성막 후 패터닝하여 하부 식각보호층 패턴(320)을 형성한다. 여기에서, 하부 식각보호층 패턴(320)은 한 면 식각용 지그(One side etching jig)를 이용하거나, 건식 또는 습식 식각을 통하여 패터닝 하였다. Referring to FIG. 6, an upper etch protection layer 310 is formed on the upper surface of the center layer 110, and a lower etch protection layer is formed on the lower surface of the pellicle support substrate 210 and then patterned to form a lower etch protection layer pattern 320. To form. Here, the lower etch protection layer pattern 320 was patterned using a one side etching jig or through dry or wet etching.

도 7을 참조하면, 하부 식각보호층패턴(320)을 식각 마스크로 사용하여, 노출된 펠리클 지지기판(210) 부분을 건식 식각 또는 KOH, TMAH 등을 이용한 습식 식각 공정으로 식각하고, 에칭스탑층(140)을 식각하여 펠리클 프레임(211)을 형성한다.Referring to FIG. 7, by using the lower etch protection layer pattern 320 as an etching mask, the exposed part of the pellicle support substrate 210 is etched by dry etching or a wet etching process using KOH, TMAH, etc., and the etching stop layer The pellicle frame 211 is formed by etching 140.

도 8을 참조하면, 건식 식각 또는 습식 식각 공정으로 상부 식각보호층(310)과 하부 식각보호층패턴(320)을 제거하여 본 발명의 제 1 구조에 따른 극자외선 리소그래피용 펠리클의 제조를 완료한다.Referring to FIG. 8, by removing the upper etch protective layer 310 and the lower etch protective layer pattern 320 by a dry etching or wet etching process, manufacturing of a pellicle for extreme ultraviolet lithography according to the first structure of the present invention is completed. .

도 9를 참조하면, 상부 식각보호층(310)과 하부 식각보호층패턴(320)을 제거한 이후에, 노출된 중심층(110)의 상면과 하면 중 한면 이상을 덮도록 하나 이상의 캡핑층(120)을 더 형성할 수 있고, 또는 상부 식각보호층(310) 형성 이전에 중심층(110)의 상면에 하나 이상의 캡핑층(120)을 형성할 수 있다.Referring to FIG. 9, after removing the upper etch protection layer 310 and the lower etch protection layer pattern 320, at least one capping layer 120 covers at least one of the top and bottom surfaces of the exposed center layer 110. ) May be further formed, or one or more capping layers 120 may be formed on the upper surface of the center layer 110 before the upper etch protection layer 310 is formed.

여기서, 상술한 에칭스탑층(140), 중심층(110), 캡핑층(120), 상부 식각보호층(310), 하부 식각보호층은 화학기상증착법(Chemical Vapor Deposition: CVD), 스퍼터링(Sputtering)을 포함하는 물리기상증착법(Physical Vapor Deposition: PVD), 원자층증착법(Atomic layer deposition, ALD), 열산화법(Thermal Oxidation), 후열처리 (Annealing), 화학적 배합(Chemical Synthesis), 스프레이 코팅(Spray Coating), 스핀 코팅(Spin Coating), 박막 전이(Thin Film Transfer) 등의 방법을 통하여 형성할 수 있다. Here, the above-described etching stop layer 140, the center layer 110, the capping layer 120, the upper etch protective layer 310, and the lower etch protective layer are chemical vapor deposition (CVD), sputtering. ), including Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), Thermal Oxidation, Annealing, Chemical Synthesis, Spray Coating Coating), spin coating, thin film transfer, or the like.

예를 들어, 중심층(110)은, 화학적 합성으로 합성한 질화붕소 나노튜브를 용매에 분산시켜 스프레이 코팅 또는 스핀 코팅으로 지지기판(210)상에 형성하거나, 또는 화학기상증착법 등으로 형성한 질화붕소 나노튜브 박막을 박리시켜 지지기판(210)상에 전이시키거나, 또는 화학기상증착법 또는 물리기상증착법을 통하여 지지기판(210)상에 직접 성막할 수 있다.For example, the center layer 110 is formed on the support substrate 210 by spray coating or spin coating by dispersing boron nitride nanotubes synthesized by chemical synthesis in a solvent, or nitriding formed by a chemical vapor deposition method, etc. The boron nanotube thin film may be peeled off and transferred onto the support substrate 210, or may be directly deposited on the support substrate 210 through a chemical vapor deposition method or a physical vapor deposition method.

에칭스탑층(140), 중심층(110), 캡핑층(120), 상부 식각보호층(310) 및 하부 식각보호층은, 해당 층을 구성하는 물질의 조성과 일치하는 스퍼터링 타겟(Target)을 이용하거나, 금속:실리콘=1:0.1~3 조성의 스퍼터링 타겟을 이용하거나, 또는 실리콘:화합물(O, C, N 중 하나 이상)=1:0.1~4 조성의 스퍼터링 타겟을 이용하여, 25~600 ℃의 온도 범위 내에서 스퍼터링(Sputtering)을 통하여 형성될 수 있다.The etching stop layer 140, the center layer 110, the capping layer 120, the upper etch protection layer 310, and the lower etch protection layer use a sputtering target that matches the composition of the material constituting the layer. Or, using a sputtering target having a composition of metal: silicon = 1:0.1~3, or using a sputtering target having a composition of silicon: compound (O, C, N) = 1:0.1~4, 25~ It may be formed through sputtering within a temperature range of 600°C.

구비된 각 층은, 질소(N), 아르곤(Ar), 수소(H), 탄소화수소(Hydrocabon), 또는 이들의 혼합 가스 분위기 상에서 150℃ 이상의 온도로 열처리될 수 있다.Each of the provided layers may be heat-treated at a temperature of 150° C. or higher in nitrogen (N), argon (Ar), hydrogen (H), hydrogen carbon dioxide (Hydrocabon), or a mixed gas atmosphere thereof.

도 10 내지 도 14는 본 발명의 제 2 구조에 따른 극자외선 리소그래피용 펠리클의 제조 방법을 순차적으로 도시한 도면이다. 10 to 14 are views sequentially showing a method of manufacturing a pellicle for extreme ultraviolet lithography according to the second structure of the present invention.

도 10을 참조하면, 지지기판(210)상에 에칭스탑층(140), 캡핑층(120), 중심층(110), 캡핑층(120)을 순차 형성한다.Referring to FIG. 10, an etching stop layer 140, a capping layer 120, a center layer 110, and a capping layer 120 are sequentially formed on the support substrate 210.

도 10의 상태 이후의 공정인 도 11 내지 도 14의 공정은 전술한 도 6 내지 도 9의 공정과 동일하다.The process of FIGS. 11 to 14, which is a process after the state of FIG. 10, is the same as the process of FIGS. 6 to 9 described above.

이상, 도면을 참조하여 본 발명의 구조를 통하여 본 발명을 구체적으로 설명하지만, 구조는 단지 본 발명의 예시 및 설명을 하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로, 본 발명의 기술 분야에서 통상의 지식을 가진 자라면 구조로부터 다양한 변형 및 균등한 타 구조가 가능하다는 점을 이해할 수 있을 것이다. 따라서, 본 발명의 진정한 기술력 보호범위는 특허청구범위의 기술적 사항에 의해 정해져야 할 것이다.Above, the present invention is specifically described through the structure of the present invention with reference to the drawings, but the structure is only used for the purpose of illustrating and explaining the present invention, and the scope of the present invention described in the claims It was not used to limit it. Therefore, those of ordinary skill in the art will appreciate that various modifications and equivalent other structures are possible from the structure. Therefore, the true technical protection scope of the present invention should be determined by the technical matters of the claims.

100: 펠리클 110: 중심층
120: 캡핑층 130: 계면층
140: 에칭스탑층 210: 지지기판
211: 펠리클 프레임 310: 상부 식각보호층
320: 하부 식각보호층패턴
100: pellicle 110: center layer
120: capping layer 130: interface layer
140: etching stop layer 210: support substrate
211: pellicle frame 310: upper etch protective layer
320: lower etch protection layer pattern

Claims (13)

극자외선 리소그래피용 펠리클에 있어서,
상기 펠리클은, 질화붕소 나노튜브(BNNT) 및 실리콘 화합물(SiMx)를 활용하여,
BNNT;
BNNT/SiMx;
SiMx/BNNT;
SiMx/BNNT/SiMx;
중 하나의 구조로 형성된 중심층을 포함하는 극자외선 리소그래피용 펠리클.
(M 은 N, O, C, 전이금속 중 하나 이상, x 는 실수)
In the pellicle for extreme ultraviolet lithography,
The pellicle, using boron nitride nanotubes (BNNT) and silicon compounds (SiMx),
BNNT;
BNNT/SiMx;
SiMx/BNNT;
SiMx/BNNT/SiMx;
A pellicle for extreme ultraviolet lithography comprising a central layer formed in one of the structures.
(M is at least one of N, O, C, transition metal, x is a real number)
제 1 항에 있어서,
상기 중심층의 상면과 하면 중 적어도 하나 이상에 형성되며, SiNx, SiCxOy, MoSix, RuSix 중 하나의 실리콘 화합물로 형성된 단층구조 또는 하나 이상의 상기 실리콘 화합물이 적층된 다층구조의 캡핑층을 더 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클. (x, y=실수)
The method of claim 1,
A cap of a single layer structure formed on at least one of the upper and lower surfaces of the center layer and formed of a silicon compound of SiN x , SiC x O y , MoSi x , and RuSi x or a multilayer structure in which one or more of the silicon compounds are stacked A pellicle for extreme ultraviolet lithography, characterized in that it further comprises a ping layer. (x, y=real)
제 1 항 또는 제 2 항에 있어서,
상기 실리콘 화합물은, SiNx (x=0.5~2), SiCx (x=0.1~2), SiCxO2 -x (x=0~2), RuSix(x=0~2), MoSix(x=0.5~2.5), MSix (M: metal, x=0.3~3) 의 조성을 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
The method according to claim 1 or 2,
The silicon compound is SiN x (x=0.5~2), SiC x (x=0.1~2), SiC x O 2 -x (x=0~2), RuSi x (x=0~2), MoSi A pellicle for extreme ultraviolet lithography, characterized in that it has a composition of x (x=0.5~2.5), MSi x (M: metal, x=0.3~3).
제 1 항 또는 제 2 항에 있어서,
상기 펠리클은, 극자외선 노광 광의 입사방향을 기준으로,
SiCxOy/MoSix/BNNT/SiCxOy;
SiCxOy/MoSix/BNNT/SiNx;
SiCxOy/MoSix/BNNT;
MoSix/BNNT/SiCxOy;
MoSix/BNNT/SiNx;
MoSix/BNNT;
SiCxOy/BNNT/MoSix/SiCxOy;
SiNx/BNNT/MoSix/SiCxOy;
BNNT/MoSix/SiCxOy;
SiCxOy/BNNT/MoSix;
SiNx/BNNT/MoSix;
BNNT/MoSix;
RuSix/BNNT/SiCxOy;
RuSix/BNNT/SiNx;
RuSix/BNNT;
SiCxOy/BNNT/RuSix;
SiNx/BNNT/RuSix;
BNNT/RuSix;
BNNT;
중 하나의 구조로 형성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클. (x, y=실수)
The method according to claim 1 or 2,
The pellicle, based on the incident direction of the extreme ultraviolet exposure light,
SiC x O y /MoSi x /BNNT/SiC x O y ;
SiC x O y /MoSi x /BNNT/SiN x ;
SiC x O y /MoSi x /BNNT;
MoSi x /BNNT/SiC x O y ;
MoSi x /BNNT/SiN x ;
MoSi x /BNNT;
SiC x O y /BNNT/MoSi x /SiC x O y ;
SiN x /BNNT/MoSi x /SiC x O y ;
BNNT/MoSi x /SiC x O y ;
SiC x O y /BNNT/MoSi x ;
SiN x /BNNT/MoSi x ;
BNNT/MoSi x ;
RuSi x /BNNT/SiC x O y ;
RuSi x /BNNT/SiN x ;
RuSi x /BNNT;
SiC x O y /BNNT/RuSi x ;
SiN x /BNNT/RuSi x ;
BNNT/RuSi x ;
BNNT;
A pellicle for extreme ultraviolet lithography, characterized in that formed in one of the structures. (x, y=real)
제 1 항 또는 제 2 항에 있어서,
상기 펠리클의 각 층 사이 중 하나 이상의 계면에 계면층이 형성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
The method according to claim 1 or 2,
A pellicle for extreme ultraviolet lithography, characterized in that an interface layer is formed at one or more interfaces among the respective layers of the pellicle.
제 1 항 또는 제 2 항에 있어서,
상기 펠리클의 각 층의 두께는 극자외선 노광 광에 대하여 85% 이상의 투과율 및 1% 이하의 반사율을 만족하는 범위 내에서 조절되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
The method according to claim 1 or 2,
A pellicle for extreme ultraviolet lithography, characterized in that the thickness of each layer of the pellicle is adjusted within a range satisfying a transmittance of 85% or more and a reflectance of 1% or less for extreme ultraviolet exposure light.
a) 지지기판상에 에칭스탑층을 형성하는 단계;
b) 상기 에칭스탑층상에 중심층을 형성하는 단계;
c) 상기 중심층 상부에 상부 식각보호층을 형성하고 상기 지지기판의 하부에 하부 식각보호층 패턴을 형성하는 단계;
d) 상기 하부 식각보호층패턴을 식각 마스크로 사용하여 상기 지지기판 및 상기 에칭스탑층을 식각하여 펠리클 프레임을 형성하는 단계; 및
e) 상기 상부 식각보호층 및 상기 하부 식각보호층패턴을 제거하는 단계;
를 포함하며,
상기 중심층은,
BNNT;
BNNT/SiMx;
SiMx/BNNT;
SiMx/BNNT/SiMx;
중 하나의 구조로 형성되는 극자외선 리소그래피용 펠리클의 제조방법.
(M 은 N, O, C, 전이금속 중 하나 이상, x 는 실수)
a) forming an etching stop layer on the support substrate;
b) forming a center layer on the etch stop layer;
c) forming an upper etch protection layer over the center layer and forming a lower etch protection layer pattern under the support substrate;
d) forming a pellicle frame by etching the support substrate and the etching stop layer using the lower etch protection layer pattern as an etching mask; And
e) removing the upper etch protection layer and the lower etch protection layer pattern;
Including,
The center layer,
BNNT;
BNNT/SiMx;
SiMx/BNNT;
SiMx/BNNT/SiMx;
Method for producing a pellicle for extreme ultraviolet lithography formed in one of the structures.
(M is at least one of N, O, C, transition metal, x is a real number)
제 7 항에 있어서,
상기 e) 단계 후에, 노출된 펠리클의 상면과 하면 중 적어도 하나 이상을 덮도록 하나 이상의 캡핑층을 형성하는 단계;
를 더 포함하는 극자외선 리소그래피용 펠리클의 제조방법.
The method of claim 7,
After step e), forming one or more capping layers to cover at least one of an upper surface and a lower surface of the exposed pellicle;
A method of manufacturing a pellicle for extreme ultraviolet lithography further comprising a.
제 7 항에 있어서,
상기 c) 단계 전에, 노출된 상기 중심층의 상면에 하나 이상의 캡핑층을 형성하는 단계;
를 더 포함하는 극자외선 리소그래피용 펠리클의 제조방법.
The method of claim 7,
Before step c), forming at least one capping layer on the exposed upper surface of the center layer;
A method of manufacturing a pellicle for extreme ultraviolet lithography further comprising a.
제 7 항에 있어서,
상기 a) 단계 후에 상기 에칭스탑층상에 캡핑층을 형성하는 단계;
를 더 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클의 제조방법.
The method of claim 7,
Forming a capping layer on the etching stop layer after the step a);
Method for producing a pellicle for extreme ultraviolet lithography, characterized in that it further comprises.
제 8 항 내지 제 10 항 중 어느 한 항에 있어서,
상기 중심층 또는 캡핑층을 구성하는 금속 실리사이드 및 실리콘 화합물은,
금속:실리콘=1:0.1~3 조성의 스퍼터링(Sputtering) 타겟(Target)을 이용하여 스퍼터링 하는 방법; 및
실리콘:화합물(O, C, N 중 하나 이상)=1:0.1~4 조성의 스퍼터링 타겟을 이용하여 스퍼터링 하는 방법;
중 하나 이상의 방법으로 형성되는 극자외선 리소그래피용 펠리클의 제조방법.
The method according to any one of claims 8 to 10,
Metal silicide and silicon compound constituting the center layer or the capping layer,
A method of sputtering using a metal: silicon = 1:0.1 to 3 composition sputtering target; And
Silicon: a compound (one or more of O, C, N) = 1: a method of sputtering using a sputtering target of 0.1 ~ 4 composition;
Method for producing a pellicle for extreme ultraviolet lithography formed by one or more of the methods.
제 8 항 내지 제 10 항 중 어느 한 항에 있어서,
상기 스퍼터링은 20~600℃ 온도 범위에서 수행되는 극자외선 리소그래피용 펠리클의 제조방법.
The method according to any one of claims 8 to 10,
The sputtering is a method of manufacturing a pellicle for extreme ultraviolet lithography performed in a temperature range of 20 ~ 600 ℃.
제 8 항 내지 제 10 항 중 어느 한 항에 있어서,
캡핑층 형성 후, 질소(N), 또는 아르곤(Ar), 또는 수소(H), 또는 탄화수소 (Hydrocarbon), 또는 이들의 혼합 가스 분위기 상에서 150℃ 이상의 온도로 열처리하는 단계;
를 더 포함하는 극자외선 리소그래피용 펠리클의 제조방법.
The method according to any one of claims 8 to 10,
After forming the capping layer, performing heat treatment at a temperature of 150° C. or higher in nitrogen (N), argon (Ar), or hydrogen (H), or hydrocarbon (Hydrocarbon), or a mixed gas atmosphere thereof;
A method of manufacturing a pellicle for extreme ultraviolet lithography further comprising a.
KR1020200135908A 2019-10-22 2020-10-20 Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same KR102463517B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020190131023 2019-10-22
KR20190131023 2019-10-22

Publications (2)

Publication Number Publication Date
KR20210047811A true KR20210047811A (en) 2021-04-30
KR102463517B1 KR102463517B1 (en) 2022-11-09

Family

ID=75619471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200135908A KR102463517B1 (en) 2019-10-22 2020-10-20 Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same

Country Status (3)

Country Link
KR (1) KR102463517B1 (en)
TW (1) TWI767370B (en)
WO (1) WO2021080294A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102585401B1 (en) * 2020-11-17 2023-10-10 주식회사 에스앤에스텍 Pellicle for EUV lithography with Capping Layer of Independent Thin-film Type, and Method for manufacturing the same
WO2023008532A1 (en) * 2021-07-30 2023-02-02 信越化学工業株式会社 Pellicle film, pellicle, exposure original plate with pellicle, exposure method, semiconductor manufacturing method, and liquid crystal display panel manufacturing method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180103775A (en) * 2017-03-10 2018-09-19 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20180118681A (en) * 2016-02-19 2018-10-31 에어 워터 가부시키가이샤 Compound semiconductor substrate, pellicle film, and method for manufacturing compound semiconductor substrate
JP2019065211A (en) * 2017-10-03 2019-04-25 信越化学工業株式会社 Heat conductive resin sheet having light permeability and manufacturing method therefor
KR20190053766A (en) * 2018-08-24 2019-05-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20190107603A (en) * 2019-04-22 2019-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9256123B2 (en) * 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US20170090278A1 (en) * 2015-09-30 2017-03-30 G-Force Nanotechnology Ltd. Euv pellicle film and manufacturing method thereof
US9759997B2 (en) * 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
KR102186010B1 (en) * 2016-01-26 2020-12-04 한양대학교 산학협력단 EUV pellicle structure, and method for manufacturing same
CN109416503B (en) * 2016-07-05 2023-06-09 三井化学株式会社 Pellicle, pellicle module frame, pellicle module, method for manufacturing pellicle module, exposure master, exposure apparatus, and method for manufacturing semiconductor device
KR20180029384A (en) * 2016-09-12 2018-03-21 주식회사 에스앤에스텍 Pellicle for an Extreme Ultraviolet(EUV) Lithography and method for fabricating the same
KR102310124B1 (en) * 2017-03-28 2021-10-08 삼성전자주식회사 Pellicle for exposure to extreme ultraviolet light, photomask assembly and method of manufacturing the pellicle
JP2021501909A (en) * 2017-11-06 2021-01-21 エーエスエムエル ネザーランズ ビー.ブイ. Metal silicide nitriding for stress reduction

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180118681A (en) * 2016-02-19 2018-10-31 에어 워터 가부시키가이샤 Compound semiconductor substrate, pellicle film, and method for manufacturing compound semiconductor substrate
KR20180103775A (en) * 2017-03-10 2018-09-19 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
JP2019065211A (en) * 2017-10-03 2019-04-25 信越化学工業株式会社 Heat conductive resin sheet having light permeability and manufacturing method therefor
KR20190053766A (en) * 2018-08-24 2019-05-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20190107603A (en) * 2019-04-22 2019-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
이지원 et. al., "질화붕소나노튜브(BNNT: Boron Nitride Nanotubes)의 산업적 응용에 대한 고찰", 공업화학전망, 제20권제4호제1-20쪽(2017.08.31.)(UCI(KEPA): I410-ECN-0102-2018-500-000734923)* *

Also Published As

Publication number Publication date
KR102463517B1 (en) 2022-11-09
TW202121052A (en) 2021-06-01
TWI767370B (en) 2022-06-11
WO2021080294A1 (en) 2021-04-29

Similar Documents

Publication Publication Date Title
KR102018530B1 (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20190107603A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20200126216A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same
US6797368B2 (en) Reflective-type mask blank for exposure, method of producing the same, and reflective-type mask for exposure
US20080009138A1 (en) Method for forming pattern of a semiconductor device
US20210096458A1 (en) Pellicle for euv lithography and method for manufacturing the same
US20180259844A1 (en) Pellicle for photomask, reticle including the same, and exposure apparatus for lithography
KR102463517B1 (en) Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same
KR20200084206A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
TWI801663B (en) Mask blank, transfer mask, and method of manufacturing semiconductor device
TW202129397A (en) Extreme ultra violet masks and fabricating the same
KR20210095111A (en) Pellicle for EUV Lithography, and Method for manufacturing the same
KR102610659B1 (en) Pelicle
KR102440483B1 (en) Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure
TWI806491B (en) Pellicle for an euv reflective mask and a method of manufacturing thereof
TWI785417B (en) Pellicle for extreme ultraviolet lithography
KR20210047455A (en) Pellicle with Porous Surface for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same
KR20220017135A (en) Pellicle for Extreme Ultraviolet Lithography with Surface layer formed by Heat-treatment
US9977323B2 (en) Reflective mask and method for manufacturing reflective mask
CN114245880A (en) Mask blank, phase shift mask and method for manufacturing semiconductor device
KR102511775B1 (en) Pellicle for Extreme Ultraviolet(EUV) Lithography with Diffusion Prevention Layer, and Method for fabricating the same
KR102514088B1 (en) Pellicle Using 1-dimensinal Materials for Extreme Ultraviolet(EUV) Lithography and Method for Fabricating of the same
KR20190107604A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20220017134A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography with Multi-emission Spectrum and Method for Fabricating of the Same
KR20180000552A (en) Blankmask, Phase Shift Photomask and method for fabricating the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant