KR20200141931A - 석영 에피택셜 챔버를 세정하는 방법 - Google Patents

석영 에피택셜 챔버를 세정하는 방법 Download PDF

Info

Publication number
KR20200141931A
KR20200141931A KR1020200063344A KR20200063344A KR20200141931A KR 20200141931 A KR20200141931 A KR 20200141931A KR 1020200063344 A KR1020200063344 A KR 1020200063344A KR 20200063344 A KR20200063344 A KR 20200063344A KR 20200141931 A KR20200141931 A KR 20200141931A
Authority
KR
South Korea
Prior art keywords
reaction chamber
based material
gas
duration
heating step
Prior art date
Application number
KR1020200063344A
Other languages
English (en)
Inventor
그레고리 데예
조 마르게티스
존 톨레
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20200141931A publication Critical patent/KR20200141931A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에피택셜 반응 챔버를 인시츄로 세정하는 방법이 개시된다. 본 방법은 사전 코팅 단계, 고온 베이킹 단계, 및 가스 에칭 단계를 포함할 수 있다. 본 방법은, 석영으로 만들 수 있는 반응 챔버 내에서 잔류물의 축적을 제거할 수 있다.

Description

석영 에피택셜 챔버를 세정하는 방법{METHOD FOR CLEANING QUARTZ EPITAXIAL CHAMBERS}
본 발명은 반도체 기판을 처리하기 위한 반응 시스템에 관한 것이다. 구체적으로, 본 발명은, 화학물질 잔류물이 반응 시스템의 내벽 상에서 발생한 후 반응 시스템을 세정하는 것에 관한 것이다.
에피택셜 공정은, 예를 들어 NMOS 소자와 같은 특정 반도체 응용에서 사용되는 것으로 알려져 있다. 이들 공정은, 일반적으로 반응 시스템의 챔버 벽 상에 두꺼운 잔류물 코팅을 형성하는 경향이 있는 화학물질 전구체를 이용한다. 챔버 벽은, 낮은 불순물, 가열된 화학물질을 갖는 견고성, 및 투명 특성을 갖는 석영 때문에 석영으로 통상 만들어진다.
석영 벽 상에 형성된 잔류물은 때때로 제거되는 것이 필수적이다. 잔류물은 에피택셜 막의 형성에 악영향을 미칠 수 있는데, 그 이유는 열 부유, 입자 오염 및/또는 높은 도펀트 백그라운드 농도가 도입되기 때문이다. 일부 예에서, 잔류물은 가열원에서 웨이퍼로 열 에너지가 통과하는 것을 방해할 수 있으며, 궁극적으로는 공정 부유 및/또는 막 균일성 손실을 초래한다. 잔류물에서 발생된 입자는 떨어질 가능성이 있으며, 막 결함을 초래할 수 있다. 또한 공정 중에 잔류물로부터 가스 방출이 발생할 가능성도 있다. 웨이퍼의 상류에 코팅층이 있는 경우, 생성된 가스는 웨이퍼의 도펀트 프로파일을 간섭할 수 있다. 또한, 잔류물로부터의 가스 방출은, 가스 독성으로 인해 주기적인 유지보수 활동을 어렵게 한다.
석영 벽을 세정하는 이전의 접근법은, 반응 챔버의 제거 및 교체를 포함할 수 있다. 그러나, 이는 툴을 가동 중지하도록 요구하여, 수율 및 공정 교대 가능성을 감소시킨다. 그 결과, 인시츄로 세정을 수행하는 공정이 바람직하다. 이전의 접근법은 인시츄로 발생된 플라즈마를 이용해서 세정 가스를 형성하였고, "유도-결합 플라즈마 챔버의 인시츄 세정을 위한 장치"라는 명칭의 미국 특허 제6,749,717호에 설명되고, 참조로 본원에 포함된다. 그러나, 플라즈마 발생기는, 또한 챔버 벽을 심각하게 에칭할 수 있는 세정 가스를 발생시킬 수 있다. 대안적으로, 보편적인 세정 방법은, HCl 가스 흐름의 존재 하에 반응 챔버를 가열할 수 있다. 이러한 접근법으로부터의 주 단점은, 일반적으로 반응 챔버의 주변 부위가 충분한 에칭을 갖기에 충분히 뜨거울 수 없다는 것이다.
실리콘 함유 재료의 제거는, 발명의 명칭이 "기판 표면 및 챔버 표면용 에천트 처리 공정"인 미국 특허 제8,445,389호에 개시되어 있고, 본원에 참고로 포함된다. 그러나, 에피택셜 공정은, 실리콘 함유 재료보다 제거하는 것이 더 어려운 잔류물을 생성할 수 있다.
그 결과, 벽에 악영향을 끼치지 않으면서 반응 챔버 내의 벽으로부터 에피택시 응용에서 발생된 잔류물을 효과적으로 제거시킬 수 있는 공정에 대한 필요성이 존재한다.
반응 챔버를 인시츄로 세정하는 방법이 개시된다. 상기 방법은, 디클로로실란(DCS), 실란 또는 디실란 중 적어도 하나를 포함한 보호 코팅 가스를 이용해 반응 챔버 내의 복수의 벽을 사전 코팅하는 단계; 700oC 초과의 온도로 상기 반응 챔버를 가열하는 단계; 에천트 가스를 상기 반응 챔버 내로 유동시키는 단계를 포함하되, 상기 에천트 가스는, 비소, 인, 또는 게르마늄 계열 재료 중 적어도 하나를 포함한 잔류물을 상기 복수의 벽으로부터 제거한다.
선행 기술에 비해 달성되는 장점 및 본 발명을 요약하기 위해, 본 발명의 특정 목적 및 장점이 앞서 본원에 기술되었다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들어 당업자는, 본 발명이, 본원에 교시 또는 제안될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고서, 본원에 교시되거나 제시된 바와 같은 하나의 장점 또는 여러 장점들을 달성하거나 최적화하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두는 본원에 개시된 본 발명의 범주 내에 있는 것으로 의도된다. 본 발명은 개시된 임의의 특정 구현예(들)에 한정되지 않으며, 이들 및 다른 구현예들은 첨부된 도면들을 참조하는 특정 구현예들의 다음의 상세한 설명으로부터 당업자에게 용이하게 분명할 것이다.
본원에 개시된 본 발명의 이러한 그리고 기타 특징, 양태 및 장점은 특정 구현예의 도면을 참조하여 아래에 설명될 것이고, 이는 본 발명을 예시하기 위함이고, 본 발명을 한정하기 위함은 아니다.
도 1은 본 발명의 적어도 하나의 구현예에 따른 세정 공정의 흐름도를 나타낸다.
도 2는 본 발명의 적어도 하나의 구현예에 따른 공정을 운영할 수 있는 챔버를 나타낸다.
도면의 구성 요소들은 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되고 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
NMOS 소자를 형성하기 위한 공정은, 5층 그룹 또는 이들의 조합과 같은 막을 형성할 수 있다. 이를 달성하기 위해, 공정은 비소계 또는 인계, 또는 임의의 할로겐화 또는 알킬 치환된 변형물과 같은 화학물질 전구체를 사용할 수 있다. 잔류물은 비소, 인, 비소 화합물(AsXn), 인 화합물(PXn), 예를 들어, 삼염화비소, 비소이염화수화물 또는 삼염화인을 포함할 수 있다. 예를 들어, 비소와 같은 화학물질을 포함하는 잔류물의 경우, 잔류물은 툴 유지보수 중에 장비 엔지니어에게 심각한 건강 문제를 야기할 수 있다. 본 발명의 구현예는, 직업상 노출 한계에 대해 미국 정부 위생 전문가 회의에서 설정된 표준을 충족시키기 위해, 비소, 인, 및 게르마늄 화학물질 및 화합물의 존재가 거의 영(zero)인 농도로 최소화하는 것에 관한 것이다. 이들 농도는 기존의 아웃가싱 대기 시간의 약 10 내지 60%로 더 적은 시간으로 충족될 수 있어서, 더 큰 생산성을 허용한다. 완벽한 코팅 제거 때문에, 먼지와 잔류물에 대한 장비 엔지니어의 노출은 또한 상당히 감소된다. 장비 엔지니어에 대한 깨끗한 비소 및 염소는, 각각 0.005와 0.5 ppm의 작업 노출 한계 이하이다.
또한, 본 발명의 구현예는, 석영 챔버가 교체되거나 수선될 필요가 있기 전에, 이의 수명을 증가시키는 것에 관한 것이다. 본 발명의 구현예는, 교체하기 전에 잠재적으로 10,000 내지 30,000매의 웨이퍼를 잠재적으로 처리시킬 수 있다. 챔버 수명의 이러한 연장은, 석영 챔버를 사용하고자 하는 산업계의 부족을 감안하면 중요하다.
도 1은, 본 발명의 적어도 하나의 구현예에 따른 공정(100)을 나타낸다. 공정(100)은, (1) 보호 사전 코팅 단계(110); (2) 고온 베이킹 단계(120); 및 (3) 가스 에칭 단계(130)를 포함한다. 보호 사전 코팅 단계(110)는, 가스 에칭 단계(130)에 사용된 가스에 따라 필요할 수 있다. 보호 사전 코팅 단계(110)는, 반응 챔버 내의 부분을 보호하기 위해 사용될 수 있다. 예를 들어, 반응 챔버 내의 특정 부분은 실리콘 카바이드(SiC) 또는 그래파이트로 제조될 수 있다. 특정 가스 할라이드에 장시간 노출되면, SiC 또는 그래파이트 부분이 손상될 수 있다. 보호 사전 코팅 단계(110)는, 이러한 손상이 장시간 노출되는 것을 방지한다.
보호 사전 코팅 단계(110)는, 디클로로실란(DCS), 트리클로로실란(TCS), 실란(SiH4), 또는 디실란(Si2H6) 중 적어도 하나와 같은 화학물질 전구체의 흐름을 포함할 수 있다. 화학물질 전구체는, 챔버의 SiC 또는 그래파이트 부분뿐만 아니라 석영 벽 상에 층을 형성한다. 보호 사전 코팅 단계(110) 동안 반응 챔버의 온도는, 750 내지 950ºC의 범위일 수 있다. 보호 사전 코팅 단계(110)는, 전구체의 층이 35 nm, 40 nm, 또는 45 nm를 초과하는 두께를 형성할 때까지 계속될 수 있다. 보호 사전 코팅 단계(110)의 지속 시간은 180초, 210초, 또는 240초를 초과할 수 있다.
고온 베이킹 단계(120)는, 반응 챔버를 700oC, 800oC, 900oC를 초과하는 온도로 가열하는 단계를 포함한다. 고온 베이킹 단계(120)의 이유는, 반응 챔버, 특히 주변 부위에서 효과적인 에칭 화학 반응이 가능하도록 가열하는 단계를 포함할 수 있다. 고온 베이킹 단계는, 또한 챔버의 온도에서 서셉터 온도를 디커플링하는 것을 보조할 수 있다. 고온 베이킹 단계(120)의 지속 시간은 160초, 170초, 또는 180초를 초과할 수 있다. 고온 베이킹 단계(120)는 고온 석영 챔버를 초래하며, 이는 가스 에칭 단계(130) 동안 잔류물을 더 쉽게 제거할 수 있다.
가스 에칭 단계(130)는, 염소(Cl2) 또는 질소 (N2) 중 적어도 하나를 포함한 가스를 흐르게 하는 단계를 포함할 수 있다 염소를 사용하는 이들 상황에서, 이는 챔버 내에서 염산(HCl)의 필요성을 제거시키며, 툴의 작동자에게 더 안전한 조건을 초래한다. 가스 에칭 단계(130)의 압력은, 40 내지 100 토르, 45 내지 90 토르, 또는 50 내지 85 토르의 범위일 수 있다. 가스 에칭 단계(130)의 지속 시간은, 1 내지 10분, 1.5 내지 7분, 또는 2 내지 5분 범위일 수 있다. 가스 에칭 단계(130) 동안 흐르는 가스는 잔류물과 반응하여 염소 치환된 비소, 게르마늄, 실리콘, 또는 인, 또는 이들의 임의의 염소화된 유도체를 형성할 수 있다. 이는, 예를 들어 질소, 아르곤, 또는 크립톤 등의 불활성 가스를 흐르게 함으로써 반응 챔버로부터 쉽게 퍼지될 수 있다.
도 2는 본 발명의 적어도 일 구현예에 따른 예시적인 반응 시스템(200)을 나타낸다. 반응 시스템(200)은, 반응 챔버 하우징(210); 기판(230)을 유지하도록 구성되는 웨이퍼 홀더(220); 제1 가스 공급원(240); 제2 가스 공급원(250); 제3 가스 공급원(260); 유입구 가스 라인(270); 유출구 가스 라인(280); 배기(290); 고온계(300); 및 압력 제어 밸브(310)를 포함한다. 제1 가스 공급원(240)은, 기판(230) 상에 막을 형성하기 위해 사용된 반응 가스를 흐르게 하도록 구성될 수 있다. 제2 가스 공급원(250)은, 기판(230) 상에 막을 형성하기 위해 사용된 다른 반응 가스를 흐르게 하도록 구성될 수 있거나 퍼지 가스를 흐르게 하도록 구성될 수 있다. 제3 가스 공급원(260)은, 에천트 가스를 흐르게 하도록 구성될 수 있다.
제1 가스 공급원(240), 제2 가스 공급원(250) 및 제3 가스 공급원(260)으로부터의 가스는, 유입구 가스 라인(270)을 통해 반응 챔버 하우징(210) 내로 흐를 수 있다. 임의의 잔류 가스는 유출구 가스 라인(280)을 통해 배기(290) 내로 퍼지될 수 있다. 추가 가스 공급원은 추가 유입구 가스 라인과 함께 사용될 수 있다. 마찬가지로, 추가 유출구 가스 라인과 함께 추가적인 배기가 사용될 수도 있다.
고온계(300)는 반응 챔버 하우징(210)에 내장될 수 있다. 고온계(300)는 반응 챔버 하우징(210) 내의 온도를 측정하도록 구성될 수 있다. 압력 제어 밸브(310)는, 반응 챔버 하우징(210) 내의 압력을 제어하도록 구성될 수 있다.
전술한 공정의 실행은, 고온계(300) 및 압력 제어 밸브(310)의 기능을 개선할 수 있다. 고온계(300) 및 압력 제어 밸브(310) 둘 모두는, 막 증착 공정 동안 막의 축적에 의해 그 기능이 부정적인 영향을 받을 수 있다. 도 1에 나타낸 것과 같은 세정 공정은 코팅을 제거할 수 있고, 세정 공정이 없다면 고온계(300)와 압력 제어 밸브(310)로부터 정확한 판독을 방해한다. 세정 공정은 배기 포어라인(280)의 코팅을 또한 제거할 수 있고, 이는 포어라인 구성 요소(280)의 툴 작동 수명을 연장시키거나 포어라인 구성 요소(280)의 안전한 해체를 가능하게 할 수 있다.
나타내고 설명된 구체적인 적용예는, 본 발명의 예시이자 최적 실시 모드이며, 어떤 방식으로도 양태와 적용예의 범주를 달리 제한하도록 의도되지 않는다. 실제로, 간결성을 위해서, 시스템의 종래의 제조, 연결, 준비 및 다른 기능적 양태는 상세히 기술되지 않을 수 있다. 또한, 다양한 도면들에서 도시된 연결선들은 다양한 요소들 사이의 예시적인 기능 관계 및/또는 물리적 결합을 표시하려는 의도이다. 많은 대안 또는 추가적인 기능적 관계 또는 물리적 연결은 실질적인 시스템에 존재할 수 있고/있거나 일부 구현예에서는 없을 수 있다.
본원에 기술된 구성 및/또는 접근법은 본질적으로 예시적인 것이며, 다양한 변형이 가능하기 때문에, 이들 특정 구현예 또는 실시예가 제한적인 의미로 고려되어서는 안 된다는 것을 이해해야 한다. 본원에 설명된 특정 루틴 또는 방법은 임의의 처리 전략 중 하나 이상을 나타낼 수 있다. 따라서, 도시된 다양한 동작은 도시된 시퀀스에서, 상이한 시퀀스에서 수행되거나, 경우에 따라 생략될 수 있다.
본 개시의 요지는, 본원에 개시된 다양한 공정, 시스템, 및 구성, 다른 특징, 기능, 행위 및/또는 성질의 모든 신규하고 비자명한 조합 및 하위 조합뿐만 아니라 임의의 그리고 모든 균등물을 포함한다.

Claims (17)

  1. 반응 챔버를 인시츄로 세정하는 방법으로서,
    디클로로실란(DCS), 실란, 또는 디실란 중 적어도 하나를 포함한 보호 코팅 가스를 이용해 반응 챔버 내에서 복수의 벽을 사전 코팅하는 단계;
    700oC를 초과하는 온도로 상기 반응 챔버를 가열하는 단계; 및
    에천트 가스를 상기 반응 챔버 내로 흐르게 하는 단계를 포함하되,
    상기 에천트 가스는, 비소 계열 재료, 게르마늄 계열 재료, 실리콘 계열 재료, 또는 인 계열 재료 중 적어도 하나를 포함한 잔류물을 상기 복수의 벽으로부터 제거하는, 방법.
  2. 제1항에 있어서, 상기 에천트 가스는 염소(Cl2) 또는 질소 (N2) 중 적어도 하나를 포함하는, 방법.
  3. 제1항에 있어서, 상기 반응 챔버 내에 적어도 하나의 부분을 추가로 포함하되, 상기 부분은 실리콘 카바이드 또는 그래파이트 중 적어도 하나를 포함하는 방법.
  4. 제1항에 있어서, 상기 흐름 단계 동안 상기 반응 챔버의 압력은 40 내지 100 토르, 45 내지 90 토르, 또는 50 내지 85 토르의 범위인, 방법.
  5. 제1항에 있어서, 상기 흐름 단계는 1 내지 10분, 1.5 내지 7분, 또는 2 내지 5분 범위의 지속 시간을 갖는, 방법.
  6. 제1항에 있어서, 상기 가열 단계 동안 상기 반응 챔버의 온도는 800oC를 초과하는, 방법.
  7. 제1항에 있어서, 상기 가열 단계 동안 상기 반응 챔버의 온도는 900oC를 초과하는, 방법.
  8. 제1항에 있어서, 상기 가열 단계는 160초를 초과하는 지속 시간을 갖는, 방법.
  9. 제1항에 있어서, 상기 가열 단계는 170초를 초과하는 지속 시간을 갖는, 방법.
  10. 제1항에 있어서, 상기 가열 단계는 180초를 초과하는 지속 시간을 갖는, 방법.
  11. 제1항에 있어서, 상기 잔류물은 비소 계열 재료를 포함하는, 방법.
  12. 제11항에 있어서, 상기 잔류물을 제거하는 단계는, 비소 계열 재료의 농도를 0.005 ppm 수준으로 감소시키는, 방법.
  13. 제2항에 있어서, 상기 에천트 가스는 염소를 포함하는, 방법.
  14. 제13항에 있어서, 상기 잔류물을 제거하는 단계는, 염소 농도를 0.5 ppm 수준으로 감소시키는, 방법.
  15. 반도체 막 증착 시스템으로서,
    반응 챔버;
    제1 가스 공급원;
    제2 가스 공급원;
    배기;
    상기 반응 챔버 내 온도를 측정하도록 구성된 고온계;
    상기 반응 챔버 내 압력을 조절하도록 구성된 압력 제어 밸브; 및
    에천트 가스 공급원을 포함하되,
    상기 반도체 막 증착 시스템은 제1항의 방법을 수행하도록 구성되는, 시스템.
  16. 제15항에 있어서, 상기 반응 챔버는 석영을 포함하는, 시스템.
  17. 제15항에 있어서, 실리콘 카바이드 또는 그래파이트 중 적어도 하나를 포함하며 상기 반응 챔버 내에 배치된 부분을 추가로 포함하는, 방법.
KR1020200063344A 2019-06-10 2020-05-27 석영 에피택셜 챔버를 세정하는 방법 KR20200141931A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962859621P 2019-06-10 2019-06-10
US62/859,621 2019-06-10

Publications (1)

Publication Number Publication Date
KR20200141931A true KR20200141931A (ko) 2020-12-21

Family

ID=73650220

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200063344A KR20200141931A (ko) 2019-06-10 2020-05-27 석영 에피택셜 챔버를 세정하는 방법

Country Status (4)

Country Link
US (1) US20200385861A1 (ko)
KR (1) KR20200141931A (ko)
CN (1) CN112063994A (ko)
TW (1) TW202108818A (ko)

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4056740A1 (de) * 2021-03-10 2022-09-14 Siltronic AG Verfahren zum herstellen von halbleiterscheiben mit epitaktischer schicht in einer kammer eines abscheidereaktors
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113388893A (zh) * 2021-06-11 2021-09-14 广州粤芯半导体技术有限公司 一种石英反应腔的维护方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022114717A1 (de) 2021-12-03 2023-06-07 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer ein Element der V. Hauptgruppe enthaltenen Schicht in einer Prozesskammer und anschließenden Reinigen der Prozesskammer
WO2023099674A1 (de) 2021-12-03 2023-06-08 Aixtron Se Verfahren und vorrichtung zum abscheiden einer ein element der v. hauptgruppe enthaltenen schicht in einer prozesskammer und anschliessendem reinigen der prozesskammer
CN114045470B (zh) * 2021-12-31 2022-09-30 西安奕斯伟材料科技有限公司 一种用于常压外延反应腔室的清洁方法及外延硅片
CN114613665A (zh) * 2022-03-11 2022-06-10 西安奕斯伟材料科技有限公司 一种用于清洁石英晶舟的方法及装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
DE19960823B4 (de) * 1999-12-16 2007-04-12 Siltronic Ag Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe und deren Verwendung
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9925569B2 (en) * 2012-09-25 2018-03-27 Applied Materials, Inc. Chamber cleaning with infrared absorption gas
JP2020532114A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 一体型エピタキシシステム高温汚染物質除去

Also Published As

Publication number Publication date
CN112063994A (zh) 2020-12-11
TW202108818A (zh) 2021-03-01
US20200385861A1 (en) 2020-12-10

Similar Documents

Publication Publication Date Title
KR20200141931A (ko) 석영 에피택셜 챔버를 세정하는 방법
JP2024081756A (ja) 反応器、反応器を含むシステム、並びにこれを製造する方法及び使用する方法
US6042654A (en) Method of cleaning CVD cold-wall chamber and exhaust lines
WO2019231599A1 (en) In-situ cvd and ald coating of chamber to control metal contamination
KR20080055673A (ko) Cvd 챔버 세정을 위한 열적 f2 에칭 공정
US5607515A (en) Method of cleaning CVD apparatus
TWI768025B (zh) 成膜裝置及其洗淨方法
JP2004311929A (ja) 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP2006324663A (ja) 汚染されたツール部品の清浄化方法
JP4541739B2 (ja) 半導体装置の製造方法、クリーニング方法及び半導体装置の製造装置
WO2018043446A1 (ja) 半導体製造用チャンバのクリーニング方法
JP6098997B2 (ja) エピタキシャル成長装置の汚染評価方法及びエピタキシャルウェーハの製造方法
JP5267361B2 (ja) エピタキシャル成長方法
US9120075B2 (en) UV assisted polymer modification and in situ exhaust cleaning
JP7045888B2 (ja) 成膜装置の運用方法及び成膜装置
US20060062913A1 (en) Process for depositing btbas-based silicon nitride films
JP2010212712A (ja) 半導体装置の製造方法、クリーニング方法及び半導体装置の製造装置
JP2009088308A (ja) 基板処理装置
KR101416172B1 (ko) 박막 증착 장비의 챔버 세정 방법
US20070054045A1 (en) Method for conditioning chemical vapor deposition chamber
JP2020119920A (ja) 基板処理装置の洗浄方法、および基板処理装置
JP5370209B2 (ja) シリコンエピタキシャルウェーハの製造方法
JP2012099840A (ja) クリーニング方法、半導体装置の製造方法及び基板処理装置
CN115652283A (zh) 一种mocvd腔体覆盖件清洗方法
JP2012074738A (ja) クリーニング方法、半導体装置の製造方法及び基板処理装置