KR20200128192A - 인라인 챔버 계측법 - Google Patents

인라인 챔버 계측법 Download PDF

Info

Publication number
KR20200128192A
KR20200128192A KR1020207031569A KR20207031569A KR20200128192A KR 20200128192 A KR20200128192 A KR 20200128192A KR 1020207031569 A KR1020207031569 A KR 1020207031569A KR 20207031569 A KR20207031569 A KR 20207031569A KR 20200128192 A KR20200128192 A KR 20200128192A
Authority
KR
South Korea
Prior art keywords
substrate
operable
processing
processing chamber
pulse
Prior art date
Application number
KR1020207031569A
Other languages
English (en)
Other versions
KR102454199B1 (ko
Inventor
아비셰크 고쉬
프레르나 손탈리아 고라디아
로버트 얀 비서
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227034869A priority Critical patent/KR20220140045A/ko
Publication of KR20200128192A publication Critical patent/KR20200128192A/ko
Application granted granted Critical
Publication of KR102454199B1 publication Critical patent/KR102454199B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/636Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited using an arrangement of pump beam and probe beam; using the measurement of optical non-linear properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8845Multiple wavelengths of illumination or detection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Robotics (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Glass Compositions (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

본 개시내용의 실시예들은, 진공 처리를 겪는 기판들의 검사에 관한 것이다. 일 실시예에서, 처리 챔버는, 전자기 방사선 방출기가 처리 챔버 내의 기판을 조명할 수 있게 하는 제1 뷰 포트, 검출기가 기판으로부터 산란된 전자기 방사선을 검출할 수 있게 하는 제2 뷰 포트, 전자기 방사선 방출기, 및 검출기를 포함한다.

Description

인라인 챔버 계측법
본 개시내용의 실시예들은 일반적으로, 감소된 압력의 처리 시스템들 및 처리 기법들에 관한 것이다. 더 상세하게는, 본 개시내용의 실시예들은, 감소된 압력의 처리 시스템들에서의 기판들의 직접 인라인 모니터링을 위한 기법들에 관한 것이다.
반도체 기판들은 통합 디바이스들 및 마이크로디바이스들의 제조를 포함하는 광범위하게 다양한 응용들을 위해 처리된다. 기판들을 처리하기 위한 하나의 기법은, 감소된 압력들에서 기판을 가스들에 노출시키는 것, 및 가스들이 기판의 표면 상에 물질, 이를테면, 유전체 물질 또는 전도성 금속을 증착하게 하는 것을 포함한다. 예컨대, 에피택시는, 기판(예컨대, 실리콘 웨이퍼)의 표면 상에 흔히 규소 또는 게르마늄의 얇은 고순도 층을 성장시키는 데 사용될 수 있는 증착 공정이다. 물질은, 직교류(cross-flow) 챔버에서, 지지부 상에 위치된 기판의 표면에 걸쳐 그와 평행하게 공정 유체(예컨대, 전구체 가스들과 캐리어 가스들의 혼합물)를 유동시키고, (예컨대, 공정 유체를 고온들로 가열함으로써) 공정 유체를 분해하여 기판의 표면 상에 공정 유체로부터의 물질을 증착함으로써 증착될 수 있다.
기판의 처리 동안의 다양한 시간들에서, 증착된 막의 품질이 검사 및/또는 측정될 수 있다. 기판을 검사 및/또는 측정하기 위한 이전에 알려져 있는 기법들은, 처리 챔버로부터 기판을 제거하고 기판을 검사 및/또는 측정하기 위한 계기 내에 기판을 위치시키는 것을 수반한다. 처리 챔버로부터의 기판의 제거는, 가스들이 처리 챔버에 들어가는 것을 초래하여, 아마도 챔버에서의 (그 기판 또는 다른 기판의) 처리가 계속될 수 있기 전에 처리 챔버가 진공 펌프에 의해 진공배기될 것을 요구할 수 있다.
처리 챔버들의 처리량 및 생산된 기판들의 품질을 개선하기 위해, 처리 시스템의 고-진공 환경으로부터 기판을 제거함이 없이 처리 시스템에서 처리를 겪고 있는 기판을 검사 및/또는 측정하기 위한 수단에 대한 필요성이 존재한다.
기판을 처리하기 위한 장치가 제공된다. 장치는 일반적으로, 제1 뷰 포트 및 제2 뷰 포트를 갖는 처리 챔버 몸체, 처리 챔버 몸체와 연결되는, 공정 유체를 제공하기 위한 공급부, 처리 챔버 몸체와 연결되는 진공 펌프, 처리 챔버 몸체 내의 기판 지지부, 제1 뷰 포트를 통해 기판 지지부 상의 기판을 조명하도록 동작가능한 전자기 방사선 방출기, 및 제2 뷰 포트를 통해 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 포함한다.
기판을 처리하기 위한 시스템이 제공된다. 시스템은 일반적으로, 자신을 통한 기판의 통과를 허용하도록 구성되는 제1 슬릿 밸브 개구 및 자신을 통한 기판의 통과를 허용하도록 구성되는 제2 슬릿 밸브 개구를 갖는 처리 챔버; 처리 챔버의 제1 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제1 슬릿 밸브 ― 제1 슬릿 밸브는 폐쇄될 때 기밀 밀봉을 만들도록 동작가능함 ―; 처리 챔버의 제2 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제2 슬릿 밸브 ― 제2 슬릿 밸브는 폐쇄될 때 기밀 밀봉을 만들도록 동작가능함 ―; 처리 챔버의 제2 슬릿 밸브 개구와 정렬되는 이송 슬릿 밸브 개구, 로드-록 포트, 및 기판 지지부를 갖는 로드-록; 및 매입형(encased) 탐침을 갖는 기계적 암을 포함하며, 기계적 암은 로드-록 포트를 통해 로드-록의 내부에 접근하도록 동작가능하고, 기계적 암은 매입형 탐침 내의 계기를 기판 지지부 상의 기판과 근접하게 이동시키도록 동작가능하고, 매입형 탐침은 기판을 조명하기 위한 전자기 방사선을 방출하도록 동작가능한 방출기를 갖고, 매입형 탐침은 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 갖는다.
본 개시내용의 양상들의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 양상들의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1a 및 도 1b는 본 개시내용의 양상들에 따른 감소된 압력의 처리 챔버의 단면도들을 예시한다.
도 2는 본 개시내용의 특정 양상들에 따른 예시적인 처리 시스템을 예시한다.
도 3은 본 개시내용의 양상들에 따른 예시적인 로드-록의 개략적인 등각도를 예시한다.
도 4는 본 개시내용의 양상들에 따른 처리 챔버의 개략적인 등각도를 예시한다.
도 5는 본 개시내용의 양상들에 따른, 원자 층 증착의 모니터링을 예시하는 그래프들(500)의 세트이다.
도 6은 본 개시내용의 양상들에 따른, 처리 동안 기판을 측정하도록 구성되는 예시적인 합 주파수 생성(SFG; sum frequency generation) 분광법 모니터링 시스템의 개략도이다.
도 7은 본 개시내용의 양상들에 따른 예시적인 기판 처리 블레이드의 개략도이다.
이해를 용이하게 하기 위해서, 도면들에 공통된 동일한 요소들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예에 개시된 요소들은, 특정 언급 없이 다른 실시예들에서 유익하게 활용될 수 있는 것으로 고려된다.
처리 시스템의 고-진공 환경으로부터 기판을 제거함이 없이, 처리 시스템에서 처리를 겪고 있는 기판의 층 두께 및 층 균일성을 측정하기 위한, 그리고/또는 기판의 층들 및 층들 사이의 계면들의 결함들을 검출하고/거나 화학적 특성화를 수행하기 위해 기판을 검사하기 위한 방법들 및 장치들이 제공된다. 방법들 및 장치들은, 처리 챔버 내에서 또는 처리 챔버와 연결된 로드-록 챔버 내에서 기판을 측정 및/또는 검사함으로써 처리 챔버의 진공을 깨뜨림이 없이 기판의 측정 및/또는 검사를 가능하게 한다.
본원에 개시된 일 실시예는 처리 시스템에 연결된 로드-록 챔버이다. 로드-록 챔버는, 기판 상의 입자들의 속성들 또는 존재를 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 갖는다. 기판은 처리 챔버로부터 제거되어 로드-록 내로 이동될 수 있으며, 로드-록에서, 하나 이상의 계기가 기판을 검사 및/또는 측정한다. 로드-록 내의 압력이 처리 시스템 또는 처리 챔버의 압력과 유사한 수준으로 유지되어, 처리 챔버의 진공을 깨뜨림이 없이 기판의 측정 및 검사가 가능하게 된다.
다른 실시예에서, 복수의 뷰 포트들이 처리 챔버 상에 배열된다. 레이저들, x-선 방출기들, 및/또는 다른 전자기 방사선 방출기들이 처리 챔버에서 제1 뷰 포트를 통해 기판을 조명할 수 있고, 기판으로부터 산란된 방사선은 제2 뷰 포트를 통해 처리 챔버를 빠져나가 처리 챔버 밖의 계기들에 의해 검출, 수집, 및/또는 측정될 수 있다. 처리 챔버의 진공을 깨뜨림이 없이 기판이 처리 챔버 내에 있는 동안 기판이 검사 및/또는 측정될 수 있다.
본원에서 사용되는 바와 같이, 기판으로부터 "산란된" 방사선은, 기판으로부터 반사되고, 기판으로부터 굴절되고, 조명의 결과로서 기판으로부터 방출되고/거나 기판을 통해 투과되는 방사선을 지칭한다.
반도체 기판들은 통합 디바이스들 및 마이크로디바이스들의 제조를 포함하는 광범위하게 다양한 응용들을 위해 처리된다. 위에 언급된 바와 같이, 기판들을 처리하기 위한 하나의 기법은, 감소된 압력들에서 기판을 가스들에 노출시키는 것, 및 가스들이 기판의 표면 상에 물질, 이를테면, 유전체 물질 또는 전도성 금속을 증착하게 하는 것을 포함한다. 예컨대, 에피택시는, 기판(예컨대, 실리콘 웨이퍼)의 표면 상에 흔히 규소 또는 이산화규소의 얇은 고순도 층을 성장시키는 데 사용될 수 있는 증착 공정이다. 물질은, 직교류 챔버에서, 지지부 상에 위치된 기판의 표면에 걸쳐 그와 평행하게 공정 유체(예컨대, 전구체 가스들과 캐리어 가스들의 혼합물)를 유동시키고, (예컨대, 공정 유체를 고온들로 가열함으로써) 공정 유체를 분해하여 기판의 표면 상에 공정 유체로부터의 물질을 증착함으로써 증착될 수 있다. 위의 에피택시 기법들에 따라 처리된 기판들은, 아래에서 더 상세히 설명되는 바와 같이, 처리 챔버 내에서 또는 로드-록에서 측정 및/또는 검사될 수 있다.
개시된 실시예들은, 원자 층 증착(ALD), 화학 기상 증착(CVD), 식각, 플라즈마 강화 화학 기상 증착(PECVD), 물리 기상 증착(PVD), 유전체 증착, 중합체 층 증착, 및 선택적 제거 공정(SRP)들을 포함하지만 이에 제한되지 않는, 기판들을 처리하기 위한 기법들과 함께 사용될 수 있다.
도 1a는 본 개시내용의 양상들에 따른, 처리를 위한 위치에 있는 구성요소들을 갖는 예시적인 처리 챔버(100)의 개략적인 단면도를 예시한다. 도시된 처리 챔버는 에피택셜 챔버이다. 공정 챔버(100)는, 기판(108)의 상부 표면 상의 물질의 증착을 비롯하여, 하나 이상의 기판을 처리(예컨대, 하나 이상의 기판 상에 에피택셜 증착을 수행)하는 데 사용된다. 처리 챔버(100)는, 다른 구성요소들 중에서도, 처리 챔버(100) 내에 배치되는 기판 지지부(106)(예컨대, 서셉터)의 후면측(104)을 가열하기 위한 방사 가열 램프들(102)의 어레이를 포함한다. 일부 실시예들에서, 방사 가열 램프들의 어레이는 하부 돔 아래에 도시된 어레이에 부가하여 상부 돔(128) 위에 배치된다. 기판 지지부(106)는, 도시된 바와 같이 어떠한 중앙 개구도 없는 디스크형 기판 지지부(106)일 수 있거나 링형 기판 지지부일 수 있다.
도 1b는, 도 1a의 선(1B-1B)을 따라 취해진 처리 챔버(100)의 개략적인 측면도를 예시한다. 라이너 조립체(163) 및 원형 차폐부(167)는 명확화를 위해 생략되었다. 기판 지지부는 도 1a에 도시된 바와 같은 디스크형 기판 지지부(106)일 수 있거나, 도 1b에 도시된 바와 같이, 램프들(102)의 열 방사선에 대한 기판의 노출을 용이하게 하기 위해 기판의 가장자리로부터 기판을 지지하는 링형 기판 지지부(107)일 수 있다.
도 1a 및 도 1b를 참조하면, 기판 지지부(106 또는 107)는 처리 챔버(100) 내에서 상부 돔(128)과 하부 돔(114) 사이에 위치된다. 상부 돔(128), 하부 돔(114), 및 상부 돔(128)과 하부 돔(114) 사이에 배치되는 베이스 링(136)이 처리 챔버(100)의 내부 구역을 정의한다. 일반적으로, 상부 돔(128) 및 하부 돔(114)의 중앙 부분들은 석영과 같은 광학적으로 투명한 물질로 형성된다. 처리 챔버(100)의 내부 구역은 일반적으로 공정 구역(156) 및 퍼지 구역(158)으로 나눠진다.
기판(108)(실척이 아님)은 적재 포트(103)를 통해 처리 챔버(100) 내로 이동되어 기판 지지부(106) 상에 위치될 수 있다. 적재 포트(103)는 도 1a에서 기판 지지부(106)에 의해 가려지지만, 도 1b에서는 보일 수 있다.
일 실시예에 따르면, 기판 지지부(106)는 중앙 샤프트(132)에 의해 지지되며, 이는, 도 1a에 도시된 바와 같이 기판 지지부(106)를 직접 지지할 수 있다. 다른 실시예에 따르면, 중앙 샤프트(132)는, 도 1b에 도시된 바와 같이, 암들(134)로 디스크형 기판 지지부(107)를 지지한다.
일 실시예에 따르면, 처리 챔버(100)는 또한, 램프들(102)의 어레이를 지지하고 처리 동안 그리고/또는 처리 후에 램프들(102)을 냉각시키는 램프헤드(145)를 포함한다. 각각의 램프(102)는, 각각의 램프(102)에 전기를 공급하는 전기 분배 보드(도시되지 않음)에 결합된다.
일 실시예에 따르면, 처리 챔버(100)는 또한, 처리 챔버(100) 내의 그리고 기판(108)의 표면 상의 온도들을 측정하는 하나 이상의 광학 고온계(118)를 포함한다. 제어기(도시되지 않음)는 전기 분배 보드로부터 램프(102)로의 전기 분배를 제어한다. 제어기는 또한, 처리 챔버(100) 내에서의 냉각 유체들의 유동들을 제어한다. 제어기는, 전기 분배 보드로부터 램프들(102)로의 전압을 변화시키고 냉각 유체들의 유동들을 변화시킴으로써 처리 챔버 내의 온도들을 제어한다.
반사기(122)가 상부 돔(128) 위에 배치되어 기판(108) 및 상부 돔(128)으로부터 방사되는 적외선 광을 다시 처리 챔버(100)로 반사한다. 반사기(122)는 클램프 링(130)을 사용하여 상부 돔(128)에 고정된다. 반사기(122)는, 냉각 유체 소스(도시되지 않음)에 연결되는 하나 이상의 연결 포트(126)를 갖는다. 연결 포트들(126)은, 냉각 유체(예컨대, 물)가 반사기(122) 내에서 순환할 수 있도록 반사기 내의 하나 이상의 통로(도시되지 않음)에 연결된다.
일 실시예에 따르면, 처리 챔버(100)는, 공정 유체 공급부(172)에 연결되는 공정 유체 유입구(174)를 포함한다. 공정 유체 유입구(174)는, 일반적으로 기판(108)의 표면에 걸쳐 공정 유체(예컨대, 트리메틸 알루미늄(TMA) 또는 실란(SiH4))를 지향시키도록 구성된다. 처리 챔버는 또한, 공정 유체 유입구(174)에 대향하는 처리 챔버(100)의 측 상에 위치되는 공정 유체 배출구(178)를 포함한다. 공정 유체 배출구(178)는 진공 펌프(180)에 결합된다.
일 실시예에 따르면, 처리 챔버(100)는, 베이스 링(136)의 측벽에 형성되는 퍼지 가스 유입구(164)를 포함한다. 퍼지 가스 소스(162)가 퍼지 가스를 퍼지 가스 유입구(164)에 공급한다. 처리 챔버(100)가 원형 차폐부(167)를 포함하는 경우, 원형 차폐부(167)는 공정 유체 유입구(174)와 퍼지 가스 유입구(164) 사이에 배치된다. 공정 유체 유입구(174), 퍼지 가스 유입구(164), 및 공정 유체 배출구(178)는 예시의 목적들을 위해 도시되며, 유체 유입구들 및 배출구들 등의 위치, 크기, 및 개수는 기판(108) 상의 물질의 균일한 증착을 용이하게 하도록 조정될 수 있다.
기판 지지부는 처리 챔버(100) 내에서의 기판의 처리를 허용하는 위치에 도시된다. 중앙 샤프트(132), 기판 지지부(106 또는 107), 및 암들(134)은 액추에이터(도시되지 않음)에 의해 하강될 수 있다. 복수의 리프트 핀들(105)이 기판 지지부(106 또는 107)를 통과한다. 기판 지지부를 처리 위치 아래의 적재 위치로 낮추는 것은, 리프트 핀들(105)이 하부 돔(114)과 접촉하고, 기판 지지부(106)에 있는 홀들을 통과하고, 기판 지지부(106)로부터 기판(108)을 상승시킬 수 있게 한다. 이어서, 로봇(도 1에 도시되지 않지만, 도 2의 로봇(208)을 참조함)이 적재 포트(103)를 통해 처리 챔버(100)에 들어가 기판(108)에 맞물리고 그 기판을 제거한다. 기판(108)을 제거한 로봇 또는 다른 로봇이 적재 포트(103)를 통해 처리 챔버에 들어가 처리되지 않은 기판을 기판 지지부(106) 상에 배치한다. 이어서, 기판 지지부(106)는 액추에이터에 의해 처리 위치로 상승되어 처리되지 않은 기판을 처리를 위한 위치에 배치한다.
일 실시예에 따르면, 처리 챔버(100)에서의 기판(108)의 처리는, 적재 포트(103)를 통해 기판을 삽입하는 것, 기판 지지부(106 또는 107) 상에 기판(108)을 배치하는 것, 기판 지지부(106 및 107) 및 기판(108)을 처리 위치로 상승시키는 것, 램프들(102)을 사용하여 기판(108)을 가열하는 것, 기판(108)에 걸쳐 공정 유체(173)를 유동시키는 것, 및 기판(108)을 회전시키는 것을 포함한다. 일부 경우들에서, 기판은 또한 처리 동안 상승 또는 하강될 수 있다.
본 개시내용의 일부 양상들에 따르면, 처리 챔버(100)에서의 에피택셜 처리는, 처리 챔버(100) 내의 압력을 대기압보다 낮도록 제어하는 것을 포함한다. 일 실시예에 따르면, 처리 챔버(100) 내의 압력은 대략적으로 10 torr 내지 80 torr이도록 감소된다. 다른 실시예에 따르면, 처리 챔버(100) 내의 압력은 대략적으로 80 torr 내지 300 torr이도록 감소된다. 일 실시예에 따르면, 처리 전에 그리고/또는 그 동안에 처리 챔버(100)의 압력을 감소시키기 위해 진공 펌프(180)가 활성화된다.
공정 유체(173)는 하나 이상의 공정 유체 유입구(174)로부터 처리 챔버(100) 내로 도입되고, 하나 이상의 공정 유체 배출구(178)를 통해 처리 챔버(100)를 빠져나간다. 공정 유체(173)는, 예컨대 열 분해, 또는 다른 반응들을 통해 기판(108) 상에 하나 이상의 물질을 증착한다. 기판(108) 상에 물질들을 증착한 후에, 반응들로부터 배출물(즉, 폐기 가스들)(166, 175)이 형성된다. 배출물(166, 175)은 공정 유체 배출구들(178)을 통해 처리 챔버(100)를 빠져나간다.
기판(108)의 처리가 완료될 때, 퍼지 가스 유입구들(164)을 통해 퍼지 가스(165)(예컨대, 수소 또는 질소)를 도입함으로써 처리 챔버(100)는 공정 유체(173) 및 배출물(166, 175)이 퍼지된다. 퍼지 가스(165)는, 퍼지 가스 유입구들(164) 대신 또는 그에 부가하여 공정 유체 유입구들(174)을 통해 도입될 수 있다. 퍼지 가스(165)는 공정 유체 배출구들(178)을 통해 처리 챔버를 빠져나간다.
예시적인 인라인 챔버 계측법
본 개시내용의 실시예들에서, 기판은 처리 챔버에서 처리되고 처리 챔버의 진공을 깨뜨림이 없이 검사 및/또는 측정될 수 있다. 일 실시예에서, 로드-록 챔버가 밸브를 통해 처리 챔버와 연결된다. 로드-록은, 기판을 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 갖는다. 기판은 처리 챔버로부터 제거되어 밸브를 통해 로드-록 내로 통과될 수 있으며, 로드-록에서, 하나 이상의 계기가 기판을 검사 및/또는 측정한다. 로드-록 내의 압력이 처리 챔버의 압력과 유사한 수준으로 유지되거나 그러한 수준으로 낮춰져서, 처리 챔버의 진공을 깨뜨림이 없이 기판의 측정 및 검사가 가능하게 된다. 이어서, 기판은 부가적인 처리를 위해 처리 챔버로 복귀될 수 있으며, 로드-록에서 발생한 측정 및 검사에 기반하여 부가적인 처리의 파라미터들(예컨대, 온도 또는 가스 유량)이 결정된다.
본 개시내용의 양상들에 따른 로드-록과 함께 사용될 수 있는 측정 및 검사 기법들은, 공초점 형광 현미경 및 이미징; 타원편광법을 포함하는, 적외선, 자외선, 및 가시 방사선의 반사; 라만 산란; 팁 증강(tip-enhanced) 라만 산란; 표면 플라즈몬 폴라리톤 증강 라만 산란; 제2 고조파; 합 주파수 분광법; 원자간력 현미경(AFM); 주사 터널링 현미경(STM); 테라헤르츠 또는 밀리미터-파 주사; 및 x-선 형광(XRF)을 포함한다.
다른 실시예에서, 복수의 뷰 포트들이 공정 챔버 상에 배열된다. 레이저들, x-선 방출기들, 및/또는 다른 전자기 방사선 방출기들이 처리 챔버에서 제1 뷰 포트를 통해 기판 상을 비출 수 있고, 기판으로부터 산란된(예컨대, 반사된 또는 굴절된) 방사선은 제2 뷰 포트를 통해 처리 챔버를 빠져나가 처리 챔버 밖의 계기들에 의해 검출, 수집, 및/또는 측정될 수 있다. 처리 챔버의 진공을 깨뜨림이 없이 기판이 처리 챔버 내에 있는 동안 기판이 검사 및/또는 측정될 수 있다.
본 개시내용의 양상들에 따른 처리 챔버 상에 배열되는 뷰 포트들과 함께 사용될 수 있는 측정 및 검사 기법들은, 공초점 형광 현미경 및 이미징; 타원편광법을 포함하는, 적외선, 자외선, 및 가시 방사선의 반사; 라만 산란; 제2 고조파; 합 주파수 분광법; 테라헤르츠 또는 밀리미터-파 주사; 및 x-선 형광(XRF)을 포함한다.
도 2는 본 개시내용의 일 실시예에 따른 예시적인 처리 시스템(200)을 도시하는 평면도이다. 처리 시스템(200)은, 로드-록 챔버(204), 이송 챔버(206), 이송 챔버(206) 내의 처리(예컨대, 툴 및 물질 처리 또는 기판 처리) 로봇(208), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), 제어 스테이션(214), ALD 처리 챔버(216), 및 마스크 챔버(218)를 포함한다. 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 각각의 챔버의 연관된 하드웨어는 바람직하게는, 예컨대, 하나 이상의 공정-호환가능 물질, 이를테면, 예컨대 알루미늄, 양극산화된 알루미늄, 니켈 도금 알루미늄, 스테인리스 강, 석영, 및 이들의 조합들 및 합금들로 형성된다. 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), 및 ALD 처리 챔버(216)는, 코팅될 기판의 형상 및 다른 처리 요건들에 의해 요구되는 바에 따라, 둥글거나, 직사각형이거나, 또는 다른 형상일 수 있다.
이송 챔버(206)는, 로드-록 챔버(204), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 마스크 챔버(218)에 인접한 측벽들에서 슬릿 밸브 개구들(221, 223, 225, 227, 229)을 포함한다. 처리 로봇(208)은, 슬릿 밸브 개구들(221, 223, 225, 227, 229) 각각을 통해 인접한 챔버 내로 기판 처리 블레이드(209) 및/또는 하나 이상의 다른 툴을 삽입할 수 있도록 위치 및 구성된다. 즉, 처리 로봇은, 다른 챔버들 각각에 인접한 이송 챔버(206)의 벽들에 있는 슬릿 밸브 개구들(221, 223, 225, 227, 229)을 통해 로드-록 챔버(204), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 마스크 챔버(218) 내로 툴들을 삽입할 수 있다. 본 개시내용의 양상들에 따르면, 본원에서 "블레이드"로 또한 지칭되는 기판 처리 블레이드에는 기판 모니터링 장비가 구비될 수 있다. 그러한 블레이드의 예는 도 7을 참조하여 아래에서 설명된다. 슬릿 밸브 개구들(221, 223, 225, 227, 229)은, 기판, 툴, 또는 다른 물품이 인접한 챔버들 중 하나에 삽입되거나 그로부터 제거되어야 할 때 인접한 챔버들의 내부들로의 접근을 허용하도록 슬릿 밸브들(220, 222, 224, 226, 228)을 이용하여 선택적으로 개방 및 폐쇄된다.
이송 챔버(206), 로드-록 챔버(204), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 마스크 챔버(218)는, 진공 시스템(예컨대, 진공 펌프)과 유체 연통하는 하나 이상의 애퍼쳐(도시되지 않음)를 포함한다. 애퍼쳐들은, 다양한 챔버들 내의 가스들에 대한 출구를 제공한다. 일부 실시예들에서, 챔버들은 각각 별개의 독립적인 진공 시스템에 연결된다. 또 다른 실시예들에서, 챔버들 중 일부는 진공 시스템들을 공유하는 한편, 다른 챔버들은 별개의 독립적인 진공 시스템들을 갖는다. 진공 시스템들은, 다양한 챔버들을 통한 가스들의 유동들을 조절하기 위해 진공 펌프들(도시되지 않음) 및 스로틀 밸브들(도시되지 않음)을 포함할 수 있다.
본 개시내용의 양상들에 따르면, 제1 CVD 처리 챔버(210)는 밸브(215)를 통해 로드-록(211)과 연결될 수 있다. 로드-록(211)은, 기판을 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 가질 수 있다(도 3 참조). 기판은 제1 CVD 처리 챔버(210)로부터 제거되어 밸브(215)를 통해 로드-록(211) 내로 통과될 수 있으며, 그 로드-록에서, 하나 이상의 계기가 기판을 검사 및/또는 측정한다. 계기들은, 공초점 형광 현미경 및 이미징 시스템; 하나 이상의 적외선, 자외선, 및/또는 가시 광 레이저; 하나 이상의 전하 결합 디바이스(CCD) 검출기; 하나 이상의 수은 카드뮴 텔루라이드(MCT) 검출기; 하나 이상의 인듐 갈륨 비소화물(InGaAs) 검출기; 팁-증강 라만 산란을 위한 팁을 갖는 기계적 탐침; 원자간력 현미경 탐침; 주사 터널링 현미경 탐침; 테라헤르츠 또는 밀리미터-파 송수신기 안테나; 및 x-선 방출기 및 검출기 중 하나 이상을 포함할 수 있다. 기계적 암, 매입형 탐침, 및 계기들은 아래에서 도 3을 참조하여 더 상세히 설명된다. 로드-록(211) 내의 압력이 제1 CVD 처리 챔버(210)의 압력과 유사한 수준으로 낮춰지거나 그러한 수준으로 유지되어, 제1 CVD 처리 챔버(210)의 진공을 깨뜨림이 없이 기판의 측정 및 검사가 가능하게 될 수 있다.
유사하게, 제2 CVD 처리 챔버(212)는 밸브(218)를 통해 로드-록(213)과 연결될 수 있고, ALD 처리 챔버(216)는 밸브(219)를 통해 로드-록(217)과 연결될 수 있다. 로드-록들(213 및 217) 각각은, 기판을 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 가질 수 있다(도 3 참조). 상기된 바와 같이, 기판은, 제2 CVD 처리 챔버(212)의 진공을 깨뜨림이 없이 제2 CVD 처리 챔버(212)로부터 제거되어 밸브(218)를 통해 로드-록(213) 내로 통과될 수 있다. 또한 상기된 바와 같이, 기판은, ALD 처리 챔버(216)의 진공을 깨뜨림이 없이 ALD 처리 챔버(216)로부터 제거되어 밸브(219)를 통해 로드-록(217) 내로 통과될 수 있다. 일단 로드-록(213 또는 217) 내에 있으면, 제2 CVD 처리 챔버(212) 또는 ALD 처리 챔버(216)의 진공을 깨뜨림이 없이 탐침의 계기들이 기판을 측정 및/또는 검사할 수 있다.
도 3은 본 개시내용의 양상들에 따른 예시적인 로드-록(300)의 개략적인 등각도를 예시한다. 로드-록(300)은, 도 2에 도시된 로드-록들(211, 213, 및 217)의 예일 수 있다. 매입형 탐침(304)을 갖는 기계적 암(302)이 로드-록 포트(308)를 통해 기판(306)에 접근할 수 있다. 기판(306)은 로드-록 내의 기판 지지부(310)(예컨대, 기판 지지 블레이드 또는 페디스털) 상에 놓일 수 있다. 탐침은, 레이저 소스들 또는 다른 방출기들로부터 기판으로 전자기 방사선(예컨대, 적외선, 자외선, 가시 레이저 광, 밀리미터-파, 또는 x-선들)을 전달하기 위한 광섬유 또는 금속성 케이블들을 포함할 수 있다. 부가적으로 또는 대안적으로, 탐침은 하나 이상의 레이저 소스, 테라헤르츠 또는 밀리미터-파 송수신기 안테나, 및 x-선 방출기를 포함할 수 있다. 탐침은 또한, 하나 이상의 전하 결합 디바이스(CCD) 검출기, 수은 카드뮴 텔루라이드(MCT) 검출기, 인듐 갈륨 비소화물(InGaAs) 검출기, 팁-증강 라만 산란을 위한 팁을 갖는 기계적 탐침, 원자간력 현미경 탐침, 주사 터널링 현미경 탐침, x-선 검출기, 및/또는 기판을 측정 및/또는 검사하기 위한 다른 유형들의 계기를 포함할 수 있다. 로드-록(300)은 또한, 로드-록(300)으로부터 가스들(예컨대, 처리 챔버로부터 로드-록에 들어갈 수 있는 공정 유체들)을 진공배기하기 위한 하나 이상의 터보 진공 포트를 포함할 수 있다.
기계적 암(302)이 탐침(304)을 기판과 매우 근접하게 이동시킬 수 있기 때문에, 근접장 및 원거리장 검사 기법들 둘 모두가 로드-록(300) 내에서 수행되기에 적합하다.
본 개시내용의 양상들에 따르면, 탐침(304)은, 탐침(예컨대, 광섬유 가닥들)의 물질들로부터의 가스방출로부터 기판이 오염되는 것을 방지하기 위해, 진공에 노출될 때 제한된 가스방출을 겪는 물질(예컨대, 석영)에 매입될 수 있다. 기판과 매우 근접하게 있거나 접촉할 것을 요구하는 계기들(예컨대, 팁-증강 라만 산란을 위한 기계적 탐침 팁, 원자간력 현미경, 또는 주사 터널링 현미경)은 진공에 노출될 때 제한된 가스방출을 겪는 물질에 매입되지 않을 수 있다. 대신, 기판과 매우 근접하게 있거나 접촉할 것을 요구하는 계기들은, 진공에 노출될 때 제한된 가스방출을 겪는 물질들(예컨대, 강철)로 구성될 수 있다.
도 4는 본 개시내용의 양상들에 따른, 복수의 뷰 포트들(402 및 404)을 갖는 처리 챔버(400)(예컨대, ALD 챔버)의 개략적인 등각도를 예시한다. 뷰 포트들은 석영 또는 전자기 방사선(424 및 426)(예컨대, 적외선 광, 자외선 광, 가시 광, x-선들, 및/또는 밀리미터-파 방사선)에 반투명한 다른 물질들로 만들어질 수 있다. 제1 뷰 포트(402)는, 전자기 방사선에 의한 기판(406)의 조명이 큰 스침각(즉, 기판의 상부 표면에 대한 수직으로부터 측정된 각도)으로 발생할 수 있게 하도록 위치될 수 있다. 제2 뷰 포트(404)는, 검출기들(430)이 큰 스침각과 유사한 각도로 기판으로부터 산란된 전자기 방사선(432)을 수신 및/또는 검출할 수 있게 하도록 위치될 수 있다. 처리 챔버(400)는, 도 1a 및 도 1b에 도시된 처리 챔버(100)를 나타낼 수 있다. 처리 챔버는 하나 이상의 공정 유체 유입구(474)를 통해 공정 유체 공급부(472)와 연결될 수 있고, 진공 펌프(480)에 연결되는 공정 유체 배출구(478)를 포함할 수 있다. 기판(406)은 로드-록 내의 기판 지지부(410)(예컨대, 기판 지지 블레이드 또는 페디스털) 상에 놓일 수 있다. 기판 지지부(410)는, 처리 챔버의 성능을 위해 바람직한 경우 가열될 수 있다.
하나 이상의 레이저(예컨대, 적외선, 자외선, 가시 스펙트럼, 또는 x-선 레이저들)(420, 422) 또는 다른 전자기 방사선 빔(424, 426) 방출기들이 뷰 포트(402)를 통해 기판(406)을 조명할 수 있다. 예시된 바와 같이, 레이저들은, 800 나노미터(nm)의 파장을 갖는 펨토초-피코초(fs-ps) 펄스형 가시 레이저, 및 1-4 마이크로미터(㎛) 범위의 파장을 갖는 fs-ps 펄스형 중간-적외선(중간-IR) 레이저를 포함할 수 있지만, 본 개시내용은 그렇게 제한되지 않으며, 다른 파장들의 방출기들이 사용될 수 있다. 레이저들 및 다른 방출기들은, 방출기들에 의해 방출되는 전자기 방사선이 일관된 각도로 기판을 조명하도록 로드-록에 장착될 수 있다. 레이저들 및 다른 방출기들의 장착부들은, 기판의 측정 및 검사 동안 제어된 재현가능한 방식으로 방사선이 기판의 표면에 걸쳐 래스터링하게 하도록 하나 이상의 액추에이터(도시되지 않음)를 이용하여 이동될 수 있다. 하나 이상의 거울(442A 및 442B), 반파장 판(444A 및 444B), 편광기(446A 및 446B), 및 렌즈(예컨대, 집속 렌즈)(448A 및 448B)가 액추에이터들(도시되지 않음)에 의해 이동되어 방사선이 기판의 표면에 걸쳐 래스터링하게 할 수 있다. 부가적으로 또는 대안적으로, 방출기들로부터의 전자기 방사선은 광섬유 케이블들 또는 다른 도관들에 의해 지향될 수 있으며, 케이블들 및/또는 도관들은 방사선이 기판의 표면에 걸쳐 래스터링하게 하도록 액추에이터(들)에 의해 이동된다.
기판의 조명의 결과로서 기판으로부터 산란된(예컨대, 반사된 또는 굴절된) 전자기 방사선(432)은 뷰 포트(404)를 통해 처리 챔버(400)를 빠져나갈 수 있다. 하나 이상의 애퍼쳐(450), 시준기(452), 편광기(454), 거울(456), 필터(458), 및 렌즈(460)는 전자기 방사선(432)을 하나 이상의 전하 결합 디바이스(CCD) 검출기(430), 수은 카드뮴 텔루라이드(MCT) 검출기, 인듐 갈륨 비소화물(InGaAs) 검출기, 분광계, 및 기판을 측정 및/또는 검사하기 위한 다른 유형들의 계기로 지향시킬 수 있다. CCD 검출기들, MCT 검출기들, InGaAs 검출기들, 분광계들, 및 다른 계기들은 뷰 포트(404)를 빠져나가는 전자기 방사선(432)을 검출 및/또는 측정하여 기판에 관한 측정들 및 다른 데이터를 결정할 수 있다. 검출기들 또는 다른 계기들은, 기판으로부터 산란된 전자기 방사선이 일관된 각도로 측정 또는 검출되도록 로드-록에 장착될 수 있다. 검출기들 및 다른 계기들의 장착부들은, 기판의 측정 및 검사 동안 방출기들이 기판에 걸쳐 래스터링되는 것에 대한 응답으로 기판으로부터 산란된 방사선을 검출기들 및 다른 계기들이 수신하게 하도록 하나 이상의 액추에이터(도시되지 않음)를 이용하여 이동될 수 있다. 부가적으로 또는 대안적으로, 애퍼쳐들(450), 시준기들(452), 편광기들(454), 거울들(456), 필터들(458), 및 렌즈들(460)은 전자기 방사선(432)을 검출기들 및/또는 계기들로 지향시키도록 액추에이터들을 통해 이동될 수 있다.
기판 지지부(410)는, 기판의 측정 및 검사의 일부로서 처리 챔버 내에서 이동할 수 있다. 예컨대, 기판 지지부(410)는, 뷰 포트(402)를 통해 들어가는 하나 이상의 빔(424, 426)이 기판의 표면에 걸쳐 주사(예컨대, 래스터링)되도록 처리 챔버(400) 내에서 기판을 이동시킬 수 있다. 부가적으로 또는 대안적으로, 방출기들로부터의 빔들을 기판의 표면에 걸쳐 주사하기 위해 주사 갈바노 거울이 사용될 수 있다. 갈바노 거울은 처리 챔버(400) 내에 배치되거나 처리 챔버(400) 밖에 위치될 수 있다.
도 4에 도시된 실시예가 기판(406)의 상부 표면을 주사하는 빔들을 도시하지만, 본 개시내용은 그렇게 제한되지 않는다. 본 개시내용의 양상들에 따르면, 기판 지지부(410)는 절단 부분을 갖거나 빔들에 반투명(예컨대, 프리즘)할 수 있으며, 뷰 포트들(402 및 404)은 빔들이 기판의 하부 표면을 주사하게 할 수 있도록 배열될 수 있다.
본 개시내용의 양상들에 따르면, 제2 고조파 생성(SHG) 및 합 주파수 생성(SFG) 분광법이 사용되어, 처리된 표면들, 이를테면, ALD, CVD, PECVD, PVD, 유전체 증착, 중합체 층 증착, 및 SRP를 통해 증착된 표면들을 모니터링할 수 있다. SFG 분광법은 물질의 2차 분자 초편극율(hyperpolarizability)을 탐침하며, 이는, 비-중심대칭 매질에서 어느 모드들이 활성인지를 표시한다. SFG 및 SHG는 2차 비선형 광학 프로세스들이며, 여기서, 2개의 착신 광자가 매질 표면 또는 계면에서 공간적 및 시간적으로 중첩될 때 서로 그리고 표면과 상호작용하여 2개의 착신 광자의 주파수들의 합으로의 주파수를 갖는 1개의 광자를 생성한다. 두 착신 광자가 동일한 소스(그리고 그에 따라, 동일한 주파수)에 기인할 때, 결과적인 프로세스는 제2 고조파 생성(SHG)으로 지칭된다. 두 착신 광자가 상이한 주파수들을 가질 때, 결과적인 광학 프로세스는 합 주파수 생성(SFG)으로 지칭된다. 이러한 2차 광학 프로세스들은 광자 에너지들 및 운동량의 보존을 따른다. 광자 운동량의 보존은 프로세스들을 고도로 지향성이게 만들고, 그에 따라, SFG 또는 SHG 광자들은 착신 광자들로부터 또는 다른 비-선형 광학 프로세스들로부터의 다른 광자들로부터 공간적으로 분리될 수 있다. SFG 및 SHG는 또한, 2차 초편극율들이 비-중심대칭 매질에서만, 예를 들면, 계면, 표면, 또는 심지어 대칭 중심을 소유하지 않은 분자들에 대해서만 활성이기 때문에, 고도의 표면 민감성 프로세스들이다(예컨대, 네이처지(Nature) 337(6207): pp. 519-525, 1989 참조). 예컨대, SFG 분광법은, 아래에서 도 5를 참조하여 예시된 바와 같이, 백금-수소 결합과 연관된 특정 파수의 강도를 측정함으로써 백금 상의 수소(H2)의 원자 층 증착을 모니터링하는 데 사용될 수 있다. SFG 분광법은 또한, AlOx 결합과 연관된 특정 파수의 강도를 측정함으로써 실리콘 기판 상의 산화알루미늄/산화규소(AlOx/SiOx)의 원자 층 증착을 모니터링하는 데 사용될 수 있다(예컨대, https://doi.org/10.1116.4993597에서 이용가능한 E. 케셀스(E. Kessels) 등의 진공과학기술지(Journal of Vacuum Science & Technology) A 35, 05C313 (2017) 참조).
도 5는, 백금을 상이한 수소 유량들에 노출시키고 백금의 표면의 합 주파수 생성 측정을 취한, ALD 공정에서의 백금 상의 수소의 원자 층 증착의 모니터링을 도시하는 곡선들을 예시하는 그래프(500)이다. 곡선(510)은, 가장 높은 유량의 수소에 대한 백금의 노출 후의 (cm-1 단위로 측정된) 파수들의 세트에 대한 (s-1 단위로 측정된) SFG의 강도들의 세트를 도시한다. 가장 높은 유량의 수소에 대한 백금의 노출 후에, SFG 분광법은, 지점(512)에서 도시된 바와 같이, 2020 cm-1 파수의 비교적 높은 강도(즉, 1.1 초과)를 나타낸다. 더 낮은 유량의 수소에 대한 백금의 노출 후에, SFG 분광법은, 지점(514)에서 도시된 바와 같이, 2020 cm-1 파수의 더 낮은 강도(즉, 대략적으로 0.95)를 나타낸다. 연속적으로 더 낮은 유량의 수소에 대한 백금의 제3, 제4, 제5, 및 제6 노출들 각각 후에, SFG 분광법은, 지점들(516, 518, 및 520)에서 도시된 바와 같이, 2020 cm-1 파수의 한층 더 낮은 강도들(즉, 0.90 미만)을 나타낸다. 가장 낮은 유량의 수소에 대한 백금의 노출 후에, SFG 분광법은, 지점(522)에서 도시된 바와 같이, 2020 cm-1 파수의 가장 낮은 강도(즉, 0.38)를 나타낸다.
본 개시내용의 양상들에 따르면, SFG 분광법의 기법은 표면들 및 계면들에 매우 특정적이고, 따라서, SFG 분광법으로부터의 데이터의 분석은 전형적으로, 측정된 신호로부터의 배경 신호들의 제거(subtraction)를 요구하지 않는다.
도 6은 본 개시내용의 양상들에 따른, ALD 처리 동안 기판(670)(예컨대, 백금)을 모니터링하도록 구성(예컨대, ACS 카탈리시스(ACS Catalysis), 2014, 4 (6), pp. 1964-1971 참조)되는 예시적인 SFG 분광법 시스템(600)의 개략도이다. 예시적인 ALD 처리 챔버(680)에서, 수소가 위치(682)에서 챔버 내로 그리고 기판 위로 유동하며, 이는, 수소를 촉매하여 해리시키고 기판 상에 층을 형성한다. 질량 분광계(MS)는, 기판 상에 증착되는 수소의 양에 대한 데이터를 수집하기 위해 챔버를 벗어나는 가스들을 모니터링한다. 가열 막대들(684) 및 피스톤(686)은 ALD 챔버 내의 온도 및 압력을 제어한다. 예시적인 SFG 분광법 시스템에서, 조정가능한 레이저 시스템(즉, 하나 이상의 전자기 방사선 방출기(들))(602)은, 적외선 범위(즉, 1 내지 9 마이크로미터, 이를테면, 4 내지 7 마이크로미터 또는 5 내지 6 마이크로미터)의 파장을 갖는 제1 펄스의 레이저 광(604), 및 가시 범위(즉, 520 내지 900 나노미터, 이를테면, 600 내지 900 나노미터, 750 내지 850 나노미터, 또는 800 나노미터)의 파장을 갖는 제2 펄스의 레이저 광(606)을 생성한다. 제1 펄스의 레이저 광은 이어서, 제1 펄스의 주파수를 원하는 주파수로 정밀 조정하는 다양한 필터들(608)을 통과한다. 제1 펄스는 이어서, 처리 챔버 내로 제1 뷰 포트(652)에 들어가도록 렌즈(610)에 의해 조준된다. 제2 펄스는, 제2 펄스의 주파수를 정밀 조정하기 위해 필터들(616)을 통과한다. 렌즈(620)는, 처리 챔버 내로 제1 뷰 포트(652)를 통과하도록 제2 펄스를 조준한다. 제1 펄스 및 제2 펄스는 또한 기판(670)을 조명하기 위한 프리즘(612)을 통해 조준될 수 있다. 제1 펄스 및 제2 펄스는 이들이 기판을 조명할 때 상호작용하여 제2 고조파 펄스(630)를 생성한다. 제2 고조파 펄스는, 제2 뷰 포트(654)를 통해 처리 챔버를 빠져나가도록 프리즘(612)을 통해 조준될 수 있다. 렌즈(640) 및 필터(642)는 제2 고조파 펄스를 조준하고 제1 펄스 및 제2 펄스의 반사들을 필터링하여 제거할 수 있으며, 이에 따라, 광전자 증배관 튜브(PMT)(632)가 제2 고조파 펄스를 수집할 수 있다. PMT는 제2 고조파 펄스에 관한 정보를 박스카 적분기(634)에 공급한다. 마지막으로, 박스카 적분기는 해석을 위해 컴퓨터(636)에 신호를 공급한다.
본 개시내용의 양상들에 따르면, 제1 뷰 포트(652) 및 제2 뷰 포트(654)는 마그네슘 플루오라이드(MgF2) 또는 칼슘 플루오라이드(CaF2)로 형성될 수 있는데, 그 이유는, 그 물질들이 적외선 범위의 파장을 갖는 제1 펄스 및 가시 범위의 파장을 갖는 제2 펄스 둘 모두의 통과를 허용하기 때문이다.
도 7은 본 개시내용의 양상들에 따른 예시적인 기판 처리 블레이드(700)의 개략도이다. 예시적인 기판 처리 블레이드는, 기판 지지 블레이드(702) 및 계기 지지 암(704)을 포함할 수 있다. 계기 지지 암은 레이저 소스(706)(예컨대, 하나 이상의 전자기 방사선 방출기, 레이저, 또는 다른 레이저 광 소스, 이를테면, 원격 레이저로부터 레이저 광을 전달하는 광섬유 케이블) 및 분광계(708)를 지지할 수 있다. 도 6에 예시된 바와 같이, 레이저 소스는 상이한 파장들을 갖는 2개의 펄스의 레이저 광(710, 712)을 전달할 수 있다. 도 6에 도시된 바와 같이, 레이저 소스는, 레이저 광 펄스들을 기판 처리 블레이드 상의 기판에 조준하기 위해 하나 이상의 거울, 필터, 에탈론, 및 렌즈를 포함할 수 있다. 분광계는 또한, 제1 펄스 및 제2 펄스의 반사들(720 및 722)을 차단하고 또한 제2 고조파 펄스(724)를 분광계 내의 검출기에 조준하기 위해 하나 이상의 조리개, 필터, 렌즈, 및 편광기를 포함할 수 있다.
본 개시내용의 양상들에 따르면, 계기 지지 암(704) 및 기판 처리 블레이드(702)는 처리 챔버(예컨대, 도 1에 도시된 처리 챔버(100)) 내로 함께 이동할 수 있다. 부가적으로 또는 대안적으로, 계기 지지 암은, 기판 처리 블레이드가 처리 챔버에 들어갈 때 기판 처리 블레이드와 독립적으로 이동할 수 있다(예컨대, 떨어져서 회전될 수 있음).
본 개시내용의 양상들에서, 계기 지지 암(704) 상의 계기들, 이를테면, 레이저 소스(706) 및/또는 분광계(708)는, 기판 처리 블레이드가 이송 챔버(예컨대, 도 2에 도시된 이송 챔버(206)) 내에 있는 동안 기판 처리 블레이드에 의해 지지되는 기판의 모니터링을 수행할 수 있어서, 처리 시스템에서 진공이 깨지는 것을 요구함이 없이 기판의 모니터링 및/또는 검사가 허용된다.
본 개시내용의 양상들에 따르면, 분광계는 상보형 금속 산화물 반도체(CMOS) 분광계 또는 광자 결정 섬유(PCF; photonic crystal fiber) 기반 분광계일 수 있다.
전술한 논의의 더 양호한 이해를 제공하기 위해, 위의 비-제한적인 예들이 제공되었다. 예들은 특정 실시예들에 관한 것일 수 있지만, 예들은 임의의 특정 관점으로 본 개시내용을 제한하는 것으로 해석되어서는 안 된다.
전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 처리하기 위한 장치로서,
    제1 뷰 포트 및 제2 뷰 포트를 갖는 처리 챔버 몸체;
    상기 처리 챔버 몸체 내의 기판 지지부;
    상기 제1 뷰 포트를 통해 상기 기판 지지부 상의 기판을 조명하도록 동작가능한 전자기 방사선 방출기; 및
    상기 제2 뷰 포트를 통해 상기 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 포함하는, 기판을 처리하기 위한 장치.
  2. 제1항에 있어서,
    상기 기판 지지부는, 상기 전자기 방사선 방출기로부터의 빔이 상기 기판의 표면에 걸쳐 주사되게 하도록 상기 기판을 이동시키게 동작가능한, 기판을 처리하기 위한 장치.
  3. 제1항에 있어서,
    상기 전자기 방사선 방출기로부터의 빔을 상기 기판의 표면 상으로 지향시키도록 동작가능한 갈바노 거울을 더 포함하는, 기판을 처리하기 위한 장치.
  4. 제1항에 있어서,
    상기 전자기 방사선 방출기는, 제1 파장을 갖는 제1 펄스의 레이저 광을 생성하도록 동작가능한 제1 레이저 소스, 및 제2 파장을 갖는 제2 펄스의 레이저 광을 생성하도록 동작가능한 제2 레이저 소스를 포함하는, 기판을 처리하기 위한 장치.
  5. 제4항에 있어서,
    상기 제1 파장은 1 마이크로미터 내지 4 마이크로미터이고,
    상기 제2 파장은 750 나노미터 내지 850 나노미터인, 기판을 처리하기 위한 장치.
  6. 제4항에 있어서,
    상기 검출기는, 상기 제1 펄스, 상기 제2 펄스, 및 상기 기판 사이의 상호작용에 의해 야기되는 합 주파수 생성(SFG; sum frequency generation) 펄스의 강도를 측정하도록 동작가능한, 기판을 처리하기 위한 장치.
  7. 기판을 처리하기 위한 시스템으로서,
    제1 슬릿 밸브 개구 및 제2 슬릿 밸브 개구를 갖는 처리 챔버 ― 상기 제1 슬릿 밸브 개구는 상기 제1 슬릿 밸브 개구를 통한 기판의 통과를 허용하도록 구성되고, 상기 제2 슬릿 밸브 개구는 상기 제2 슬릿 밸브 개구를 통한 상기 기판의 통과를 허용하도록 구성됨 ―;
    상기 처리 챔버의 상기 제1 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제1 슬릿 밸브 ― 상기 제1 슬릿 밸브는 폐쇄될 때 제1 기밀 밀봉을 만들도록 동작가능함 ―;
    상기 처리 챔버의 상기 제2 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제2 슬릿 밸브 ― 상기 제2 슬릿 밸브는 폐쇄될 때 제2 기밀 밀봉을 만들도록 동작가능함 ―;
    상기 처리 챔버의 상기 제2 슬릿 밸브 개구와 정렬되는 이송 슬릿 밸브 개구, 로드-록 포트, 및 기판 지지부를 갖는 로드-록; 및
    매입형(encased) 탐침을 갖는 기계적 암을 포함하며,
    상기 기계적 암은 상기 로드-록 포트를 통해 상기 로드-록의 내부에 접근하도록 동작가능하고,
    상기 기계적 암은 상기 매입형 탐침 내의 계기를 상기 기판 지지부 상의 상기 기판과 근접하게 이동시키도록 동작가능하고,
    상기 매입형 탐침은 상기 기판을 조명하기 위한 전자기 방사선을 방출하도록 동작가능한 방출기를 갖고,
    상기 매입형 탐침은 상기 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 갖는, 기판을 처리하기 위한 시스템.
  8. 제7항에 있어서,
    기판 처리 블레이드를 갖는 기판 처리 로봇을 더 포함하며,
    상기 기계적 암은 상기 기판 처리 로봇과 연결되고,
    상기 기계적 암은 상기 매입형 탐침 내의 계기를 상기 기판 처리 블레이드 상의 상기 기판과 근접하게 이동시키도록 동작가능한, 기판을 처리하기 위한 시스템.
  9. 제7항에 있어서,
    상기 방출기는, 제1 파장을 갖는 제1 펄스의 레이저 광을 생성하도록 동작가능한 제1 레이저 소스, 및 제2 파장을 갖는 제2 펄스의 레이저 광을 생성하도록 동작가능한 제2 레이저 소스를 포함하는, 기판을 처리하기 위한 시스템.
  10. 제9항에 있어서,
    상기 제1 파장은 1 마이크로미터 내지 4 마이크로미터이고,
    상기 제2 파장은 750 나노미터 내지 850 나노미터인, 기판을 처리하기 위한 시스템.
  11. 제9항에 있어서,
    상기 검출기는, 상기 제1 펄스, 상기 제2 펄스, 및 상기 기판 지지부 상의 상기 기판 사이의 상호작용에 의해 야기되는 합 주파수 생성(SFG) 펄스의 강도를 측정하도록 동작가능한, 기판을 처리하기 위한 시스템.
  12. 처리 시스템에서 기판을 측정하기 위한 장치로서,
    상기 처리 시스템의 로드-록의 내부에 접근하도록 동작가능한 기계적 암;
    상기 기계적 암 상의 매입형 탐침;
    상기 매입형 탐침 내에 있고 상기 기판을 조명하기 위한 전자기 방사선을 방출하도록 동작가능한 방출기; 및
    상기 매입형 탐침 내에 있고 상기 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 포함하며, 상기 기계적 암은 상기 방출기 또는 상기 검출기 중 적어도 하나를 상기 기판과 근접하게 이동시키도록 동작가능한, 처리 시스템에서 기판을 측정하기 위한 장치.
  13. 제12항에 있어서,
    상기 방출기는, 제1 파장을 갖는 제1 펄스의 레이저 광을 생성하도록 동작가능한 제1 레이저 소스, 및 제2 파장을 갖는 제2 펄스의 레이저 광을 생성하도록 동작가능한 제2 레이저 소스를 포함하는, 처리 시스템에서 기판을 측정하기 위한 장치.
  14. 제13항에 있어서,
    상기 제1 파장은 1 마이크로미터 내지 4 마이크로미터이고,
    상기 제2 파장은 750 나노미터 내지 850 나노미터인, 처리 시스템에서 기판을 측정하기 위한 장치.
  15. 제13항에 있어서,
    상기 검출기는, 상기 제1 펄스, 상기 제2 펄스, 및 상기 기판 사이의 상호작용에 의해 야기되는 합 주파수 생성(SFG) 펄스의 강도를 측정하도록 동작가능한, 처리 시스템에서 기판을 측정하기 위한 장치.
KR1020207031569A 2018-04-02 2019-03-29 인라인 챔버 계측법 KR102454199B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227034869A KR20220140045A (ko) 2018-04-02 2019-03-29 인라인 챔버 계측법

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
IN201841012373 2018-04-02
IN201841012373 2018-04-02
US201962811202P 2019-02-27 2019-02-27
US62/811,202 2019-02-27
PCT/US2019/024823 WO2019195100A1 (en) 2018-04-02 2019-03-29 Inline chamber metrology

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227034869A Division KR20220140045A (ko) 2018-04-02 2019-03-29 인라인 챔버 계측법

Publications (2)

Publication Number Publication Date
KR20200128192A true KR20200128192A (ko) 2020-11-11
KR102454199B1 KR102454199B1 (ko) 2022-10-14

Family

ID=68100175

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207031569A KR102454199B1 (ko) 2018-04-02 2019-03-29 인라인 챔버 계측법
KR1020227034869A KR20220140045A (ko) 2018-04-02 2019-03-29 인라인 챔버 계측법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227034869A KR20220140045A (ko) 2018-04-02 2019-03-29 인라인 챔버 계측법

Country Status (7)

Country Link
JP (2) JP7097458B2 (ko)
KR (2) KR102454199B1 (ko)
CN (1) CN112041977A (ko)
DE (1) DE112019001752T5 (ko)
GB (1) GB2587940B (ko)
TW (2) TWI751412B (ko)
WO (1) WO2019195100A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220326080A1 (en) * 2019-06-03 2022-10-13 The General Hospital Corporation Systems and methods for stimulated brillouin microscopy
CN113588682B (zh) * 2021-07-20 2024-07-05 浙江大学 一种针对3d零部件的大范围高精度快速缺陷检测***
CN115602565B (zh) * 2022-11-03 2023-06-23 江苏中芯沃达半导体科技有限公司 一种半导体原位高分辨可视化在线监测装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04340404A (ja) * 1990-10-30 1992-11-26 Internatl Business Mach Corp <Ibm> 薄膜処理制御方法
JP2002340672A (ja) * 2001-05-22 2002-11-27 Kanagawa Acad Of Sci & Technol 和周波発生分光装置および方法
JP2004521323A (ja) * 2001-03-27 2004-07-15 サラフスカイ,ジョシュア,エス. 表面選択的非線形光学技法を使用してプローブ−ターゲット相互作用を検出する方法および装置
JP2007033743A (ja) * 2005-07-26 2007-02-08 Seiko Epson Corp 電子デバイス用基板、液晶パネルおよび電子機器
KR20140100527A (ko) * 2011-12-07 2014-08-14 어플라이드 머티어리얼스, 인코포레이티드 기판 처리를 위한 레이저 반사 측정
KR20170005015A (ko) * 2014-04-17 2017-01-11 펨토매트릭스, 인코포레이티드. 웨이퍼 계측 기술들

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652757A (en) * 1985-08-02 1987-03-24 At&T Technologies, Inc. Method and apparatus for optically determining defects in a semiconductor material
JP2916321B2 (ja) * 1992-03-19 1999-07-05 三井金属鉱業株式会社 多層半導体基板等における内部欠陥の検出方法
JPH05315266A (ja) * 1992-05-12 1993-11-26 Nec Yamagata Ltd Cvd成長装置
EP0706209A3 (en) * 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
KR970053234A (ko) * 1995-12-20 1997-07-31 양승택 화합물 반도체의 도핑특성을 실시간으로 감지하는 방법
JPH09306849A (ja) * 1996-05-17 1997-11-28 Furukawa Electric Co Ltd:The 気相成長装置
JPH1019790A (ja) * 1996-07-02 1998-01-23 Hitachi Ltd 真空中基板検査装置
KR100206940B1 (ko) * 1996-09-21 1999-07-01 구본준 반도체 웨이퍼 성분검사장비의 시료가스누출점검장치
US5922179A (en) * 1996-12-20 1999-07-13 Gatan, Inc. Apparatus for etching and coating sample specimens for microscopic analysis
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
WO2001084621A1 (en) * 2000-04-27 2001-11-08 Ebara Corporation Rotation holding device and semiconductor substrate processing device
US7102132B2 (en) * 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
JP3885007B2 (ja) 2002-07-31 2007-02-21 株式会社日立製作所 半導体の製造方法並びにプラズマ処理方法及びその装置
JP2004087342A (ja) * 2002-08-28 2004-03-18 Jeol Ltd 荷電粒子線を用いた観察装置
KR20060035071A (ko) * 2004-10-21 2006-04-26 삼성전자주식회사 반도체 기판 이송 로봇
DE102006009460A1 (de) * 2006-03-01 2007-09-06 Infineon Technologies Ag Prozessgerät und Verfahren zur Bestimmung der Temperatur eines Substrats in dem Prozessgerät
KR20100106608A (ko) * 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 회로 mocvd 증착 제어
JP2012103071A (ja) 2010-11-09 2012-05-31 Nagoya Univ 有機強誘電材料の評価方法、評価装置、及び電子装置の製造方法
US10731246B2 (en) * 2014-07-28 2020-08-04 Gatan, Inc. Ion beam sample preparation and coating apparatus and methods
WO2016148855A1 (en) * 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04340404A (ja) * 1990-10-30 1992-11-26 Internatl Business Mach Corp <Ibm> 薄膜処理制御方法
US5294289A (en) * 1990-10-30 1994-03-15 International Business Machines Corporation Detection of interfaces with atomic resolution during material processing by optical second harmonic generation
JP2004521323A (ja) * 2001-03-27 2004-07-15 サラフスカイ,ジョシュア,エス. 表面選択的非線形光学技法を使用してプローブ−ターゲット相互作用を検出する方法および装置
JP2002340672A (ja) * 2001-05-22 2002-11-27 Kanagawa Acad Of Sci & Technol 和周波発生分光装置および方法
JP2007033743A (ja) * 2005-07-26 2007-02-08 Seiko Epson Corp 電子デバイス用基板、液晶パネルおよび電子機器
KR20140100527A (ko) * 2011-12-07 2014-08-14 어플라이드 머티어리얼스, 인코포레이티드 기판 처리를 위한 레이저 반사 측정
KR20170005015A (ko) * 2014-04-17 2017-01-11 펨토매트릭스, 인코포레이티드. 웨이퍼 계측 기술들

Also Published As

Publication number Publication date
GB2587940A8 (en) 2023-04-26
GB2587940B (en) 2023-06-14
JP7498225B2 (ja) 2024-06-11
TWI775689B (zh) 2022-08-21
TW201945724A (zh) 2019-12-01
JP2022160395A (ja) 2022-10-19
KR20220140045A (ko) 2022-10-17
WO2019195100A1 (en) 2019-10-10
JP7097458B2 (ja) 2022-07-07
GB202017339D0 (en) 2020-12-16
JP2021519522A (ja) 2021-08-10
DE112019001752T5 (de) 2020-12-24
GB2587940A (en) 2021-04-14
TWI751412B (zh) 2022-01-01
KR102454199B1 (ko) 2022-10-14
TW202212815A (zh) 2022-04-01
CN112041977A (zh) 2020-12-04

Similar Documents

Publication Publication Date Title
JP7498225B2 (ja) インラインチャンバメテロロジ-
US6506253B2 (en) Photo-excited gas processing apparatus for semiconductor process
DK2890828T3 (en) Device and method of making diamond
US10077508B2 (en) Multizone control of lamps in a conical lamphead using pyrometers
US20190360923A1 (en) System and method for monitoring atomic absorption during a surface modification process
KR20160003847A (ko) 웨이퍼 처리 시스템에서의 저온 측정을 위한 장치 및 방법
US9459159B2 (en) Heat-flux measuring method, substrate processing system, and heat-flux measuring member
KR20220156072A (ko) 대역 갭 흡수 방법에 의한 온도 교정
US6989281B2 (en) Cleaning method for a semiconductor device manufacturing apparatus
JP2006313847A (ja) プラズマ発光測定システム
US9478384B2 (en) Electrode for producing a plasma, plasma chamber having said electrode, and method for analyzing or processing a layer or the plasma in situ
Asnaz et al. Growth and treatment of hydrogenated amorphous carbon nanoparticles in a low‐pressure plasma
KR0162934B1 (ko) 반도체 제조장치 및 반도체 제조방법
WO2022239683A1 (ja) 基板を処理する装置、及び処理ガスの温度、濃度を測定する方法
US20240241042A1 (en) Substrate processing device and method for measuring process gas temperature and concentration
WO2023132268A1 (ja) 判定方法及び基板処理装置
US20220154339A1 (en) Thin film deposition apparatus mountable with analysis system
CA3159158A1 (en) Film forming apparatus and film forming apparatus usage
JP2023100573A (ja) 判定方法及び基板処理装置
CN118213256A (zh) 等离子体监测***
Tan et al. SiOx, SiNx, SiNxOy Deposited By ICP-CVD System With Optimized Uniformity For Optical Coatings

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent