KR20200039579A - Plasma processing apparatus, monitoring method, and monitoring program - Google Patents

Plasma processing apparatus, monitoring method, and monitoring program Download PDF

Info

Publication number
KR20200039579A
KR20200039579A KR1020190121937A KR20190121937A KR20200039579A KR 20200039579 A KR20200039579 A KR 20200039579A KR 1020190121937 A KR1020190121937 A KR 1020190121937A KR 20190121937 A KR20190121937 A KR 20190121937A KR 20200039579 A KR20200039579 A KR 20200039579A
Authority
KR
South Korea
Prior art keywords
heater
temperature
plasma
mounting table
change
Prior art date
Application number
KR1020190121937A
Other languages
Korean (ko)
Inventor
신스케 오카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200039579A publication Critical patent/KR20200039579A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Abstract

An objective of the present invention is to detect occurrence of an abnormality without disposing a sensor. A plasma processing device has a storage unit, a second acquisition unit, and a monitoring unit. The storage unit stores change information indicating a change in a value with respect to the temperature of a mounting table when processing conditions of plasma processing for a wafer mounted on the mounting table have changed. The second acquisition unit acquires a value related to the temperature of the mounting table in a predetermined cycle. Based on the change information, the monitoring unit monitors a change in the processing conditions of the plasma processing from the change in the value with respect to the temperature of the mounting table acquired by the second acquisition unit.

Description

플라즈마 처리 장치, 감시 방법 및 감시 프로그램{PLASMA PROCESSING APPARATUS, MONITORING METHOD, AND MONITORING PROGRAM}Plasma processing equipment, monitoring method and monitoring program {PLASMA PROCESSING APPARATUS, MONITORING METHOD, AND MONITORING PROGRAM}

본 개시는, 플라즈마 처리 장치, 감시 방법 및 감시 프로그램에 관한 것이다.The present disclosure relates to a plasma processing apparatus, a monitoring method, and a monitoring program.

특허문헌 1에는, 고주파 전원으로부터 정합기를 거쳐서 처리실에 공급되는 고주파 전력의 정합기에서의 입력 전력의 값과 전력 설정치의 차이를 구하고, 정합기의 입력 전력의 값이 전력 설정치가 되도록 고주파 전원의 출력 전력을 제어하는 기술이 제안되어 있다.In Patent Document 1, the difference between the input power value and the power set value in the matcher of the high frequency power supplied from the high frequency power supply through the matcher to the processing chamber is determined, and the output of the high frequency power source so that the value of the input power of the matcher becomes the power set value. A technique for controlling power has been proposed.

특허문헌 1 : 일본 특허 공개 2008-251462호 공보Patent Document 1: Japanese Patent Publication No. 2008-251462

본 개시는, 센서를 배치하는 일 없이 이상의 발생을 검출할 수 있는 기술을 제공한다.The present disclosure provides a technique capable of detecting occurrence of an abnormality without placing a sensor.

본 개시의 일 태양에 따른 플라즈마 처리 장치는, 기억부와, 취득부와, 감시부를 갖는다. 기억부는, 탑재대에 탑재된 피처리체에 대한 플라즈마 처리의 처리 조건이 변화한 경우의 탑재대의 온도에 관한 값의 변화를 나타낸 변화 정보를 기억한다. 취득부는, 탑재대의 온도에 관한 값을 소정의 사이클로 취득한다. 감시부는, 변화 정보에 근거하여, 취득부에 의해 취득되는 탑재대의 온도에 관한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시한다.The plasma processing apparatus according to an aspect of the present disclosure includes a storage unit, an acquisition unit, and a monitoring unit. The storage unit stores change information indicating a change in the value of the temperature of the mounting table when the processing conditions of the plasma processing for the object to be mounted on the mounting table change. The acquisition unit acquires a value relating to the temperature of the mounting table in a predetermined cycle. Based on the change information, the monitoring unit monitors the change in the processing conditions of the plasma processing from the change in the value of the mounting table temperature acquired by the acquisition unit.

본 개시에 따르면, 센서를 배치하는 일 없이 이상의 발생을 검출할 수 있다.According to the present disclosure, the occurrence of an abnormality can be detected without placing a sensor.

도 1은 실시 형태와 관련되는 플라즈마 처리 장치를 개략적으로 나타내는 도면이다.
도 2는 실시 형태와 관련되는 탑재대를 나타내는 평면도이다.
도 3은 실시 형태와 관련되는 플라즈마 처리 장치를 제어하는 제어부의 개략적인 구성을 나타낸 블록도이다.
도 4는 웨이퍼의 온도에 영향을 주는 에너지의 흐름을 모식적으로 나타내는 도면이다.
도 5a는 미점화 상태의 에너지의 흐름을 모식적으로 나타내는 도면이다.
도 5b는 점화 상태의 에너지의 흐름을 모식적으로 나타내는 도면이다.
도 6은 웨이퍼의 온도와 히터로의 공급 전력의 변화의 일례를 나타내는 도면이다.
도 7은 점화 상태의 에너지의 흐름을 모식적으로 나타내는 도면이다.
도 8a는 상부 전극, 퇴적물 실드의 온도가 변화한 경우의 히터로부터의 발열량의 변화의 일례를 나타낸 도면이다.
도 8b는 제 1 고주파 전력, 제 2 고주파 전력이 변화한 경우의 히터로부터의 발열량의 변화의 일례를 나타낸 도면이다.
도 8c는 처리 용기 내의 압력이 변화한 경우의 히터로부터의 발열량의 변화의 일례를 나타낸 도면이다.
도 8d는 전열 가스의 압력, 웨이퍼 W의 이면 막 두께가 변화한 경우의 열저항의 변화의 일례를 나타낸 도면이다.
도 9는 실시 형태와 관련되는 생성 처리의 흐름의 일례를 나타내는 플로차트이다.
도 10은 실시 형태와 관련되는 감시 처리의 흐름의 일례를 나타내는 플로차트이다.
도 11a는 다른 실시 형태와 관련되는 탑재대를 나타내는 평면도이다.
도 11b는 다른 실시 형태와 관련되는 탑재대를 나타내는 평면도이다.
도 11c는 다른 실시 형태와 관련되는 탑재대를 나타내는 평면도이다.
1 is a diagram schematically showing a plasma processing apparatus according to an embodiment.
2 is a plan view showing a mounting table according to the embodiment.
3 is a block diagram showing a schematic configuration of a control unit for controlling the plasma processing apparatus according to the embodiment.
4 is a diagram schematically showing the flow of energy affecting the temperature of the wafer.
5A is a diagram schematically showing the flow of energy in an unignited state.
5B is a diagram schematically showing the flow of energy in an ignition state.
6 is a view showing an example of changes in the temperature of the wafer and the power supplied to the heater.
7 is a diagram schematically showing the flow of energy in an ignition state.
8A is a view showing an example of a change in the amount of heat generated from the heater when the temperature of the upper electrode and the sediment shield is changed.
8B is a view showing an example of a change in the amount of heat generated from the heater when the first high frequency power and the second high frequency power are changed.
8C is a view showing an example of a change in the amount of heat generated from the heater when the pressure in the processing container changes.
8D is a view showing an example of a change in thermal resistance when the pressure of the heat transfer gas and the film thickness of the back surface of the wafer W are changed.
9 is a flowchart showing an example of the flow of generation processing according to the embodiment.
10 is a flowchart showing an example of the flow of the monitoring process according to the embodiment.
11A is a plan view showing a mounting table according to another embodiment.
11B is a plan view showing a mounting table according to another embodiment.
11C is a plan view showing a mounting table according to another embodiment.

이하, 도면을 참조하여 본원이 개시하는 플라즈마 처리 장치, 감시 방법 및 감시 프로그램의 실시 형태에 대하여 상세하게 설명한다. 본 개시에 있어서는, 플라즈마 처리 장치의 구체적인 예로서, 플라즈마 에칭을 행하는 장치를 예로 들어 상세하게 설명한다. 또, 본 실시 형태에 의해, 개시하는 플라즈마 처리 장치, 감시 방법 및 감시 프로그램이 한정되는 것이 아니다.Hereinafter, embodiments of the plasma processing apparatus, monitoring method, and monitoring program disclosed herein will be described in detail with reference to the drawings. In the present disclosure, as a specific example of the plasma processing apparatus, an apparatus for performing plasma etching will be described in detail as an example. Moreover, the plasma processing apparatus, monitoring method, and monitoring program to be disclosed are not limited by this embodiment.

그런데, 예컨대, 플라즈마 처리 장치에는, 처리 용기 내에 각종 프로브나 각종 전기 센서 등의 센서를 배치하여 센서에서 플라즈마의 상태를 검출하고, 플라즈마의 상태의 변화로부터 이상의 발생을 검출하는 것이 있다. 그러나, 플라즈마 처리 장치는, 처리 용기 내에 센서를 배치하면, 제조 비용이 상승한다. 또한, 플라즈마 처리 장치는, 처리 용기 내에 센서를 배치하면, 센서가 특이점이 되고, 특이점의 주위에서 플라즈마 처리의 균일성이 저하된다. 그래서, 플라즈마 처리 장치에서는, 센서를 배치하는 일 없이 이상의 발생을 검출하는 것이 기대되고 있다.By the way, for example, in a plasma processing apparatus, there are those in which a sensor such as various probes or various electrical sensors is disposed in a processing container to detect the state of the plasma in the sensor, and to detect the occurrence of abnormality from the change in the state of the plasma. However, in the plasma processing apparatus, if the sensor is placed in the processing container, the manufacturing cost increases. In addition, in the plasma processing apparatus, when the sensor is placed in the processing container, the sensor becomes a singular point, and the uniformity of the plasma treatment decreases around the singular point. Therefore, in the plasma processing apparatus, it is expected to detect an occurrence of an abnormality without arranging a sensor.

[플라즈마 처리 장치의 구성][Configuration of plasma processing device]

먼저, 실시 형태와 관련되는 플라즈마 처리 장치(10)의 구성에 대하여 설명한다. 도 1은 실시 형태와 관련되는 플라즈마 처리 장치를 개략적으로 나타내는 도면이다. 도 1에는, 실시 형태와 관련되는 플라즈마 처리 장치(10)의 종단면에 있어서의 구조가 개략적으로 나타나 있다. 도 1에 나타내는 플라즈마 처리 장치(10)는, 용량 결합형 평행 평판 플라즈마 에칭 장치이다. 플라즈마 처리 장치(10)는, 대략 원통 형상의 처리 용기(12)를 구비하고 있다. 처리 용기(12)는, 예컨대, 알루미늄으로 구성되어 있다. 또한, 처리 용기(12)의 표면은, 양극 산화 처리가 실시되어 있다.First, the configuration of the plasma processing apparatus 10 according to the embodiment will be described. 1 is a diagram schematically showing a plasma processing apparatus according to an embodiment. 1, the structure in the longitudinal section of the plasma processing apparatus 10 which concerns on embodiment is shown schematically. The plasma processing apparatus 10 shown in FIG. 1 is a capacitively coupled parallel plate plasma etching apparatus. The plasma processing apparatus 10 is provided with a substantially cylindrical processing container 12. The processing container 12 is made of, for example, aluminum. In addition, the surface of the processing container 12 is subjected to anodizing treatment.

처리 용기(12) 내에는, 탑재대(16)가 마련되어 있다. 탑재대(16)는, 정전 척(18) 및 기대(20)를 갖는다. 정전 척(18)의 상면은, 플라즈마 처리의 대상이 되는 피처리체가 탑재되는 탑재면으로 되어 있다. 본 실시 형태에서는, 피처리체로서 웨이퍼 W가 정전 척(18)의 상면에 탑재된다. 기대(20)는, 대략 원반 형상을 갖고 있고, 주요 부분이, 예컨대 알루미늄이라고 하는 도전성의 금속에 의해 구성되어 있다. 기대(20)는, 하부 전극을 구성하고 있다. 기대(20)는, 지지부(14)에 의해 지지되어 있다. 지지부(14)는, 처리 용기(12)의 저부로부터 연장되는 원통 형상의 부재이다.In the processing container 12, a mounting table 16 is provided. The mounting table 16 has an electrostatic chuck 18 and a base 20. The upper surface of the electrostatic chuck 18 is a mounting surface on which an object to be treated for plasma treatment is mounted. In the present embodiment, the wafer W is mounted on the upper surface of the electrostatic chuck 18 as an object to be processed. The base 20 has a substantially disc shape, and the main part is made of, for example, a conductive metal called aluminum. The base 20 constitutes a lower electrode. The base 20 is supported by the support 14. The support portion 14 is a cylindrical member extending from the bottom of the processing container 12.

기대(20)에는, 정합기 MU1을 거쳐서 제 1 고주파 전원 HFS가 전기적으로 접속되어 있다. 제 1 고주파 전원 HFS는, 플라즈마 생성용의 고주파 전력을 발생시키는 전원이고, 27~100㎒의 주파수, 일례에 있어서는 40㎒의 고주파 전력을 발생시킨다. 이것에 의해, 기대(20) 바로 위에 플라즈마가 생성된다. 정합기 MU1은, 제 1 고주파 전원 HFS의 출력 임피던스와 부하 측(기대(20) 측)의 입력 임피던스를 정합시키기 위한 회로를 갖는다.The first high frequency power supply HFS is electrically connected to the base 20 via the matching unit MU1. The first high frequency power source HFS is a power source that generates high frequency power for plasma generation, and generates a high frequency power of 27 to 100 MHz, in one example, 40 MHz. As a result, a plasma is generated just above the base 20. The matcher MU1 has a circuit for matching the output impedance of the first high-frequency power supply HFS and the input impedance of the load side (base 20 side).

또한, 기대(20)에는, 정합기 MU2를 거쳐서 제 2 고주파 전원 LFS가 전기적으로 접속되어 있다. 제 2 고주파 전원 LFS는, 웨이퍼 W에 이온을 끌어들이기 위한 고주파 전력(고주파 바이어스 전력)을 발생시키고, 그 고주파 바이어스 전력을 기대(20)에 공급한다. 이것에 의해, 기대(20)에 바이어스 전위가 생긴다. 고주파 바이어스 전력의 주파수는, 400㎑~13.56㎒의 범위 내의 주파수이고, 일례에 있어서는 3㎒이다. 정합기 MU2는, 제 2 고주파 전원 LFS의 출력 임피던스와 부하 측(기대(20) 측)의 입력 임피던스를 정합시키기 위한 회로를 갖는다.In addition, the second high frequency power supply LFS is electrically connected to the base 20 via the matching unit MU2. The second high frequency power supply LFS generates high frequency power (high frequency bias power) for drawing ions into the wafer W, and supplies the high frequency bias power to the base 20. Thereby, a bias potential is generated in the base 20. The frequency of the high-frequency bias power is a frequency in the range of 400 Hz to 13.56 MHz, and in one example, 3 MHz. The matching device MU2 has a circuit for matching the output impedance of the second high-frequency power supply LFS and the input impedance of the load side (base 20 side).

기대(20) 상에는, 정전 척(18)이 마련되어 있다. 정전 척(18)은, 쿨롱력 등의 정전력에 의해 웨이퍼 W를 흡착하여, 그 웨이퍼 W를 유지한다. 정전 척(18)은, 세라믹제의 본체부 내에 정전 흡착용의 전극 E1이 마련되어 있다. 전극 E1에는, 스위치 SW1을 거쳐서 직류 전원(22)이 전기적으로 접속되어 있다. 웨이퍼 W를 유지하는 흡착력은, 직류 전원(22)으로부터 인가되는 직류 전압의 값에 의존한다.On the base 20, an electrostatic chuck 18 is provided. The electrostatic chuck 18 adsorbs the wafer W by a constant electric power such as Coulomb force, and holds the wafer W. The electrostatic chuck 18 is provided with an electrode E1 for electrostatic adsorption in a body made of ceramic. The direct current power source 22 is electrically connected to the electrode E1 via the switch SW1. The adsorption force to hold the wafer W depends on the value of the DC voltage applied from the DC power supply 22.

또한, 정전 척(18) 상의 웨이퍼 W의 주위에는, 포커스 링 FR이 배치된다. 포커스 링 FR은, 플라즈마 처리의 균일성을 향상시키기 위해 마련되어 있다. 포커스 링 FR은, 실행해야 할 플라즈마 처리에 따라 적당히 선택되는 재료로 구성되어 있다. 예컨대, 포커스 링 FR은, 실리콘, 또는 석영에 의해 구성된다.Further, a focus ring FR is disposed around the wafer W on the electrostatic chuck 18. The focus ring FR is provided to improve the uniformity of the plasma treatment. The focus ring FR is made of a material appropriately selected according to the plasma treatment to be performed. For example, the focus ring FR is made of silicon or quartz.

기대(20)의 내부에는, 냉매 유로(24)가 형성되어 있다. 냉매 유로(24)에는, 처리 용기(12)의 외부에 마련된 칠러 유닛으로부터 배관(26a)을 거쳐서 냉매가 공급된다. 냉매 유로(24)에 공급된 냉매는, 배관(26b)을 거쳐서 칠러 유닛에 돌아간다.Inside the base 20, a refrigerant flow passage 24 is formed. The refrigerant flow path 24 is supplied with a refrigerant through a pipe 26a from a chiller unit provided outside the processing container 12. The refrigerant supplied to the refrigerant passage 24 is returned to the chiller unit via the pipe 26b.

처리 용기(12) 내에는, 상부 전극(30)이 마련되어 있다. 상부 전극(30)은, 탑재대(16)의 위쪽에 있어서, 기대(20)와 대향 배치되어 있다. 기대(20)와 상부 전극(30)은, 서로 대략 평행하게 마련되어 있다.In the processing container 12, an upper electrode 30 is provided. The upper electrode 30 is disposed above the mounting table 16 and opposite the base 20. The base 20 and the upper electrode 30 are provided substantially parallel to each other.

상부 전극(30)은, 절연성 차폐 부재(32)를 통해서, 처리 용기(12)의 상부에 지지되어 있다. 상부 전극(30)은, 전극판(34)과 전극 지지체(36)를 갖는다. 전극판(34)은, 처리 공간 S에 면하고 있고, 복수의 가스 토출 구멍(34a)이 형성되어 있다. 전극판(34)은, 줄 열(Joule heat)이 적은 저저항의 도전체 또는 반도체에 의해 구성되어 있다. 상부 전극(30)은, 온도의 제어가 가능하게 되어 있다. 예컨대, 상부 전극(30)은, 도시하지 않는 히터 등의 온도 조절 기구가 마련되고, 온도의 제어가 가능하게 되어 있다.The upper electrode 30 is supported on the upper portion of the processing container 12 through the insulating shield member 32. The upper electrode 30 has an electrode plate 34 and an electrode support 36. The electrode plate 34 faces the processing space S, and a plurality of gas discharge holes 34a are formed. The electrode plate 34 is made of a low-resistance conductor or a semiconductor having little Joule heat. The upper electrode 30 can control the temperature. For example, the upper electrode 30 is provided with a temperature control mechanism such as a heater (not shown), and the temperature can be controlled.

전극 지지체(36)는, 전극판(34)을 탈착이 자유롭게 지지한다. 전극 지지체(36)는, 예컨대 알루미늄이라고 하는 도전성 재료에 의해 구성되어 있다. 전극 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 전극 지지체(36)에는, 가스 토출 구멍(34a)에 연통하는 복수의 가스 통류 구멍(36b)이 가스 확산실(36a)로부터 아래쪽으로 연장되어 있다. 또한, 전극 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 이끄는 가스 도입구(36c)가 형성되어 있다. 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.The electrode support (36) supports the electrode plate (34) detachably. The electrode support 36 is made of, for example, a conductive material called aluminum. A gas diffusion chamber 36a is provided inside the electrode support 36. In the electrode support 36, a plurality of gas flow holes 36b communicating with the gas discharge holes 34a extend downward from the gas diffusion chamber 36a. In addition, the electrode support 36 is formed with a gas introduction port 36c for guiding the process gas to the gas diffusion chamber 36a. The gas supply pipe 38 is connected to the gas introduction port 36c.

가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 거쳐서 가스 소스군(40)이 접속되어 있다. 밸브군(42)은, 복수의 개폐 밸브를 갖는다. 유량 제어기군(44)은, 매스 플로 컨트롤러라고 하는 복수의 유량 제어기를 갖는다. 또한, 가스 소스군(40)은, 플라즈마 처리에 필요한 복수 종류의 가스용의 가스 소스를 갖는다. 가스 소스군(40)의 복수의 가스 소스는, 대응하는 개폐 밸브 및 대응하는 매스 플로 컨트롤러를 거쳐서 가스 공급관(38)에 접속되어 있다.The gas source group 40 is connected to the gas supply pipe 38 via a valve group 42 and a flow rate controller group 44. The valve group 42 has a plurality of on-off valves. The flow controller group 44 has a plurality of flow controllers called mass flow controllers. In addition, the gas source group 40 has a gas source for a plurality of types of gas required for plasma processing. The plurality of gas sources of the gas source group 40 are connected to the gas supply pipe 38 via a corresponding on-off valve and a corresponding mass flow controller.

플라즈마 처리 장치(10)에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 하나 이상의 가스 소스로부터의 하나 이상의 가스가, 가스 공급관(38)에 공급된다. 가스 공급관(38)에 공급된 가스는, 가스 확산실(36a)에 도달하고, 가스 통류 구멍(36b) 및 가스 토출 구멍(34a)을 통해서 처리 공간 S에 토출된다.In the plasma processing apparatus 10, one or more gases from one or more selected gas sources among a plurality of gas sources of the gas source group 40 are supplied to the gas supply pipe 38. The gas supplied to the gas supply pipe 38 reaches the gas diffusion chamber 36a and is discharged to the processing space S through the gas flow-through hole 36b and the gas discharge hole 34a.

또한, 도 1에 나타내는 바와 같이, 플라즈마 처리 장치(10)는, 접지 도체(12a)를 더 갖는다. 접지 도체(12a)는, 대략 원통 형상의 접지 도체이고, 처리 용기(12)의 측벽으로부터 상부 전극(30)의 높이 위치보다 위쪽으로 연장되도록 마련되어 있다.Moreover, as shown in FIG. 1, the plasma processing apparatus 10 further has a grounding conductor 12a. The grounding conductor 12a is a substantially cylindrical grounding conductor, and is provided so as to extend above the height position of the upper electrode 30 from the side wall of the processing container 12.

또한, 플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라 퇴적물 실드(46)가 탈착이 자유롭게 마련되어 있다. 또한, 퇴적물 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 퇴적물 실드(46)는, 처리 용기(12)에 에칭 부생물(퇴적물)이 부착되는 것을 방지하는 것이고, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성되어 있다. 퇴적물 실드(46)는, 온도의 제어가 가능하게 되어 있다. 예컨대, 퇴적물 실드(46)는, 도시하지 않는 히터 등의 온도 조절 기구가 마련되고, 온도의 제어가 가능하게 되어 있다.In addition, in the plasma processing apparatus 10, the sediment shield 46 is detachably provided along the inner wall of the processing container 12. In addition, the sediment shield 46 is also provided on the outer periphery of the support portion 14. The sediment shield 46 prevents etching by-products (sediments) from adhering to the processing container 12, and is constructed by coating ceramics such as Y2O3 with aluminum materials. The sediment shield 46 can control the temperature. For example, the sediment shield 46 is provided with a temperature control mechanism such as a heater (not shown), and the temperature can be controlled.

처리 용기(12)의 저부 측에 있어서는, 지지부(14)와 처리 용기(12)의 내벽의 사이에 배기 플레이트(48)가 마련되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성되어 있다. 처리 용기(12)는, 배기 플레이트(48)의 아래쪽에 배기구(12e)가 마련되어 있다. 배기구(12e)에는, 배기관(52)을 거쳐서 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 갖는다. 배기 장치(50)는, 플라즈마 처리를 실시할 때, 처리 용기(12) 내를 소망하는 진공도까지 감압한다. 또한, 처리 용기(12)의 측벽에는, 웨이퍼 W의 반입출구(12g)가 마련되어 있다. 반입출구(12g)는, 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.On the bottom side of the processing container 12, an exhaust plate 48 is provided between the support 14 and the inner wall of the processing container 12. The exhaust plate 48 is constituted by, for example, covering an aluminum material with ceramics such as Y2O3. The processing container 12 is provided with an exhaust port 12e below the exhaust plate 48. The exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52. The exhaust device 50 has a vacuum pump such as a turbo molecular pump. When performing the plasma treatment, the exhaust device 50 decompresses the inside of the processing container 12 to a desired degree of vacuum. In addition, on the sidewall of the processing container 12, a carry-in / out port 12g of the wafer W is provided. The carry-in / out port 12g can be opened and closed by the gate valve 54.

상기와 같이 구성된 플라즈마 처리 장치(10)는, 제어부(100)에 의해, 그 동작이 통괄적으로 제어된다. 제어부(100)는, 예컨대, 컴퓨터이고, 플라즈마 처리 장치(10)의 각 부를 제어한다. 플라즈마 처리 장치(10)는, 제어부(100)에 의해, 동작이 통괄적으로 제어된다.The operation of the plasma processing apparatus 10 configured as described above is generally controlled by the control unit 100. The control unit 100 is, for example, a computer and controls each unit of the plasma processing apparatus 10. The operation of the plasma processing apparatus 10 is generally controlled by the control unit 100.

[탑재대의 구성][Composition of mounting table]

다음으로, 탑재대(16)에 대하여 상세하게 설명한다. 도 2는 실시 형태와 관련되는 탑재대를 나타내는 평면도이다. 상술한 바와 같이, 탑재대(16)는, 정전 척(18) 및 기대(20)를 갖는다. 정전 척(18)은, 세라믹으로 형성되고, 상면이, 웨이퍼 W 및 포커스 링 FR을 탑재하는 탑재 영역(18a)으로 되어 있다. 탑재 영역(18a)은, 평면 뷰(view)에 있어서 대략 원형의 영역으로 되어 있다. 도 1에 나타내는 바와 같이, 정전 척(18)은, 웨이퍼 W가 배치되는 영역에 정전 흡착용의 전극 E1이 마련되어 있다. 전극 E1은, 스위치 SW1을 거쳐서 직류 전원(22)에 접속되어 있다.Next, the mounting table 16 will be described in detail. 2 is a plan view showing a mounting table according to the embodiment. As described above, the mounting table 16 has an electrostatic chuck 18 and a base 20. The electrostatic chuck 18 is formed of ceramic, and the upper surface thereof serves as a mounting area 18a on which the wafer W and the focus ring FR are mounted. The mounting area 18a is a substantially circular area in a plan view. 1, the electrostatic chuck 18 is provided with the electrode E1 for electrostatic adsorption in the area | region where the wafer W is arrange | positioned. The electrode E1 is connected to the DC power supply 22 via the switch SW1.

또한, 도 1에 나타내는 바와 같이, 탑재 영역(18a) 내의 전극 E1의 아래쪽에는, 복수의 히터 HT가 마련되어 있다. 탑재 영역(18a)은, 복수의 분할 영역(75)으로 분할되고, 각각의 분할 영역(75)에 히터 HT가 마련되어 있다. 예컨대, 탑재 영역(18a)은, 도 2에 나타내는 바와 같이, 중앙의 원 형상의 분할 영역(75a)(센터 부분) 및 3개의 환상의 분할 영역(75b~75d)(미들 부분, 에지 부분, 포커스 링 부분)으로 분할되어 있다. 분할 영역(75a~75d)에는, 각각 히터 HT가 마련되어 있다. 분할 영역(75a~75c)에는, 웨이퍼 W가 배치된다. 분할 영역(75d)에는, 포커스 링 FR이 배치된다. 본 실시 형태에서는, 탑재대(16)의 면 내를 4개의 분할 영역(75a~75d)으로 나누어 온도 제어하는 경우를 예로 설명하지만, 분할 영역(75)의 수는 4개에 한하지 않고, 2개 또는 3개이더라도 좋고, 5개 이상이더라도 좋다.In addition, as shown in FIG. 1, a plurality of heaters HT are provided below the electrode E1 in the mounting region 18a. The mounting area 18a is divided into a plurality of divided areas 75, and a heater HT is provided in each divided area 75. For example, as shown in Fig. 2, the mounting area 18a has a central circular divided area 75a (center portion) and three annular divided areas 75b to 75d (middle portion, edge portion, focus) Ring part). Heaters HT are provided in the divided regions 75a to 75d, respectively. Wafers W are disposed in the divided regions 75a to 75c. The focus ring FR is disposed in the divided region 75d. In this embodiment, the case where the temperature is controlled by dividing the inside of the mounting table 16 into four divided regions 75a to 75d is described as an example, but the number of divided regions 75 is not limited to four, but two It may be a dog or three, or five or more.

히터 HT는, 도시하지 않는 배선을 거쳐서, 도 1에 나타내는, 히터 전원 HP에 개별적으로 접속되어 있다. 히터 전원 HP는, 제어부(100)로부터 제어의 아래에서, 각 히터 HT에 개별적으로 조정된 전력을 공급한다. 이것에 의해, 각 히터 HT가 발하는 열이 개별적으로 제어되고, 탑재 영역(18a) 내의 복수의 분할 영역의 온도가 개별적으로 조정된다.The heater HT is individually connected to the heater power supply HP shown in Fig. 1 via wiring not shown. The heater power supply HP supplies individually adjusted power to each heater HT under control from the control unit 100. Thereby, the heat generated by each heater HT is individually controlled, and the temperatures of the plurality of divided regions in the mounting region 18a are individually adjusted.

히터 전원 HP에는, 각 히터 HT에 공급하는 공급 전력을 검출하는 전력 검출부 PD가 마련되어 있다. 또, 전력 검출부 PD는, 히터 전원 HP와는 별도로, 히터 전원 HP로부터 각 히터 HT로의 전력이 흐르는 배선에 마련하더라도 좋다. 전력 검출부 PD는, 각 히터 HT에 공급하는 공급 전력을 검출한다. 예컨대, 전력 검출부 PD는, 각 히터 HT에 공급하는 공급 전력으로서, 전력량[W]을 검출한다. 히터 HT는, 전력량에 따라 발열한다. 이 때문에, 히터 HT에 공급하는 전력량은, 히터 파워를 나타낸다. 전력 검출부 PD는, 검출한 각 히터 HT로의 공급 전력을 나타내는 전력 데이터를 제어부(100)에 통지한다.The heater power supply HP is provided with a power detection unit PD that detects the supply power supplied to each heater HT. Moreover, the electric power detection part PD may be provided in the wiring which flows electric power from the heater power supply HP to each heater HT separately from the heater power supply HP. The power detection unit PD detects supply power supplied to each heater HT. For example, the power detection unit PD detects the amount of power [W] as supply power supplied to each heater HT. The heater HT generates heat according to the amount of power. For this reason, the amount of power supplied to the heater HT represents the heater power. The power detection unit PD notifies the control unit 100 of power data indicating the detected power supply to each heater HT.

또한, 탑재대(16)는, 탑재 영역(18a)의 각 분할 영역(75)에, 각각 히터 HT의 온도가 검출 가능한 도시하지 않는 온도 센서가 마련되어 있다. 온도 센서는, 히터 HT와는 별도로 온도를 측정하는 소자이더라도 좋다. 또한, 온도 센서는, 히터 HT로의 전력이 흐르는 배선에 배치되고, 온도 상승에 따라 전기 저항이 증대되는 성질을 이용하여, 온도를 검출하는 소자이더라도 좋다. 각 온도 센서에 의해 검출된 센서값은, 온도 측정기 TD에 보내진다. 온도 측정기 TD는, 각 센서값으로부터 탑재 영역(18a)의 각 분할 영역(75)의 온도를 측정한다. 온도 측정기 TD는, 탑재 영역(18a)의 각 분할 영역(75)의 온도를 나타내는 온도 데이터를 제어부(100)에 통지한다.In addition, the mounting table 16 is provided with a temperature sensor (not shown) capable of detecting the temperature of the heater HT in each of the divided areas 75 of the mounting area 18a. The temperature sensor may be an element that measures temperature separately from the heater HT. Further, the temperature sensor may be an element that is disposed on a wiring through which electric power to the heater HT flows, and detects the temperature by using the property that the electrical resistance increases as the temperature rises. The sensor value detected by each temperature sensor is sent to the temperature meter TD. The temperature measuring device TD measures the temperature of each divided area 75 of the mounting area 18a from each sensor value. The temperature measuring device TD notifies the control unit 100 of temperature data indicating the temperature of each divided area 75 of the mounting area 18a.

또한, 도시하지 않는 전열 가스 공급 기구 및 가스 공급 라인에 의해 전열 가스, 예컨대 He 가스가 정전 척(18)의 상면과 웨이퍼 W의 이면의 사이에 공급되더라도 좋다.Further, a heat transfer gas, for example, He gas, may be supplied between the top surface of the electrostatic chuck 18 and the back surface of the wafer W by a heat supply gas supply mechanism and a gas supply line (not shown).

[제어부의 구성][Configuration of control part]

다음으로, 제어부(100)에 대하여 상세하게 설명한다. 도 3은 실시 형태와 관련되는 플라즈마 처리 장치를 제어하는 제어부의 개략적인 구성을 나타낸 블록도이다. 제어부(100)는, 외부 인터페이스(101)와, 프로세스 컨트롤러(102)와, 유저 인터페이스(103)와, 기억부(104)가 마련되어 있다.Next, the control unit 100 will be described in detail. 3 is a block diagram showing a schematic configuration of a control unit for controlling the plasma processing apparatus according to the embodiment. The control unit 100 is provided with an external interface 101, a process controller 102, a user interface 103, and a storage unit 104.

외부 인터페이스(101)는, 플라즈마 처리 장치(10)의 각 부와 통신 가능하게 되어, 각종 데이터를 입출력한다. 예컨대, 외부 인터페이스(101)에는, 전력 검출부 PD로부터 각 히터 HT로의 공급 전력을 나타내는 전력 데이터가 입력된다. 또한, 외부 인터페이스(101)에는, 온도 측정기 TD로부터 탑재 영역(18a)의 각 분할 영역(75)의 온도를 나타내는 온도 데이터가 입력된다. 또한, 외부 인터페이스(101)는, 각 히터 HT에 공급하는 공급 전력을 제어하는 제어 데이터를 히터 전원 HP에 출력한다.The external interface 101 is able to communicate with each part of the plasma processing apparatus 10, and inputs and outputs various data. For example, power data indicating supply power from the power detection unit PD to each heater HT is input to the external interface 101. In addition, temperature data representing the temperature of each divided area 75 of the mounting area 18a is input to the external interface 101 from the temperature measuring device TD. In addition, the external interface 101 outputs control data for controlling the supply power supplied to each heater HT to the heater power supply HP.

프로세스 컨트롤러(102)는, CPU(Central Processing Unit)를 구비하고 플라즈마 처리 장치(10)의 각 부를 제어한다.The process controller 102 includes a CPU (Central Processing Unit) and controls each part of the plasma processing apparatus 10.

유저 인터페이스(103)는, 공정 관리자가 플라즈마 처리 장치(10)를 관리하기 위해 커맨드의 입력 조작을 행하는 키보드나, 플라즈마 처리 장치(10)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 구성되어 있다.The user interface 103 is composed of a keyboard, through which a process manager inputs commands to manage the plasma processing apparatus 10, a display that visualizes and displays the operation status of the plasma processing apparatus 10, and the like.

기억부(104)에는, 플라즈마 처리 장치(10)에서 실행되는 각종 처리를 프로세스 컨트롤러(102)의 제어로 실현하기 위한 제어 프로그램(소프트웨어)이나, 처리 조건 데이터 등이 기억된 레시피가 저장되어 있다. 또한, 기억부(104)에는, 플라즈마 처리를 행하는데 있어서의 장치나 프로세스에 관한 파라미터 등이 저장되어 있다. 또, 제어 프로그램이나 레시피, 파라미터는, 컴퓨터로 판독 가능한 컴퓨터 기록 매체(예컨대, 하드 디스크, DVD 등의 광 디스크, 플렉서블 디스크, 반도체 메모리 등)에 기억되어 있더라도 좋다. 또한, 제어 프로그램이나 레시피, 파라미터는, 다른 장치에 기억되어, 예컨대 전용 회선을 통해서 온라인으로 읽어내어 이용되더라도 좋다.In the storage unit 104, a control program (software) for realizing various processes executed in the plasma processing apparatus 10 under the control of the process controller 102, and recipes storing processing condition data and the like are stored. In addition, parameters relating to an apparatus and a process for performing plasma processing are stored in the storage unit 104. Further, the control program, recipe, and parameters may be stored in a computer-readable computer-readable medium (for example, an optical disk such as a hard disk or a DVD, a flexible disk, or a semiconductor memory). In addition, the control program, recipe, and parameters may be stored in another device and read and used online through a dedicated line, for example.

프로세스 컨트롤러(102)는, 프로그램이나 데이터를 저장하기 위한 내부 메모리를 갖고, 기억부(104)에 기억된 제어 프로그램을 읽어내고, 읽어낸 제어 프로그램의 처리를 실행한다. 프로세스 컨트롤러(102)는, 제어 프로그램이 동작하는 것에 의해 각종 처리부로서 기능한다. 예컨대, 프로세스 컨트롤러(102)는, 히터 제어부(102a)와, 제 1 취득부(102b)와, 제 2 취득부(102c)와, 설정 온도 산출부(102d)와, 감시부(102e)와, 경보부(102f)와, 보정부(102g)의 기능을 갖는다. 또, 본 실시 형태에서는, 프로세스 컨트롤러(102)가, 각종 처리부로서 기능하는 경우를 예로 설명하지만, 이것으로 한정되는 것이 아니다. 예컨대, 히터 제어부(102a), 제 1 취득부(102b), 제 2 취득부(102c), 설정 온도 산출부(102d), 감시부(102e), 경보부(102f), 보정부(102g)의 기능을 복수의 컨트롤러로 분산하여 실현하더라도 좋다.The process controller 102 has an internal memory for storing a program or data, reads a control program stored in the storage unit 104, and executes processing of the read control program. The process controller 102 functions as various processing units by operating the control program. For example, the process controller 102 includes a heater control unit 102a, a first acquisition unit 102b, a second acquisition unit 102c, a set temperature calculation unit 102d, a monitoring unit 102e, It has the functions of an alarm section 102f and a correction section 102g. Further, in the present embodiment, the case where the process controller 102 functions as various processing units will be described as an example, but is not limited to this. For example, functions of the heater control unit 102a, the first acquisition unit 102b, the second acquisition unit 102c, the set temperature calculation unit 102d, the monitoring unit 102e, the alarm unit 102f, and the correction unit 102g May be realized by dispersing them into a plurality of controllers.

그런데, 플라즈마 처리에서는, 웨이퍼 W의 온도에 따라 처리의 진행이 변화한다. 예컨대, 플라즈마 에칭에서는, 웨이퍼 W의 온도에 따라 에칭의 진행 속도가 변화한다. 그래서, 플라즈마 처리 장치(10)에서는, 각 히터 HT에 의해, 웨이퍼 W의 온도를 목표 온도로 제어하는 것을 생각할 수 있다.However, in the plasma processing, the progress of the processing changes depending on the temperature of the wafer W. For example, in plasma etching, the speed of the etching changes depending on the temperature of the wafer W. Therefore, in the plasma processing apparatus 10, it is conceivable to control the temperature of the wafer W to the target temperature by each heater HT.

그러나, 플라즈마 처리에서는, 플라즈마로부터 웨이퍼 W로 향해 입열(入熱)이 있다. 이 때문에, 플라즈마 처리 장치(10)는, 플라즈마 처리 중의 웨이퍼 W의 온도를 목표 온도로 정밀하게 제어할 수 없는 경우가 있다.However, in the plasma treatment, there is heat input from the plasma toward the wafer W. For this reason, the plasma processing apparatus 10 may not be able to precisely control the temperature of the wafer W during plasma processing to a target temperature.

웨이퍼 W의 온도에 영향을 주는 에너지의 흐름을 설명한다. 도 4는 웨이퍼의 온도에 영향을 주는 에너지의 흐름을 모식적으로 나타내는 도면이다. 도 4에는, 웨이퍼 W나, 정전 척(ESC)(18)을 포함하는 탑재대(16)가 간략화하여 나타나 있다. 도 4의 예는, 정전 척(18)의 탑재 영역(18a)의 하나의 분할 영역(75)에 대하여, 웨이퍼 W의 온도에 영향을 주는 에너지의 흐름을 나타내고 있다. 탑재대(16)는, 정전 척(18) 및 기대(20)를 갖는다. 정전 척(18)과 기대(20)는, 접착층(19)에 의해 접착되어 있다. 정전 척(18)의 탑재 영역(18a)의 내부에는, 히터 HT가 마련되어 있다. 기대(20)의 내부에는, 냉매가 흐르는 냉매 유로(24)가 형성되어 있다.Describe the flow of energy that affects the temperature of the wafer W. 4 is a diagram schematically showing the flow of energy affecting the temperature of the wafer. 4, the wafer W and the mounting table 16 including the electrostatic chuck (ESC) 18 are simplified and shown. The example of FIG. 4 shows the flow of energy affecting the temperature of the wafer W with respect to one divided region 75 of the mounting region 18a of the electrostatic chuck 18. The mounting table 16 has an electrostatic chuck 18 and a base 20. The electrostatic chuck 18 and the base 20 are adhered by an adhesive layer 19. The heater HT is provided inside the mounting area 18a of the electrostatic chuck 18. Inside the base 20, a refrigerant passage 24 through which a refrigerant flows is formed.

히터 HT는, 히터 전원 HP로부터 공급되는 공급 전력에 따라 발열하여, 온도가 상승한다. 도 4에서는, 히터 HT에 공급되는 공급 전력을 히터 파워 Ph로서 나타내고 있다. 히터 HT에서는, 히터 파워 Ph를 정전 척(18)의 히터 HT가 마련되어 있는 영역의 면적 A로 나눈 단위 면적당의 발열량(열 유속) qh가 생긴다.The heater HT generates heat according to the supplied power supplied from the heater power source HP, and the temperature rises. In Fig. 4, the supply power supplied to the heater HT is shown as the heater power Ph. In the heater HT, the heat generation amount (heat flow rate) qh per unit area is generated by dividing the heater power Ph by the area A of the area where the heater HT of the electrostatic chuck 18 is provided.

플라즈마 처리 장치(10)에서는, 상부 전극(30)이나 퇴적물 실드(46) 등의 처리 용기(12)의 내부 파츠의 온도를 제어하고 있는 경우, 내부 파츠로부터 복사열이 발생한다. 예컨대, 상부 전극(30)이나 퇴적물 실드(46)의 온도를 퇴적물의 부착을 억제하기 위해 고온으로 제어하고 있는 경우, 웨이퍼 W에는, 상부 전극(30)이나 퇴적물 실드(46)로부터 복사열이 입열한다. 도 4에서는, 상부 전극(30)이나 퇴적물 실드(46)로부터 웨이퍼 W로의 복사열 qr로서 나타내고 있다.In the plasma processing apparatus 10, when the temperature of the internal parts of the processing container 12, such as the upper electrode 30 or the sediment shield 46, is controlled, radiant heat is generated from the internal parts. For example, when the temperature of the upper electrode 30 or the sediment shield 46 is controlled at a high temperature to suppress the adhesion of the sediment, radiant heat is input from the upper electrode 30 or the sediment shield 46 to the wafer W. . In FIG. 4, it is shown as the radiant heat qr from the upper electrode 30 or the sediment shield 46 to the wafer W.

또한, 플라즈마 처리를 행하고 있는 경우, 웨이퍼 W는, 플라즈마로부터 입열한다. 도 4에서는, 플라즈마로부터 웨이퍼 W로의 입열량을 웨이퍼 W의 면적으로 나눈 단위 면적당의 플라즈마로부터의 열 유속 qp로서 나타내고 있다. 웨이퍼 W는, 플라즈마로부터의 열 유속 qp의 입열이나 복사열 qr의 입열에 의해, 온도가 상승한다.In addition, when plasma processing is performed, the wafer W is inputted from the plasma. In Fig. 4, the amount of heat input from the plasma to the wafer W is shown as the heat flux qp from the plasma per unit area divided by the area of the wafer W. The temperature of the wafer W rises due to the heat input from the plasma at the heat flux qp or the heat input at the radiation heat qr.

복사열에 의한 입열은, 처리 용기(12)의 내부 파츠의 온도에 비례한다. 예컨대, 복사열에 의한 입열은, 상부 전극(30)이나 퇴적물 실드(46)의 온도에 비례한다. 플라즈마로부터의 입열은, 주로 웨이퍼 W에 조사되는 플라즈마 중의 이온의 양과, 플라즈마 중의 이온을 웨이퍼 W에 끌어들이기 위한 바이어스 전위의 곱에 비례하는 것이 알려져 있다. 웨이퍼 W에 조사되는 플라즈마 중의 이온의 양은, 플라즈마의 전자 밀도에 비례한다. 플라즈마의 전자 밀도는, 플라즈마의 생성에서 인가하는 제 1 고주파 전원 HFS로부터의 제 1 고주파 전력의 파워에 비례한다. 또한, 플라즈마의 전자 밀도는, 처리 용기(12) 내의 압력에 의존한다. 플라즈마 중의 이온을 웨이퍼 W에 끌어들이기 위한 바이어스 전위는, 바이어스 전위의 발생에서 인가하는 제 2 고주파 전원 LFS로부터의 제 2 고주파 전력의 파워에 비례한다. 또한, 플라즈마 중의 이온을 웨이퍼 W에 끌어들이기 위한 바이어스 전위는, 처리 용기(12) 내의 압력에 의존한다. 또, 제 2 고주파 전력이 탑재대(16)에 인가되고 있지 않은 경우, 플라즈마가 생성되었을 때에 생기는 플라즈마의 전위(플라즈마 포텐셜)와 탑재대(16)의 전위차에 의해, 이온이 탑재대에 끌어들여진다.The heat input by radiant heat is proportional to the temperature of the internal parts of the processing container 12. For example, heat input by radiant heat is proportional to the temperature of the upper electrode 30 or the sediment shield 46. It is known that the heat input from the plasma is proportional to the product of the amount of ions in the plasma mainly irradiated to the wafer W and the bias potential for drawing the ions in the plasma to the wafer W. The amount of ions in the plasma irradiated onto the wafer W is proportional to the electron density of the plasma. The electron density of the plasma is proportional to the power of the first high frequency power from the first high frequency power supply HFS applied in the generation of plasma. In addition, the electron density of the plasma depends on the pressure in the processing container 12. The bias potential for attracting ions in the plasma to the wafer W is proportional to the power of the second high frequency power from the second high frequency power supply LFS applied at the generation of the bias potential. In addition, the bias potential for drawing ions in the plasma to the wafer W depends on the pressure in the processing container 12. In addition, when the second high frequency electric power is not applied to the mounting table 16, ions are attracted to the mounting table by the potential difference between the plasma potential (plasma potential) and the mounting table 16 generated when plasma is generated. .

또한, 플라즈마로부터의 입열은, 플라즈마의 발광에 의한 가열이나 플라즈마 중의 전자나 라디칼에 의한 웨이퍼 W로의 조사, 이온과 라디칼에 의한 웨이퍼 W 상의 표면 반응 등이 포함된다. 이들 성분도 고주파 전원의 파워나 처리 용기(12) 내의 압력에 의존한다. 플라즈마로부터의 입열은, 그 외, 플라즈마 생성에 관련되는 장치 파라미터, 예컨대, 탑재대(16)와 상부 전극(30)의 간격 거리나 처리 공간 S에 공급되는 가스 종류에 의존한다.In addition, the heat input from the plasma includes heating by the emission of plasma, irradiation of the wafer W with electrons or radicals in the plasma, and surface reaction on the wafer W with ions and radicals. These components also depend on the power of the high frequency power supply or the pressure in the processing container 12. The heat input from the plasma depends on other device parameters related to plasma generation, for example, the distance between the mounting table 16 and the upper electrode 30 or the type of gas supplied to the processing space S.

웨이퍼 W에 전해진 열은, 정전 척(18)에 전해진다. 여기서, 정전 척(18)에는, 웨이퍼 W의 열이 모두 전해지는 것이 아니고, 웨이퍼 W와 정전 척(18)의 접촉 정도 등, 열의 전해지기 어려움에 따라 정전 척(18)에 열이 전해진다. 열의 전해지기 어려움, 즉 열저항은, 열의 전열 방향에 대한 단면적에 반비례한다. 이 때문에, 도 4에서는, 웨이퍼 W로부터 정전 척(18)의 표면으로의 열의 전해지기 어려움을, 웨이퍼 W와 정전 척(18)의 표면 사이의 단위 면적당의 열저항 RthㆍA로서 나타내고 있다. 또, A는, 히터 HT가 마련되어 있는 영역(분할 영역(75))의 면적이다. Rth는, 히터 HT가 마련되어 있는 영역 전체에 있어서의 열저항이다. 또한, 도 4에서는, 웨이퍼 W로부터 정전 척(18) 표면으로의 입열량을, 웨이퍼 W로부터 정전 척(18) 표면으로의 단위 면적당의 열 유속 q로서 나타내고 있다. 또, 열저항 RthㆍA는, 정전 척(18)의 표면 상태, 웨이퍼 W의 유지에서 직류 전원(22)으로부터 인가되는 직류 전압의 값, 및 정전 척(18)의 상면과 웨이퍼 W의 이면의 사이에 공급되는 전열 가스의 압력에 의존한다. 또한, 열저항 RthㆍA는, 그 외, 열저항 또는 열전도율에 관여하는 장치 파라미터에도 의존한다.The heat transferred to the wafer W is transferred to the electrostatic chuck 18. Here, not all of the heat of the wafer W is transferred to the electrostatic chuck 18, but heat is transferred to the electrostatic chuck 18 according to the difficulty of heat transfer, such as the degree of contact between the wafer W and the electrostatic chuck 18. Difficult to transfer heat, that is, heat resistance, is inversely proportional to the cross-sectional area of the heat in the heat transfer direction. For this reason, in FIG. 4, the difficulty of transferring heat from the wafer W to the surface of the electrostatic chuck 18 is shown as the thermal resistance Rth · A per unit area between the wafer W and the surface of the electrostatic chuck 18. In addition, A is the area of the area where the heater HT is provided (divided area 75). Rth is the thermal resistance in the entire area where the heater HT is provided. In addition, in FIG. 4, the amount of heat input from the wafer W to the surface of the electrostatic chuck 18 is shown as the heat flux q per unit area from the wafer W to the surface of the electrostatic chuck 18. In addition, the thermal resistance Rth · A is the surface state of the electrostatic chuck 18, the value of the DC voltage applied from the DC power supply 22 when holding the wafer W, and the top surface of the electrostatic chuck 18 and the back surface of the wafer W. It depends on the pressure of the heat transfer gas supplied between. In addition, the thermal resistance Rth · A also depends on device parameters related to thermal resistance or thermal conductivity.

정전 척(18)의 표면에 전해진 열은, 정전 척(18)의 온도를 상승시키고, 또한, 히터 HT에 전해진다. 도 4에서는, 정전 척(18) 표면으로부터 히터 HT로의 입열량을, 정전 척(18) 표면으로부터 히터 HT로의 단위 면적당의 열 유속 qc로서 나타내고 있다.The heat transmitted to the surface of the electrostatic chuck 18 raises the temperature of the electrostatic chuck 18 and is also transmitted to the heater HT. In FIG. 4, the amount of heat input from the surface of the electrostatic chuck 18 to the heater HT is shown as the heat flux qc per unit area from the surface of the electrostatic chuck 18 to the heater HT.

한편, 기대(20)는, 냉매 유로(24)에 흐르는 냉매에 의해 냉각되고, 접촉하는 정전 척(18)을 냉각한다. 이때, 도 4에서는, 접착층(19)을 통과하여 정전 척(18)의 이면으로부터 기대(20)로의 열손실량을, 정전 척(18)의 이면으로부터 기대(20)로의 단위 면적당의 열 유속 qsus로서 나타내고 있다. 이것에 의해, 히터 HT는, 열손실에 의해 냉각되어, 온도가 저하된다.On the other hand, the base 20 is cooled by the refrigerant flowing in the refrigerant passage 24, and cools the electrostatic chuck 18 in contact. At this time, in FIG. 4, the amount of heat loss from the back surface of the electrostatic chuck 18 to the base 20 through the adhesive layer 19 is represented as the heat flux qsus per unit area from the back surface of the electrostatic chuck 18 to the base 20. Is showing. Thereby, the heater HT is cooled by heat loss, and the temperature is lowered.

히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT의 위치에 있어서, 히터 HT에 입열하는 열량 및 히터 HT에서 발생하는 발열량의 총합과, 히터 HT로부터 손실되는 열손실량이 동일한 상태가 된다. 예컨대, 플라즈마를 점화하고 있지 않은 미점화 상태에서는, 복사열 qr의 열량 및 히터 HT에서 발생하는 발열량의 총합과, 히터 HT로부터 손실되는 열손실량이 동일한 상태가 된다. 도 5a는 미점화 상태의 에너지의 흐름을 모식적으로 나타내는 도면이다. 도 5a의 예에서는, 기대(20)로부터 냉각에 의해, 히터 HT로부터 "100"의 열량이 손실되고 있다. 웨이퍼 W에는, 복사열에 의해 "1"의 열량이 전해진다. 웨이퍼 W나 정전 척(18)의 온도가 대략 일정하게 안정된 상태인 경우, 웨이퍼 W에 전해진 열은, 정전 척(18)에 그대로 전해진다. 웨이퍼 W에 입열한 "1"의 열량은, 정전 척(18)을 거쳐서, 히터 HT에 입열한다. 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT에는, 히터 전원 HP로부터 히터 파워 Ph에 의해 "99"의 열량이 발생한다.When the temperature of the heater HT is controlled to be constant, in the position of the heater HT, the sum of the amount of heat input to the heater HT and the amount of heat generated by the heater HT and the amount of heat loss lost from the heater HT are the same. For example, in an unignited state in which the plasma is not ignited, the total amount of heat of the radiant heat qr and the amount of heat generated by the heater HT is equal to the amount of heat lost from the heater HT. 5A is a diagram schematically showing the flow of energy in an unignited state. In the example of Fig. 5A, the amount of heat of "100" is lost from the heater HT by cooling from the base 20. The amount of heat of "1" is transmitted to the wafer W by radiant heat. When the temperature of the wafer W or the electrostatic chuck 18 is in a substantially stable state, the heat transferred to the wafer W is transferred to the electrostatic chuck 18 as it is. The amount of heat of "1" inputted to the wafer W is inputted to the heater HT via the electrostatic chuck 18. When the temperature of the heater HT is controlled to be constant, a heat amount of "99" is generated in the heater HT by the heater power Ph from the heater power HP.

한편, 예컨대, 플라즈마를 점화한 점화 상태에서는, 히터 HT에는, 정전 척(18)을 거쳐서, 플라즈마로부터도 입열한다. 도 5b는 점화 상태의 에너지의 흐름을 모식적으로 나타내는 도면이다. 여기서, 점화 상태에는, 과도 상태와 정상(定常) 상태가 있다. 과도 상태는, 예컨대, 웨이퍼 W나 정전 척(18)에 대한 입열량이 열손실량보다 많아, 웨이퍼 W나 정전 척(18)의 온도가 시간에 따라 상승 경향이 되는 상태이다. 정상 상태는, 웨이퍼 W나 정전 척(18)의 입열량과 열손실량이 동일하게 되어, 웨이퍼 W나 정전 척(18)의 온도에 시간에 따른 상승 경향이 없어지고, 온도가 대략 일정하게 된 상태이다.On the other hand, for example, in the ignition state in which the plasma is ignited, the heater HT is also heated from the plasma via the electrostatic chuck 18. 5B is a diagram schematically showing the flow of energy in an ignition state. Here, the ignition state has a transient state and a steady state. In the transient state, for example, the amount of heat input to the wafer W or the electrostatic chuck 18 is larger than the amount of heat loss, and the temperature of the wafer W or the electrostatic chuck 18 tends to increase with time. In the steady state, the heat input amount and the heat loss amount of the wafer W or the electrostatic chuck 18 are the same, and the tendency for the temperature of the wafer W or the electrostatic chuck 18 to rise with time disappears, and the temperature becomes approximately constant. to be.

도 5b의 예에서도, 기대(20)로부터 냉각에 의해, 히터 HT로부터 "100"의 열량이 손실되고 있다. 점화 상태의 경우, 웨이퍼 W는, 정상 상태가 될 때까지, 플라즈마로부터의 입열에 의해 온도가 상승한다. 히터 HT에는, 정전 척(18)을 거쳐서 웨이퍼 W로부터 열이 전해진다. 상술한 바와 같이, 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT에 입열되는 열량과 히터 HT로부터 손실되는 열량은, 동일한 상태가 된다. 히터 HT는, 히터 HT의 온도를 일정하게 유지하기 위해 필요한 열량이 저하된다. 이 때문에, 히터 HT로의 공급 전력이 저하된다.In the example of FIG. 5B as well, the heat amount of "100" is lost from the heater HT by cooling from the base 20. In the case of the ignition state, the temperature of the wafer W is increased by heat input from the plasma until it becomes a normal state. Heat is transferred from the wafer W to the heater HT via the electrostatic chuck 18. As described above, when the temperature of the heater HT is controlled to be constant, the amount of heat input to the heater HT and the amount of heat lost from the heater HT are in the same state. The heater HT reduces the amount of heat required to keep the temperature of the heater HT constant. For this reason, the electric power supplied to the heater HT falls.

예컨대, 도 5b에 있어서, "과도 상태"로 한 예에서는, 플라즈마로부터 웨이퍼 W에 "80"의 열량이 전해진다. 또한, 웨이퍼 W에는, 복사열에 의해 "1"의 열량이 전해진다. 웨이퍼 W에 전해진 열은, 정전 척(18)에 전해진다. 또한, 웨이퍼 W의 온도가 정상 상태가 아닌 경우, 웨이퍼 W에 전해진 열은, 일부가 웨이퍼 W의 온도의 상승에 작용한다. 웨이퍼 W의 온도 상승에 작용하는 열량은, 웨이퍼 W의 열용량에 의존한다. 이 때문에, 웨이퍼 W에 전해진 "81"의 열량 중, "61"의 열량이 웨이퍼 W로부터 정전 척(18)의 표면에 전해진다. 정전 척(18)의 표면에 전해진 열은, 히터 HT에 전해진다. 또한, 정전 척(18)의 온도가 정상 상태가 아닌 경우, 정전 척(18)의 표면에 전해진 열은, 일부가 정전 척(18)의 온도의 상승에 작용한다. 정전 척(18)의 온도 상승에 작용하는 열량은 정전 척(18)의 열용량에 의존한다. 이 때문에, 정전 척(18)의 표면에 전해진 "61"의 열량 중, "41"의 열량이 히터 HT에 전해진다. 이 때문에, 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT에는, 히터 전원 HP로부터 히터 파워 Ph에 의해 "59"의 열량이 공급된다.For example, in Fig. 5B, in the example of "transient state", the amount of heat of "80" is transferred from the plasma to the wafer W. In addition, the heat amount of "1" is transmitted to the wafer W by radiant heat. The heat transferred to the wafer W is transferred to the electrostatic chuck 18. In addition, when the temperature of the wafer W is not normal, some of the heat transferred to the wafer W acts on the temperature of the wafer W. The amount of heat acting on the temperature rise of the wafer W depends on the heat capacity of the wafer W. For this reason, among the heat quantity of "81" transmitted to the wafer W, the heat quantity of "61" is transmitted from the wafer W to the surface of the electrostatic chuck 18. Heat transferred to the surface of the electrostatic chuck 18 is transmitted to the heater HT. In addition, when the temperature of the electrostatic chuck 18 is not in a normal state, heat transferred to the surface of the electrostatic chuck 18 partially affects the temperature of the electrostatic chuck 18. The amount of heat acting on the temperature rise of the electrostatic chuck 18 depends on the heat capacity of the electrostatic chuck 18. For this reason, among the heat quantity of "61" transmitted to the surface of the electrostatic chuck 18, the heat quantity of "41" is transmitted to the heater HT. For this reason, when the temperature of the heater HT is controlled to be constant, the heat amount of "59" is supplied to the heater HT by the heater power Ph from the heater power HP.

또한, 도 5b에 있어서, "정상 상태"로 한 예에서는, 플라즈마로부터 웨이퍼 W에 "80"의 열량이 전해진다. 또한, 웨이퍼 W에는, 복사열에 의해 "1"의 열량이 전해진다. 웨이퍼 W에 전해진 열은, 정전 척(18)에 전해진다. 또한, 웨이퍼 W의 온도가 정상 상태인 경우, 웨이퍼 W는, 입열량과 출열량이 동일한 상태로 되어 있다. 이 때문에, 플라즈마로부터 웨이퍼 W에 전해진 "81"의 열량이 웨이퍼 W로부터 정전 척(18)의 표면에 전해진다. 정전 척(18)의 표면에 전해진 열은, 히터 HT에 전해진다. 정전 척(18)의 온도가 정상 상태인 경우, 정전 척(18)은, 입열량과 출열량이 동일하게 되어 있다. 이 때문에, 정전 척(18)의 표면에 전해진 "81"의 열량이 히터 HT에 전해진다. 이 때문에, 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT에는, 히터 전원 HP로부터 히터 파워 Ph에 의해 "19"의 열량이 공급된다.In Fig. 5B, in the example of "normal state", the amount of heat of "80" is transferred from the plasma to the wafer W. In addition, the heat amount of "1" is transmitted to the wafer W by radiant heat. The heat transferred to the wafer W is transferred to the electrostatic chuck 18. In addition, when the temperature of the wafer W is in a normal state, the wafer W is in the same state as the amount of heat input and heat. For this reason, the amount of heat "81" transferred from the plasma to the wafer W is transferred from the wafer W to the surface of the electrostatic chuck 18. Heat transferred to the surface of the electrostatic chuck 18 is transmitted to the heater HT. When the temperature of the electrostatic chuck 18 is in a normal state, the electrostatic chuck 18 has the same amount of heat input and heat output. For this reason, the amount of heat of "81" transmitted to the surface of the electrostatic chuck 18 is transmitted to the heater HT. For this reason, when the temperature of the heater HT is controlled to be constant, the heat amount of "19" is supplied to the heater HT by the heater power Ph from the heater power HP.

도 5a 및 도 5b에 나타낸 바와 같이, 히터 HT로의 공급 전력은, 미점화 상태보다 점화 상태 쪽이 저하된다. 또한, 점화 상태에서는, 히터 HT로의 공급 전력이 정상 상태가 될 때까지 저하된다.5A and 5B, the supply power to the heater HT is lower in the ignition state than in the unignited state. In addition, in the ignition state, the power supplied to the heater HT falls until it becomes a normal state.

또, 도 5a 및 도 5b에 나타낸 바와 같이, 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, "미점화 상태", "과도 상태", "정상 상태"의 어느 하나의 상태이더라도, 기대(20)로부터 냉각에 의해, 히터 HT로부터 "100"의 열량이 손실되고 있다. 즉, 히터 HT로부터 기대(20)의 내부에 형성된 냉매 유로(24)에 공급되는 냉매로 향하는 단위 면적당의 열 유속 qsus는, 항상 일정하게 되고, 히터 HT로부터 냉매까지의 온도 기울기도 항상 일정하다. 그 때문에, 히터 HT의 온도가 일정하게 되도록 제어하기 위해 이용되는 온도 센서는, 반드시 히터 HT에 직접 설치할 필요는 없다. 예컨대, 정전 척(18)의 이면, 접착층(19) 내, 기대(20)의 내부 등, 히터 HT와 냉매까지의 사이이면, 히터 HT와 온도 센서 사이의 온도차도 항상 일정하고, 히터 HT와 온도 센서의 사이에 있는 재질이 갖는 열전도율, 열저항 등을 이용하여 온도 센서와 히터 HT의 사이의 온도차(ΔT)를 산출하고, 온도 센서에서 검출되는 온도의 값에 온도차(ΔT)를 가산하는 것에 의해, 히터 HT의 온도로서 출력하는 것이 가능하고, 실제의 히터 HT의 온도가 일정하게 되도록 제어할 수 있다.5A and 5B, when the temperature of the heater HT is controlled to be constant, even if it is in any one of the "non-ignition state", "transient state" and "normal state", the expected (20 ), The amount of heat of "100" is lost from the heater HT. That is, the heat flux qsus per unit area from the heater HT to the refrigerant supplied to the refrigerant passage 24 formed inside the base 20 is always constant, and the temperature gradient from the heater HT to the refrigerant is also constant. Therefore, the temperature sensor used to control the temperature of the heater HT to be constant is not necessarily installed directly on the heater HT. For example, when it is between the heater HT and the refrigerant, such as the back surface of the electrostatic chuck 18, the inside of the adhesive layer 19, the inside of the base 20, and the like, the temperature difference between the heater HT and the temperature sensor is always constant, and the heater HT and temperature By calculating the temperature difference (ΔT) between the temperature sensor and the heater HT using the thermal conductivity, heat resistance, etc. of the material between the sensors, and adding the temperature difference (ΔT) to the value of the temperature detected by the temperature sensor , It is possible to output as the temperature of the heater HT, it can be controlled so that the temperature of the actual heater HT is constant.

도 6은 웨이퍼의 온도와 히터로의 공급 전력의 변화의 일례를 나타내는 도면이다. 도 6의 (a)는 웨이퍼 W의 온도의 변화를 나타내고 있다. 도 6의 (b)는 히터 HT로의 공급 전력의 변화를 나타내고 있다. 도 6의 예는, 히터 HT의 온도가 일정하게 되도록 제어하고, 플라즈마를 점화하고 있지 않은 미점화 상태로부터 플라즈마를 점화하여, 웨이퍼 W의 온도와 히터 HT로의 공급 전력을 측정한 결과의 일례를 나타내고 있다. 웨이퍼 W의 온도는, KLA-Tencor로부터 판매되고 있는 Etch Temp 등의 온도 계측용의 웨이퍼를 이용하여 계측했다. 이 온도 계측용의 웨이퍼는, 고가이다. 이 때문에, 양산 현장에서는, 플라즈마 처리 장치(10)의 각 히터 HT의 온도의 조정에 온도 계측용의 웨이퍼를 사용하면, 비용이 상승한다. 또한, 양산 현장에서는, 플라즈마 처리 장치(10)의 각 히터 HT의 온도의 조정에 온도 계측용의 웨이퍼를 사용하면, 생산성이 저하된다.6 is a view showing an example of changes in the temperature of the wafer and the power supplied to the heater. Fig. 6A shows the change in the temperature of the wafer W. Fig. 6 (b) shows the change in the power supplied to the heater HT. The example of FIG. 6 shows an example of the result of measuring the temperature of the wafer W and the power supplied to the heater HT by controlling the temperature of the heater HT to be constant, and igniting the plasma from an unignited state where the plasma is not ignited. have. The temperature of the wafer W was measured using a wafer for temperature measurement such as Etch Temp sold by KLA-Tencor. The wafer for temperature measurement is expensive. For this reason, in the mass production site, if a wafer for temperature measurement is used to adjust the temperature of each heater HT of the plasma processing apparatus 10, the cost increases. In addition, in the mass production site, if a wafer for temperature measurement is used to adjust the temperature of each heater HT of the plasma processing apparatus 10, productivity decreases.

도 6의 기간 T1은, 플라즈마를 점화하고 있지 않은 미점화 상태이다. 기간 T1에서는, 히터 HT로의 공급 전력이 일정하게 되어 있다. 도 6의 기간 T2는, 플라즈마를 점화한 점화 상태이고, 과도 상태이다. 기간 T2에서는, 히터 HT로의 공급 전력이 저하된다. 또한, 기간 T2에서는, 웨이퍼 W의 온도가 일정한 온도까지 상승한다. 도 6의 기간 T3은, 플라즈마를 점화한 점화 상태이다. 기간 T3에서는, 웨이퍼 W의 온도는 일정하고, 정상 상태로 되어 있다. 정전 척(18)도 정상 상태가 되면, 히터 HT로의 공급 전력은, 대략 일정하게 되고, 저하되는 경향의 변동이 안정된다. 도 6의 기간 T4는, 플라즈마를 없앤 미점화 상태이다. 기간 T4에서는, 웨이퍼 W에 대한 플라즈마로부터 입열이 없어지기 때문에, 웨이퍼 W의 온도가 저하되고, 히터 HT로의 공급 전력이 증가하고 있다.The period T1 in FIG. 6 is an unignited state in which the plasma is not ignited. In the period T1, the power supplied to the heater HT is constant. The period T2 in FIG. 6 is an ignition state in which the plasma is ignited, and is a transient state. In the period T2, the power supplied to the heater HT decreases. Further, in the period T2, the temperature of the wafer W rises to a constant temperature. The period T3 in FIG. 6 is an ignition state in which the plasma is ignited. In the period T3, the temperature of the wafer W is constant and is in a steady state. When the electrostatic chuck 18 is also in a normal state, the power supplied to the heater HT becomes substantially constant, and the fluctuation of the tendency to decrease is stable. The period T4 in FIG. 6 is an unignited state in which plasma is removed. In the period T4, since heat input from the plasma to the wafer W disappears, the temperature of the wafer W decreases, and the power supplied to the heater HT increases.

도 6의 기간 T2에 나타나는 과도 상태에서의 히터 HT로의 공급 전력의 저하의 경향은, 플라즈마로부터 웨이퍼 W로의 입열량이나, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항 등에 의해 변화한다.The tendency of the decrease in the power supplied to the heater HT in the transient state shown in the period T2 in FIG. 6 varies depending on the amount of heat input from the plasma to the wafer W, heat resistance between the wafer W and the surface of the electrostatic chuck 18, and the like.

도 7은 점화 상태의 에너지의 흐름을 모식적으로 나타내는 도면이다. 또, 도 7은 모두 과도 상태의 예이다. 또한, 복사열의 입열은, 영향이 작기 때문에, 생략하고 있다. 예컨대, 도 7에 있어서, "입열량 : 소, 열저항 : 소"로 한 예에서는, 플라즈마로부터 웨이퍼 W에 "80"의 열량이 전해진다. 플라즈마로부터 웨이퍼 W에 전해진 "80"의 열량 중, "60"의 열량이 웨이퍼 W로부터 정전 척(18)의 표면에 전해진다. 그리고, 정전 척(18)의 표면에 전해진 "60"의 열량 중, "40"의 열량이 히터 HT에 전해진다. 예컨대, 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT에는, 히터 전원 HP로부터 히터 파워 Ph에 의해 "60"의 열량이 공급된다.7 is a diagram schematically showing the flow of energy in an ignition state. 7 is an example of a transient state. In addition, the heat input of radiant heat is omitted because the influence is small. For example, in FIG. 7, in the example of "input heat amount: small, heat resistance: small", the heat amount of "80" is transferred from the plasma to the wafer W. Of the "80" heat transferred from the plasma to the wafer W, the "60" heat is transferred from the wafer W to the surface of the electrostatic chuck 18. Then, of the "60" heat amount transmitted to the surface of the electrostatic chuck 18, the "40" heat amount is transmitted to the heater HT. For example, when the temperature of the heater HT is controlled to be constant, the heat amount of "60" is supplied to the heater HT by the heater power Ph from the heater power HP.

또한, 도 7에 있어서, "입열량 : 대, 열저항 : 소"로 한 예에서는, 플라즈마로부터 웨이퍼 W에 "100"의 열량이 전해진다. 플라즈마로부터 웨이퍼 W에 전해진 "100"의 열량 중, "80"의 열량이 웨이퍼 W로부터 정전 척(18)의 표면에 전해진다. 그리고, 정전 척(18)의 표면에 전해진 "80"의 열량 중, "60"의 열량이 히터 HT에 전해진다. 예컨대, 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT에는, 히터 전원 HP로부터 히터 파워 Ph에 의해 "40"의 열량이 공급된다.In Fig. 7, in the example of "input heat amount: large, heat resistance: small", the heat amount of "100" is transferred from the plasma to the wafer W. Of the "100" heat transferred from the plasma to the wafer W, the heat amount of "80" is transmitted from the wafer W to the surface of the electrostatic chuck 18. Then, of the amount of heat of "80" transmitted to the surface of the electrostatic chuck 18, the amount of heat of "60" is transmitted to the heater HT. For example, when the temperature of the heater HT is controlled to be constant, the heat amount of "40" is supplied to the heater HT by the heater power Ph from the heater power HP.

또한, 도 7에 있어서, "입열량 : 소, 열저항 : 대"로 한 예에서는, 플라즈마로부터 웨이퍼 W에 "80"의 열량이 전해진다. 플라즈마로부터 웨이퍼 W에 전해진 "80"의 열량 중, "40"의 열량이 웨이퍼 W로부터 정전 척(18)의 표면에 전해진다. 정전 척(18)의 표면에 전해진 "40"의 열량 중, "20"의 열량이 히터 HT에 전해진다. 예컨대, 히터 HT의 온도가 일정하게 되도록 제어하고 있는 경우, 히터 HT에는, 히터 전원 HP로부터 히터 파워 Ph에 의해 "80"의 열량이 공급된다.In Fig. 7, in the example of "input heat amount: small, heat resistance: large", the heat amount of "80" is transferred from the plasma to the wafer W. Of the "80" heat transferred from the plasma to the wafer W, the "40" heat is transferred from the wafer W to the surface of the electrostatic chuck 18. Among the heat quantity of "40" transmitted to the surface of the electrostatic chuck 18, the heat quantity of "20" is transmitted to the heater HT. For example, when the temperature of the heater HT is controlled to be constant, the heat amount of "80" is supplied to the heater HT by the heater power Ph from the heater power HP.

이와 같이, 히터 HT의 온도를 일정하게 제어하고 있는 경우, 히터 파워 Ph는, 플라즈마로부터 웨이퍼 W로의 입열량이나, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항에 의해 변화한다. 따라서, 도 6의 (b)에 나타나는 기간 T2의 히터 HT로의 공급 전력의 저하의 경향은, 플라즈마로부터 웨이퍼 W로의 입열량이나, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항 등에 의해 변화한다. 이 때문에, 기간 T2의 히터 HT로의 공급 전력의 그래프는, 플라즈마로부터 웨이퍼 W로의 입열량이나, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항을 파라미터로 하여 모델화할 수 있다. 즉, 기간 T2의 히터 HT로의 공급 전력의 변화는, 플라즈마로부터 웨이퍼 W로의 입열량이나, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항을 파라미터로 하여, 연산식에 의해 모델화할 수 있다.As described above, when the temperature of the heater HT is constantly controlled, the heater power Ph varies depending on the amount of heat input from the plasma to the wafer W or the thermal resistance between the wafer W and the surface of the electrostatic chuck 18. Therefore, the tendency of the decrease in the power supplied to the heater HT in the period T2 shown in Fig. 6B is changed by the amount of heat input from the plasma to the wafer W, heat resistance between the wafer W and the surface of the electrostatic chuck 18, and the like. do. For this reason, the graph of the power supplied to the heater HT in the period T2 can be modeled using the heat input amount from the plasma to the wafer W or the thermal resistance between the wafer W and the surface of the electrostatic chuck 18 as a parameter. That is, the change in the supply power to the heater HT in the period T2 can be modeled by an arithmetic expression using the amount of heat input from the plasma to the wafer W or the thermal resistance between the wafer W and the surface of the electrostatic chuck 18 as a parameter. .

본 실시 형태에서는, 도 6의 (b)에 나타내는, 기간 T2의 히터 HT로의 공급 전력의 변화를 단위 면적당의 식으로서 모델화한다. 예컨대, 플라즈마로부터의 열 유속이 있을 때의 단위 면적당의 히터 HT로부터의 발열량 qh는, 이하의 식 (2)와 같이 나타낼 수 있다. 플라즈마로부터의 열 유속이 없을 때의 정상 상태에서의 단위 면적당의 히터 HT로부터의 발열량 qh0은, 이하의 식 (3)과 같이 나타낼 수 있다. 정전 척(18)의 표면과 히터 사이의 단위 면적당의 열저항 RthcㆍA는, 이하의 식 (4)와 같이 나타낼 수 있다. 열 유속 qp 및 열저항 RthㆍA를 파라미터로 하고, a1, a2, a3, λ1, λ2, τ1, τ2를 이하의 식 (5)-(11)과 같이 나타낸 경우, 발열량 qh는, 이하의 식 (1)과 같이 나타낼 수 있다.In the present embodiment, the change in the power supplied to the heater HT in the period T2 shown in Fig. 6B is modeled as an equation per unit area. For example, the calorific value qh from the heater HT per unit area when there is a heat flux from the plasma can be expressed by the following equation (2). The calorific value qh0 from the heater HT per unit area in the normal state when there is no heat flow rate from the plasma can be expressed by the following equation (3). The thermal resistance Rthc · A per unit area between the surface of the electrostatic chuck 18 and the heater can be expressed by the following equation (4). When the heat flux qp and the heat resistance Rth · A are used as parameters, and a1, a2, a3, λ1, λ2, τ1, and τ2 are expressed as the following equations (5)-(11), the calorific value qh is the following equation It can be expressed as (1).

[수학식 1][Equation 1]

Figure pat00001
Figure pat00001

Figure pat00002
Figure pat00002

Figure pat00003
Figure pat00003

Figure pat00004
Figure pat00004

Figure pat00005
Figure pat00005

Figure pat00006
Figure pat00006

Figure pat00007
Figure pat00007

Figure pat00008
Figure pat00008

Figure pat00009
Figure pat00009

Figure pat00010
Figure pat00010

Figure pat00011
Figure pat00011

여기서,here,

Ph는, 플라즈마로부터의 열 유속이 있을 때의 히터 파워[W]이다.Ph is heater power [W] when there is a heat flux from plasma.

Ph0은, 플라즈마로부터의 열 유속이 없을 때의 정상 상태에서의 히터 파워[W]이다.Ph0 is the heater power [W] in the steady state when there is no heat flux from the plasma.

qh는, 플라즈마로부터의 열 유속이 있을 때의 단위 면적당의 히터 HT로부터의 발열량[W/㎡]이다.qh is the amount of heat generated from the heater HT per unit area when there is a heat flux from the plasma [W / m 2].

qh0은, 플라즈마로부터의 열 유속이 없을 때의 정상 상태에서의 단위 면적당의 히터 HT로부터의 발열량[W/㎡]이다.qh0 is the calorific value [W / m 2] from the heater HT per unit area in a steady state when there is no heat flux from the plasma.

qp는, 플라즈마로부터 웨이퍼 W로의 단위 면적당의 열 유속[W/㎡]이다.qp is the heat flux [W / m 2] per unit area from plasma to wafer W.

RthㆍA는, 웨이퍼 W와 정전 척(18)의 표면 사이의 단위 면적당의 열저항[Kㆍ㎡/W]이다.Rth · A is the thermal resistance [K · m 2 / W] per unit area between the wafer W and the surface of the electrostatic chuck 18.

RthcㆍA는, 정전 척(18)의 표면과 히터 사이의 단위 면적당의 열저항[Kㆍ㎡/W]이다.Rthc · A is the thermal resistance [K · m 2 / W] per unit area between the surface of the electrostatic chuck 18 and the heater.

A는, 히터 HT가 마련된 분할 영역(75)의 면적[㎡]이다.A is the area [m 2] of the divided region 75 provided with the heater HT.

ρw는, 웨이퍼 W의 밀도[㎏/㎥]이다.ρw is the density [kg / m 3] of the wafer W.

Cw는, 웨이퍼 W의 단위 면적당의 열용량[J/Kㆍ㎡]이다.Cw is the heat capacity [J / K · m 2] per unit area of the wafer W.

zw는, 웨이퍼 W의 두께[m]이다.zw is the thickness [m] of the wafer W.

ρc는, 정전 척(18)을 구성하는 세라믹의 밀도[㎏/㎥]이다.ρc is the density [kg / m 3] of the ceramic constituting the electrostatic chuck 18.

Cc는, 정전 척(18)을 구성하는 세라믹의 단위 면적당의 열용량[J/Kㆍ㎡]이다.Cc is the heat capacity per unit area of the ceramic constituting the electrostatic chuck 18 [J / K · m 2].

zc는, 정전 척(18)의 표면으로부터 히터 HT까지의 거리[m]이다.zc is the distance [m] from the surface of the electrostatic chuck 18 to the heater HT.

κc는, 정전 척(18)을 구성하는 세라믹의 열전도율[W/Kㆍm]이다.κc is the thermal conductivity [W / K · m] of the ceramic constituting the electrostatic chuck 18.

t는, 플라즈마를 점화하고 나서의 경과 시간[sec]이다.t is the elapsed time [sec] after igniting the plasma.

식 (5)에 나타낸 a1에 대하여, 1/a1이 웨이퍼 W의 따뜻해지기 어려움을 나타내는 시정수가 된다. 또한, 식 (6)에 나타낸 a2에 대하여, 1/a2이 정전 척(18)의 열의 들어가기 어려움, 따뜻해지기 어려움을 나타내는 시정수가 된다. 또한, 식 (7)에 나타낸 a3에 대하여, 1/a3이 정전 척(18)의 열의 침투하기 어려움, 따뜻해지기 어려움을 나타내는 시정수가 된다.With respect to a1 shown in equation (5), 1 / a1 becomes a time constant indicating the difficulty of warming the wafer W. In addition, with respect to a2 shown in Expression (6), 1 / a2 becomes a time constant indicating the difficulty of entering the heat of the electrostatic chuck 18 and the difficulty in getting warm. Moreover, with respect to a3 shown in Formula (7), 1 / a3 becomes a time constant indicating difficulty in permeating heat of the electrostatic chuck 18 and difficulty in warming.

웨이퍼 W의 밀도 ρw, 웨이퍼 W의 단위 면적당의 열용량 Cw 및 웨이퍼 W의 두께 zw는, 웨이퍼 W의 실제의 구성으로부터 각각 미리 정해진다. 히터 HT의 면적 A, 정전 척(18)을 구성하는 세라믹의 밀도 ρc, 및, 정전 척(18)을 구성하는 세라믹의 단위 면적당의 열용량 Cc는, 플라즈마 처리 장치(10)의 실제의 구성으로부터 각각 미리 정해진다. 정전 척(18)의 표면으로부터 히터 HT까지의 거리 zc, 및, 정전 척(18)을 구성하는 세라믹의 열전도율 κc도, 플라즈마 처리 장치(10)의 실제의 구성으로부터 각각 미리 정해진다. RthcㆍA는, 열전도율 κc, 거리 zc로부터 식 (4)에 의해 미리 정해진다.The density ρw of the wafer W, the heat capacity Cw per unit area of the wafer W, and the thickness zw of the wafer W are respectively determined in advance from the actual configuration of the wafer W. The area A of the heater HT, the density ρc of the ceramics constituting the electrostatic chuck 18, and the heat capacity Cc per unit area of the ceramics constituting the electrostatic chuck 18 are respectively determined from the actual configuration of the plasma processing apparatus 10. It is predetermined. The distance zc from the surface of the electrostatic chuck 18 to the heater HT, and the thermal conductivity κc of the ceramics constituting the electrostatic chuck 18 are also predetermined from the actual configuration of the plasma processing apparatus 10, respectively. Rthc · A is determined in advance by the formula (4) from the thermal conductivity κc and the distance zc.

플라즈마를 점화하고 나서의 경과 시간 t마다의 플라즈마로부터의 열 유속이 있을 때의 히터 파워 Ph, 및, 플라즈마로부터의 열 유속이 없을 때의 정상 상태에서의 히터 파워 Ph0은, 플라즈마 처리 장치(10)를 이용하여 계측에 의해 구할 수 있다. 그리고, 식 (2)에 나타내는 바와 같이, 구한 히터 파워 Ph를 히터 HT의 면적 A로 나누는 것에 의해, 플라즈마로부터의 열 유속이 있을 때의 단위 면적당의 히터 HT로부터의 발열량 qh를 구할 수 있다. 또한, 식 (3)에 나타내는 바와 같이, 구한 히터 파워 Ph0을 히터 HT의 면적 A로 나누는 것에 의해, 플라즈마로부터의 열 유속이 없을 때의 정상 상태에서의 단위 면적당의 히터 HT로부터의 발열량 qh0을 구할 수 있다.The plasma processing apparatus 10 has the heater power Ph when there is a heat flux from the plasma and the heater power Ph0 in a normal state when there is no heat flux from the plasma every elapsed time t after the plasma is ignited. It can be obtained by measurement using. Then, as shown in equation (2), by dividing the calculated heater power Ph by the area A of the heater HT, the calorific value qh from the heater HT per unit area when there is a heat flux from the plasma can be obtained. Further, as shown in equation (3), by dividing the calculated heater power Ph0 by the area A of the heater HT, the calorific value qh0 from the heater HT per unit area in a steady state when there is no heat flux from the plasma is determined. You can.

그리고, 플라즈마로부터 웨이퍼 W로의 단위 면적당의 열 유속 qp, 및, 웨이퍼 W와 정전 척(18)의 표면 사이의 단위 면적당의 열저항 RthㆍA는, 계측 결과를 이용하여, 식 (1)의 피팅을 행하는 것에 의해, 구할 수 있다.Then, the heat flux qp per unit area from the plasma to the wafer W, and the thermal resistance Rth · A per unit area between the wafer W and the surface of the electrostatic chuck 18, are obtained by fitting the equation (1) using the measurement result. It can be obtained by performing.

또, 도 5b의 정상 상태는, 도 5a에 나타내는 미점화 상태로부터, 플라즈마로부터 웨이퍼 W로의 입열분이, 그대로 히터 HT에 입열로서 증가하고 있다. 이 때문에, 플라즈마로부터 웨이퍼 W로의 입열량은, 도 6의 기간 T1에 나타낸 미점화 상태의 공급 전력과 기간 T3에 나타낸 정상 상태의 공급 전력의 값의 차이로부터 산출하더라도 좋다. 예컨대, 플라즈마로부터 웨이퍼 W로의 단위 면적당의 열 유속 qp는, 이하의 식 (12)와 같이, 플라즈마로부터의 열 유속이 없을 때(미점화 상태)의 히터 파워 Ph0과 기간 T3에 나타낸 정상 상태의 히터 파워 Ph의 차이를 단위 면적당으로 환산한 값으로부터 산출할 수 있다. 또한, 플라즈마로부터 웨이퍼 W로의 단위 면적당의 열 유속 qp는, 이하의 식 (12)와 같이, 플라즈마로부터의 열 유속이 없을 때(미점화 상태)의 히터 파워 Ph0으로부터 구해지는 단위 면적당의 히터 HT로부터의 발열량 qh0과 기간 T3에 나타낸 정상 상태의 히터 파워 Ph로부터 구해지는 단위 면적당의 히터 HT로부터의 발열량 qh의 차이로부터 산출할 수 있다.Moreover, in the steady state of FIG. 5B, the heat input from the plasma to the wafer W is increased as heat input to the heater HT from the unignited state shown in FIG. 5A. For this reason, the amount of heat input from the plasma to the wafer W may be calculated from the difference between the supply power in the unignited state shown in the period T1 in FIG. 6 and the supply power in the steady state shown in the period T3. For example, the heat flux qp per unit area from the plasma to the wafer W is the heater power Ph0 when there is no heat flux from the plasma (unignited state) and the steady state heater shown in the period T3 as shown in the following equation (12). The difference in power Ph can be calculated from the converted value per unit area. In addition, the heat flux qp per unit area from the plasma to the wafer W is obtained from the heater HT per unit area obtained from the heater power Ph0 when there is no heat flow from the plasma (unignited state) as in the following equation (12). It can be calculated from the difference between the calorific value qh0 and the calorific value qh from the heater HT per unit area obtained from the steady state heater power Ph shown in the period T3.

qp=(Ph0-Ph)/A=qh0-qh … (12)qp = (Ph0-Ph) / A = qh0-qh… (12)

또한, 도 6의 (a)에 나타나는 기간 T2의 웨이퍼 W의 온도의 그래프도, 플라즈마로부터 웨이퍼 W로의 입열량이나, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항을 파라미터로 하여 모델화할 수 있다. 본 실시 형태에서는, 기간 T2의 웨이퍼 W의 온도의 변화를 단위 면적당의 식으로서 모델화한다. 예컨대, 열 유속 qp, 및, 열저항 RthㆍA를 파라미터로 하고, 식 (5)-(11)에 나타낸 a1, a2, a3, λ1, λ2, τ1, τ2를 이용한 경우, 웨이퍼 W의 온도 TW[℃]는, 이하의 식 (13)과 같이 나타낼 수 있다.In addition, a graph of the temperature of the wafer W in the period T2 shown in Fig. 6 (a) is also modeled using the amount of heat input from the plasma to the wafer W or the thermal resistance between the wafer W and the surface of the electrostatic chuck 18 as a parameter. You can. In this embodiment, the change in temperature of the wafer W in the period T2 is modeled as an equation per unit area. For example, when a1, a2, a3, λ1, λ2, τ1, and τ2 shown in equations (5)-(11) are used as the heat flux qp and the thermal resistance Rth · A as parameters, the temperature of the wafer W is TW [° C] can be expressed by the following formula (13).

[수학식 2][Equation 2]

Figure pat00012
Figure pat00012

여기서,here,

TW는, 웨이퍼 W의 온도[℃]이다.TW is the temperature [° C] of the wafer W.

Th는, 일정하게 제어한 히터 HT의 온도[℃]이다.Th is the temperature [° C] of the heater HT that is constantly controlled.

히터의 온도 Th는, 실제로 웨이퍼 W의 온도를 일정하게 제어했을 때의 조건으로부터 구할 수 있다.The temperature Th of the heater can actually be determined from the conditions under constant control of the temperature of the wafer W.

계측 결과를 이용하여, 식 (1)의 피팅을 행하는 것에 의해, 열 유속 qp, 및, 열저항 RthㆍA가 구해진 경우, 웨이퍼 W의 온도 TW는, 식 (13)으로부터 산출할 수 있다.When the heat flux qp and the heat resistance Rth · A are obtained by fitting the equation (1) using the measurement results, the temperature TW of the wafer W can be calculated from equation (13).

경과 시간 t가, 식 (10), (11)에 나타낸 시정수 τ1, τ2보다 충분히 긴 경우, 식 (13)은, 이하의 식 (14)와 같이 생략할 수 있다. 즉, 도 6의 기간 T3인 정상 상태로 이행한 후의 웨이퍼 W의 온도 TW가 목표 온도가 되는 히터 HT의 온도 Th를 산출하는 경우, 식 (13)은, 식 (14)와 같이 나타낼 수 있다.When the elapsed time t is sufficiently longer than the time constants τ1 and τ2 shown in equations (10) and (11), equation (13) can be omitted as shown in equation (14) below. That is, when the temperature Th of the heater HT at which the temperature TW of the wafer W after the transition to the steady state period T3 in FIG. 6 becomes the target temperature is calculated, equation (13) can be expressed as equation (14).

[수학식 3][Equation 3]

Figure pat00013
Figure pat00013

예컨대, 식 (14)에 의해, 히터의 온도 Th, 열 유속 qp, 열저항 RthㆍA, RthcㆍA로부터 웨이퍼 W의 온도 TW를 구할 수 있다.For example, by the formula (14), the temperature TW of the wafer W can be obtained from the temperature Th of the heater, the heat flux qp, and the thermal resistances Rth · A and Rthc · A.

그런데, 플라즈마 처리 장치(10)는, 이상이나 고장의 발생이나 시간에 따른 변화 등에 의해, 플라즈마 처리의 처리 조건이 변화하는 경우가 있다. 예컨대, 플라즈마 처리 장치(10)는, 이상이나 고장의 발생이나 시간에 따른 변화 등에 의해, 처리 용기(12) 내의 압력이나, 플라즈마 처리에서 인가되는 전력 등이 변화하는 경우가 있다. 이와 같은 플라즈마 처리의 처리 조건은, 제 1 고주파 전원 HFS로부터의 제 1 고주파 전력의 파워, 제 2 고주파 전원 LFS로부터의 제 2 고주파 전력의 파워를 들 수 있다. 또한, 이와 같은 플라즈마 처리의 처리 조건은, 처리 용기(12) 내의 압력, 탑재대(16)의 표면 거칠기, 전열 가스의 압력, 웨이퍼 W의 이면 막 두께, 웨이퍼 W의 휨, 상부 전극(30)의 온도, 퇴적물 실드(46)의 온도를 들 수 있다. 또, 이와 같은 플라즈마 처리의 처리 조건은, 이들로 한정되는 것이 아니고, 이상이나 고장의 발생이나 시간에 따른 변화 등으로 변화하는 것이면 어느 것이더라도 좋다.However, the plasma processing apparatus 10 may change the processing conditions of the plasma processing due to occurrence of an abnormality or failure, change over time, or the like. For example, in the plasma processing apparatus 10, the pressure in the processing container 12, the electric power applied in the plasma processing, and the like may change due to the occurrence of an abnormality or failure, a change over time, or the like. The processing conditions of the plasma processing include the power of the first high frequency power from the first high frequency power supply HFS and the power of the second high frequency power from the second high frequency power supply LFS. In addition, the processing conditions of the plasma treatment include the pressure in the processing container 12, the surface roughness of the mounting table 16, the pressure of the heating gas, the film thickness of the back surface of the wafer W, the warp of the wafer W, and the upper electrode 30 And the temperature of the sediment shield 46. Note that the processing conditions of the plasma treatment are not limited to these, and any of them may be changed as long as the occurrence of abnormalities or failures, changes over time, or the like.

플라즈마 처리 장치(10)에서는, 플라즈마 처리의 처리 조건이 변화한 경우, 플라즈마로부터의 입열량이나 복사열에 의한 입열량, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항 등이 변화하고, 탑재대(16)의 온도에 관한 값이 변화한다. 이 탑재대(16)의 온도에 관한 값으로서는, 예컨대, 미점화 상태에서 탑재대(16)의 온도를 소정의 온도로 유지하기 위한 히터 HT에서의 발열량, 웨이퍼 W와 탑재대(16)의 사이의 열저항, 점화 상태에서 플라즈마로부터 탑재대(16)에 유입되는 입열량을 들 수 있다. 또, 탑재대(16)의 온도에 관한 값은, 이들로 한정되는 것이 아니고, 탑재대(16)의 온도에 관한 값이고, 플라즈마 처리의 처리 조건의 변화에 의해 변화가 발생하는 것이면 어느 것이더라도 좋다.In the plasma processing apparatus 10, when the processing conditions of the plasma processing change, the amount of heat input from the plasma, the amount of heat input by radiant heat, the thermal resistance between the wafer W and the surface of the electrostatic chuck 18, and the like are changed and mounted. The value of the temperature of the stage 16 changes. As a value related to the temperature of the mounting table 16, for example, the amount of heat generated in the heater HT for maintaining the temperature of the mounting table 16 at a predetermined temperature in an unignited state, between the wafer W and the mounting table 16 The heat resistance and the amount of heat input from the plasma to the mounting table 16 in the ignition state are exemplified. In addition, the value regarding the temperature of the mounting table 16 is not limited to these, and is a value relating to the temperature of the mounting table 16, and any change can be made as long as the change occurs due to the change in the processing conditions of the plasma treatment. good.

예컨대, 플라즈마 처리 장치(10)는, 상부 전극(30)의 온도나, 퇴적물 실드(46)의 온도가 변화한 경우, 웨이퍼 W로의 복사열의 입열량이 변한다. 이것에 의해, 미점화 상태에서 각 히터 HT에 공급되는 히터 파워 Ph0이 변화하고, 단위 면적당의 히터 HT로부터의 발열량 qh0이 변화한다. 발열량 qh0은, 히터 파워 Ph0을 히터 HT마다의 면적으로 나누는 것에 의해 구해진다. 도 8a는 상부 전극, 퇴적물 실드의 온도가 변화한 경우의 히터로부터의 발열량의 변화의 일례를 나타낸 도면이다. 도 8a에는, 플라즈마 미점화 상태에서 센터 부분(Center), 미들 부분(Middle), 에지 부분(Edge), 포커스 링 부분(F/R)의 각 분할 영역(75)에 마련된 히터 HT에 공급되는 히터 파워 Ph0에 의한 히터 HT로부터의 발열량 qh0의 변화가 나타나 있다. 실선은, 상부 전극(30)의 온도를 40℃로부터 120℃로 변화시킨 경우의 히터 HT로부터의 발열량 qh0의 변화를 나타내고 있다. 파선은, 퇴적물 실드(46)의 온도를 40℃로부터 120℃로 변화시킨 경우의 히터 HT로부터의 발열량 qh0의 변화를 나타내고 있다. 이와 같이, 상부 전극(30)의 온도나, 퇴적물 실드(46)의 온도가 상승한 경우, 웨이퍼 W로의 복사열의 입열량이 증가하기 때문에, 히터 HT로부터의 발열량 qh0이 저하된다. 또한, 실선과 파선에 나타내는 바와 같이, 상부 전극(30)과 퇴적물 실드(46)에서는, 온도가 변화한 경우의 각 분할 영역(75)에 온도의 변화에 차이가 있다. 예컨대, 상부 전극(30)으로부터의 복사열은, 탑재대(16)의 상부로부터 입열한다. 이 때문에, 상부 전극(30)의 온도가 변화한 경우, 센터 부분, 미들 부분 등 탑재대(16)의 면 내의 중앙 부근의 영역일수록 히터 HT로부터의 발열량 qh0이 크게 변화한다. 한편, 퇴적물 실드(46)로부터의 복사열은 탑재대(16)의 측면으로부터 입열한다. 이 때문에, 퇴적물 실드(46)의 온도가 변화한 경우, 에지 부분, 포커스 링 부분 등 탑재대(16)의 면 내의 주변 부근의 영역일수록 히터 HT로부터의 발열량 qh0이 크게 변화한다. 따라서, 각 분할 영역(75)의 히터 HT로부터의 발열량 qh0의 변화 패턴으로부터 상부 전극(30)과 퇴적물 실드(46)의 어느 쪽의 온도가 변화했는지를 특정할 수 있다.For example, when the temperature of the upper electrode 30 or the temperature of the sediment shield 46 changes in the plasma processing apparatus 10, the heat input amount of radiant heat to the wafer W changes. Thereby, the heater power Ph0 supplied to each heater HT in the unignited state changes, and the heat generation amount qh0 from the heater HT per unit area changes. The calorific value qh0 is obtained by dividing the heater power Ph0 by the area for each heater HT. 8A is a view showing an example of a change in the amount of heat generated from the heater when the temperature of the upper electrode and the sediment shield is changed. In FIG. 8A, the heater supplied to the heater HT provided in each of the divided regions 75 of the center portion, middle portion, edge portion, and focus ring portion F / R in the plasma ignition state The change of the heat generation amount qh0 from the heater HT by the power Ph0 is shown. The solid line shows the change in the amount of heat generated qh0 from the heater HT when the temperature of the upper electrode 30 is changed from 40 ° C to 120 ° C. The broken line shows the change in the amount of heat generated qh0 from the heater HT when the temperature of the sediment shield 46 is changed from 40 ° C to 120 ° C. As described above, when the temperature of the upper electrode 30 or the temperature of the sediment shield 46 rises, the amount of heat input from the radiant heat to the wafer W increases, so the amount of heat generated from the heater HT qh0 decreases. In addition, as shown by the solid line and the broken line, in the upper electrode 30 and the sediment shield 46, there is a difference in temperature change in each divided region 75 when the temperature changes. For example, radiant heat from the upper electrode 30 is inputted from the upper portion of the mounting table 16. For this reason, when the temperature of the upper electrode 30 changes, the heat generation amount qh0 from the heater HT changes significantly as the area near the center in the surface of the mounting table 16, such as the center portion and the middle portion. On the other hand, radiant heat from the sediment shield 46 is inputted from the side surface of the mounting table 16. For this reason, when the temperature of the sediment shield 46 changes, the heat generation amount qh0 from the heater HT changes significantly as the area near the periphery in the surface of the mounting table 16, such as the edge portion and the focus ring portion. Therefore, it is possible to specify which temperature of the upper electrode 30 and the sediment shield 46 has changed from the change pattern of the amount of heat generated qh0 from the heater HT of each divided region 75.

또한, 예컨대, 플라즈마 처리 장치(10)는, 제 1 고주파 전원 HFS로부터의 제 1 고주파 전력의 파워나, 제 2 고주파 전원 LFS로부터의 제 2 고주파 전력의 파워가 변화한 경우, 플라즈마로부터의 입열량이 변한다. 이것에 의해, 플라즈마를 점화한 정상 상태에서 각 히터 HT에 공급되는 히터 파워 Ph가 변화하고, 단위 면적당의 히터 HT로부터의 발열량 qh가 변화한다. 발열량 qh는, 히터 파워 Ph를 히터 HT마다의 면적으로 나누는 것에 의해 구해진다. 도 8b는 제 1 고주파 전력, 제 2 고주파 전력이 변화한 경우의 히터로부터의 발열량의 변화의 일례를 나타낸 도면이다. 도 8b에는, 플라즈마를 점화한 정상 상태에서 센터 부분(Center), 미들 부분(Middle), 에지 부분(Edge), 포커스 링 부분(F/R)의 각 분할 영역(75)에 마련된 히터 HT에 공급되는 히터 파워 Ph에 의한 히터 HT로부터의 발열량 qh의 변화가 나타나 있다. 실선은, 제 1 고주파 전력의 파워를 500W로부터 1000W로 변화시킨 경우의 히터 HT로부터의 발열량 qh의 변화를 나타내고 있다. 파선은, 제 2 고주파 전력의 파워를 500W로부터 1000W로 변화시킨 경우의 히터 HT로부터의 발열량 qh의 변화를 나타내고 있다. 이와 같이, 제 1 고주파 전력의 파워나, 제 2 고주파 전력의 파워가 상승한 경우, 플라즈마로부터의 입열량이 증가하기 때문에, 히터 HT로부터의 발열량 qh가 저하한다. 또한, 실선과 파선에 나타내는 바와 같이, 제 1 고주파 전력과 제 2 고주파 전력에서는 파워의 변화에 의한 각 분할 영역(75)에 온도에는 차이가 있다. 예컨대, 제 1 고주파 전력의 파워가 변화한 경우, 포커스 링 부분 등 탑재대(16)의 면 내의 주변 부근의 영역에서 히터 HT로부터의 발열량 qh가 크게 변화한다. 한편, 제 2 고주파 전력이 변화한 경우, 센터 부분 등 탑재대(16)의 면 내의 중앙 부근과 포커스 링 부분 등 탑재대(16)의 면 내의 주변 부근의 영역의 히터 HT로부터의 발열량 qh가 크게 변화한다. 따라서, 각 분할 영역(75)의 히터 HT로부터의 발열량 qh의 변화 패턴으로부터 제 1 고주파 전력과 제 2 고주파 전력의 어느 쪽의 파워가 변화했는지를 특정할 수 있다.In addition, for example, when the power of the first high-frequency power from the first high-frequency power supply HFS or the power of the second high-frequency power from the second high-frequency power supply LFS changes, the plasma processing apparatus 10 receives heat from the plasma. This turns. Thereby, the heater power Ph supplied to each heater HT in the normal state in which the plasma was ignited changes, and the heat generation amount qh from the heater HT per unit area changes. The calorific value qh is determined by dividing the heater power Ph by the area for each heater HT. 8B is a view showing an example of a change in the amount of heat generated from the heater when the first high frequency power and the second high frequency power are changed. In FIG. 8B, the heater HT provided in each of the divided regions 75 of the center portion, the middle portion, the edge portion, and the focus ring portion F / R in the normal state in which the plasma is ignited is supplied. The change of the heat generation amount qh from the heater HT by the heater power Ph to be shown is shown. The solid line shows the change in the heat generation amount qh from the heater HT when the power of the first high-frequency power is changed from 500W to 1000W. The broken line shows the change in the heat generation amount qh from the heater HT when the power of the second high-frequency power is changed from 500W to 1000W. As described above, when the power of the first high-frequency power or the power of the second high-frequency power is increased, the amount of heat input from the plasma is increased, and thus the amount of heat generated by the heater HT qh decreases. In addition, as shown by the solid line and the broken line, in the first high frequency power and the second high frequency power, there is a difference in temperature in each divided region 75 due to a change in power. For example, when the power of the first high-frequency electric power changes, the heat generation amount qh from the heater HT greatly changes in an area near the periphery in the surface of the mounting table 16 such as the focus ring portion. On the other hand, when the second high-frequency power has changed, the heat generation amount qh from the heater HT in the area near the center in the surface of the mounting table 16 such as the center portion and around the surface in the surface of the mounting table 16 such as the focus ring portion is large. Changes. Therefore, it is possible to specify which of the first high-frequency power and the second high-frequency power has changed from the change pattern of the heat generation amount qh from the heater HT of each divided region 75.

또한, 예컨대, 플라즈마 처리 장치(10)는, 처리 용기(12) 내의 압력이 변화한 경우, 플라즈마로부터의 입열량이 변한다. 이것에 의해, 플라즈마를 점화한 정상 상태에서 각 히터 HT에 공급되는 히터 파워 Ph가 변화하고, 단위 면적당의 히터 HT로부터의 발열량 qh가 변화한다. 발열량 qh는, 히터 파워 Ph를 히터 HT마다의 면적으로 나누는 것에 의해 구해진다. 도 8c는 처리 용기 내의 압력이 변화한 경우의 히터로부터의 발열량의 변화의 일례를 나타낸 도면이다. 도 8c에는, 플라즈마를 점화한 정상 상태에서 센터 부분(Center), 미들 부분(Middle), 에지 부분(Edge), 포커스 링 부분(F/R)의 각 분할 영역(75)에 마련된 히터 HT에 공급되는 히터 HT로부터의 발열량 qh의 변화가 나타나 있다. 실선은, 처리 용기(12) 내의 압력을 30mTorr로부터 50mTorr로 변화시킨 경우의 히터 HT로부터의 발열량 qh의 변화를 나타내고 있다. 이와 같이, 처리 용기(12) 내의 압력이 증가한 경우, 플라즈마로부터의 입열량이 저하되기 때문에, 히터 HT로부터의 발열량 qh가 증가한다. 또한, 처리 용기(12) 내의 압력이 증가한 경우, 포커스 링 부분 등 탑재대(16)의 면 내의 주변 부근의 영역의 히터 HT로부터의 발열량 qh가 크게 변화한다. 따라서, 각 분할 영역(75)의 히터 HT로부터의 발열량 qh의 변화 패턴으로부터 처리 용기(12) 내의 압력이 변화했는지를 특정할 수 있다.In addition, for example, when the pressure in the processing container 12 changes in the plasma processing apparatus 10, the amount of heat input from the plasma changes. Thereby, the heater power Ph supplied to each heater HT in the normal state in which the plasma was ignited changes, and the heat generation amount qh from the heater HT per unit area changes. The calorific value qh is determined by dividing the heater power Ph by the area for each heater HT. 8C is a view showing an example of a change in the amount of heat generated from the heater when the pressure in the processing container changes. In FIG. 8C, the heater HT provided in each divided region 75 of the center portion, middle portion, edge portion, and focus ring portion F / R is supplied to the heater HT in a normal state in which plasma is ignited. The change in the heating value qh from the heater HT to be shown is shown. The solid line shows the change in the amount of heat generated qh from the heater HT when the pressure in the processing container 12 is changed from 30 mTorr to 50 mTorr. In this way, when the pressure in the processing container 12 increases, the amount of heat input from the plasma decreases, so the amount of heat generated by the heater HT qh increases. In addition, when the pressure in the processing container 12 increases, the amount of heat generated by the heater HT in the area around the periphery of the surface of the mounting table 16, such as the focus ring portion, greatly changes. Therefore, it is possible to specify whether the pressure in the processing container 12 has changed from the change pattern of the amount of heat generated qh from the heater HT of each divided region 75.

또한, 예컨대, 플라즈마 처리 장치(10)는, 정전 척(18)의 상면과 웨이퍼 W의 이면의 사이에 공급되는 전열 가스의 압력이 변화한 경우, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항이 변화한다. 또한, 플라즈마 처리 장치(10)는, 웨이퍼 W의 이면 막 두께가 변화한 경우, 웨이퍼 W와 정전 척(18)의 표면 사이의 열저항이 변화한다. 도 8d는 전열 가스의 압력, 웨이퍼 W의 이면 막 두께가 변화한 경우의 열저항의 변화의 일례를 나타낸 도면이다. 도 8d에는, 센터 부분(Center), 미들 부분(Middle), 에지 부분(Edge), 포커스 링 부분(F/R)의 각 분할 영역(75)의 열저항 RthㆍA의 변화가 나타나 있다. 실선은, 정전 척(18)의 상면과 웨이퍼 W의 이면의 사이에 공급되는 전열 가스의 압력을 10Torr로부터 30Torr로 변화시킨 경우의 열저항의 변화를 나타내고 있다. 파선은, 웨이퍼 W의 이면의 SiO2층의 막 두께를 0㎚로부터 1000㎚로 변화시킨 경우의 열저항의 변화를 나타내고 있다. 이와 같이, 전열 가스의 압력이나 웨이퍼 W의 이면의 막 두께가 상승한 경우, 열저항이 변화하여 플라즈마로부터의 입열량이 변화한다. 또한, 실선과 파선에 나타내는 바와 같이, 전열 가스의 압력과 웨이퍼 W의 이면의 막 두께에서는 열저항의 변화에 차이가 있다. 예컨대, 전열 가스의 압력이 변화한 경우, 센터 부분 등 웨이퍼 W의 중앙 부근의 영역의 열저항이 크게 변화한다. 한편, 웨이퍼 W의 이면의 막 두께가 변화한 경우, 웨이퍼 W의 영역 전체에서 열저항이 크게 변화한다. 따라서, 각 분할 영역(75)의 열저항의 변화 패턴으로부터 전열 가스의 압력이나 웨이퍼 W의 이면의 막 두께가 변화했는지를 특정할 수 있다.In addition, for example, when the pressure of the heat transfer gas supplied between the upper surface of the electrostatic chuck 18 and the back surface of the wafer W changes, for example, the plasma processing apparatus 10 is between the wafer W and the surface of the electrostatic chuck 18. Heat resistance changes. Further, in the plasma processing apparatus 10, when the film thickness of the back surface of the wafer W changes, the thermal resistance between the wafer W and the surface of the electrostatic chuck 18 changes. 8D is a view showing an example of a change in thermal resistance when the pressure of the heat transfer gas and the film thickness of the back surface of the wafer W are changed. In FIG. 8D, the change of the thermal resistance Rth · A of each divided region 75 of the center portion, middle portion, edge portion, and focus ring portion F / R is shown. The solid line shows the change in thermal resistance when the pressure of the heat transfer gas supplied between the upper surface of the electrostatic chuck 18 and the rear surface of the wafer W is changed from 10 Torr to 30 Torr. The broken line shows the change in thermal resistance when the film thickness of the SiO2 layer on the back surface of the wafer W is changed from 0 nm to 1000 nm. As described above, when the pressure of the heat transfer gas or the film thickness of the back surface of the wafer W rises, the thermal resistance changes and the amount of heat input from the plasma changes. In addition, as shown by the solid line and the broken line, there is a difference in the change in thermal resistance between the pressure of the heat transfer gas and the film thickness of the back surface of the wafer W. For example, when the pressure of the heat transfer gas changes, the thermal resistance of the region near the center of the wafer W, such as the center portion, greatly changes. On the other hand, when the film thickness of the back surface of the wafer W changes, the thermal resistance varies greatly over the entire area of the wafer W. Therefore, it is possible to specify whether the pressure of the heat transfer gas or the film thickness of the back surface of the wafer W has changed from the change pattern of the thermal resistance of each divided region 75.

도 3으로 돌아간다. 히터 제어부(102a)는, 각 히터 HT의 온도를 제어한다. 예컨대, 히터 제어부(102a)는, 각 히터 HT로의 공급 전력을 지시하는 제어 데이터를 히터 전원 HP에 출력하여, 히터 전원 HP로부터 각 히터 HT에 공급하는 공급 전력을 제어하는 것에 의해, 각 히터 HT의 온도를 제어한다.Return to FIG. 3. The heater control unit 102a controls the temperature of each heater HT. For example, the heater control unit 102a outputs control data instructing the supply power to each heater HT to the heater power supply HP and controls the supply power supplied from the heater power supply HP to each heater HT. Control the temperature.

플라즈마 처리 때, 히터 제어부(102a)에는, 각 히터 HT의 목표로 하는 설정 온도가 설정된다. 예컨대, 히터 제어부(102a)에는, 탑재 영역(18a)의 각 분할 영역(75)마다, 목표로 하는 웨이퍼 W의 온도가, 그 분할 영역(75)의 히터 HT의 설정 온도로서 설정된다. 이 목표로 하는 웨이퍼 W의 온도는, 예컨대, 웨이퍼 W에 대한 플라즈마 에칭의 정밀도가 가장 양호해지는 온도이다.At the time of plasma processing, the target control temperature of each heater HT is set in the heater control part 102a. For example, in the heater control unit 102a, the temperature of the target wafer W is set for each of the divided regions 75 of the mounting region 18a as the set temperature of the heater HT of the divided region 75. The temperature of the target wafer W is, for example, a temperature at which the precision of plasma etching for the wafer W becomes the best.

히터 제어부(102a)는, 플라즈마 처리 때, 각 히터 HT가 설정된 설정 온도가 되도록 각 히터 HT로의 공급 전력을 제어한다. 예컨대, 히터 제어부(102a)는, 외부 인터페이스(101)에 입력하는 온도 데이터가 나타내는 탑재 영역(18a)의 각 분할 영역(75)의 온도를, 분할 영역(75)마다, 그 분할 영역(75)의 설정 온도와 비교한다. 히터 제어부(102a)는, 비교 결과를 이용하여, 설정 온도에 비하여 온도가 낮은 분할 영역(75), 및, 설정 온도에 비하여 온도가 높은 분할 영역(75)을 특정한다. 히터 제어부(102a)는, 설정 온도에 비하여 온도가 낮은 분할 영역(75)에 대한 공급 전력을 증가시키고, 설정 온도에 비하여 온도가 높은 분할 영역(75)에 대한 공급 전력을 감소시키는 제어 데이터를 히터 전원 HP에 출력한다.The heater control unit 102a controls the supply power to each heater HT so that each heater HT becomes a preset temperature during plasma processing. For example, the heater control unit 102a sets the temperature of each divided region 75 of the mounting region 18a indicated by the temperature data input to the external interface 101 for each divided region 75, and the divided region 75 Compare with the set temperature. The heater control unit 102a uses the comparison result to identify the divided region 75 having a lower temperature than the set temperature, and the divided region 75 having a higher temperature than the set temperature. The heater control unit 102a increases control data for increasing the supply power to the divided region 75 having a lower temperature than the set temperature, and reducing the supply power to the divided region 75 having a higher temperature than the set temperature. Output to power HP.

제 1 취득부(102b)는, 플라즈마 처리의 처리 조건이 변화한 경우의 탑재대(16)의 온도에 관한 값의 변화를 나타낸 변화 정보(104a)를 취득한다.The 1st acquisition part 102b acquires the change information 104a which shows the change of the value regarding the temperature of the mounting table 16 when the processing conditions of plasma processing change.

먼저, 제 1 취득부(102b)는, 웨이퍼 W를 탑재대(16)에 배치하고, 플라즈마 처리의 처리 조건으로 된 처리 파라미터를 표준 조건으로 하여, 플라즈마 처리를 실시하여, 탑재대(16)의 온도에 관한 값을 취득한다. 표준 조건은, 예컨대, 반도체를 생산하는 생산 프로세스에서 웨이퍼 W에 대하여 실제의 플라즈마 처리를 실시하는 처리 조건으로 한다. 제 1 취득부(102b)는, 처리 조건을 표준 조건으로 하여, 플라즈마 처리를 실시하고, 탑재대(16)의 온도에 관한 값을 취득한다.First, the first acquiring unit 102b places the wafer W on the mounting table 16, performs plasma processing with the processing parameters set as the processing conditions for plasma processing as standard conditions, and performs the plasma processing. Get the temperature value. The standard conditions are, for example, processing conditions for performing actual plasma processing on the wafer W in a production process for producing semiconductors. The first acquiring unit 102b performs plasma processing with the processing conditions as standard conditions, and acquires values relating to the temperature of the mounting table 16.

플라즈마 처리 때, 히터 제어부(102a)에는, 각 히터 HT의 목표로 하는 설정 온도가 설정된다. 히터 제어부(102a)는, 플라즈마 처리 때, 각 히터 HT가 설정된 설정 온도가 되도록 각 히터 HT로의 공급 전력을 제어한다.At the time of plasma processing, the target control temperature of each heater HT is set in the heater control part 102a. The heater control unit 102a controls the supply power to each heater HT so that each heater HT becomes a preset temperature during plasma processing.

제 1 취득부(102b)는, 히터 제어부(102a)에 의해, 각 히터 HT의 온도가 일정하게 되도록 각 히터 HT로의 공급 전력을 제어한 상태에서, 플라즈마 처리를 실시하여, 탑재대(16)의 온도에 관한 값을 취득한다. 예컨대, 제 1 취득부(102b)는, 플라즈마 처리의 개시 전의 플라즈마가 미점화 상태에서의 각 히터 HT로의 공급 전력을 계측한다. 또한, 제 1 취득부(102b)는, 플라즈마를 점화하고 나서 각 히터 HT로의 공급 전력이 저하되는 경향의 변동이 안정될 때까지의 과도 상태에서의 각 히터 HT로의 공급 전력을 계측한다. 또한, 제 1 취득부(102b)는, 플라즈마를 점화한 후, 각 히터 HT로의 공급 전력의 저하가 없어져 안정된 정상 상태에서의 각 히터 HT로의 공급 전력을 계측한다. 미점화 상태에서의 각 히터 HT로의 공급 전력은, 각 히터 HT에서 적어도 하나 계측되고 있으면 되고, 복수 회 계측하여 평균치를 미점화 상태의 공급 전력으로 하더라도 좋다. 과도 상태 및 정상 상태에서의 각 히터 HT로의 공급 전력은, 2회 이상 계측되고 있으면 된다. 공급 전력을 계측하는 계측 타이밍은, 공급 전력이 저하되는 경향이 큰 타이밍을 포함하는 것이 바람직하다. 또한, 계측 타이밍은, 계측 횟수가 적은 경우, 소정 기간 이상 떨어져 있는 것이 바람직하다. 본 실시 형태에서는, 제 1 취득부(102b)는, 플라즈마 처리의 기간 중, 소정 주기(예컨대, 0.1초 주기)로 각 히터 HT로의 공급 전력을 계측한다. 이것에 의해, 과도 상태 및 정상 상태에서의 각 히터 HT로의 공급 전력이 다수 계측된다.The 1st acquisition part 102b performs plasma processing, with the heater control part 102a controlling the supply electric power to each heater HT so that the temperature of each heater HT becomes constant, and performs the plasma processing, Get the temperature value. For example, the first acquisition unit 102b measures the supply power to each heater HT in a state where the plasma before the start of plasma processing is in the unignited state. Moreover, the 1st acquisition part 102b measures the supply power to each heater HT in the transient state until the fluctuation of the tendency for the supply power to each heater HT to fall after igniting a plasma is stabilized. In addition, after the plasma is ignited, the first acquiring unit 102b measures the supply power to each heater HT in a stable steady state because the supply power to each heater HT disappears. The supply power to each heater HT in the unignited state may be measured at least one in each heater HT, and may be measured multiple times to make the average value the supply power in the unignited state. The supply power to each heater HT in the transient state and the normal state may be measured two or more times. It is preferable that the measurement timing for measuring the supply power includes a timing at which the supply power tends to decrease. In addition, it is preferable that the measurement timing is separated by a predetermined period or more when the number of measurements is small. In this embodiment, the 1st acquisition part 102b measures the supply electric power to each heater HT in a predetermined period (for example, 0.1 second period) during the period of plasma processing. Thereby, the supply power to each heater HT in a transient state and a normal state is measured many.

제 1 취득부(102b)는, 소정의 사이클로, 미점화 상태, 과도 상태 및 정상 상태의 각 히터 HT로의 공급 전력을 계측한다.The first acquiring unit 102b measures the supply power to each heater HT in an unignited state, a transient state, and a normal state in a predetermined cycle.

제 1 취득부(102b)는, 히터 HT마다, 미점화 상태에서 온도를 소정의 온도로 유지하기 위한 히터 HT에서의 발열량을 산출한다. 예컨대, 제 1 취득부(102b)는, 히터 HT마다, 미점화 상태에서의 히터 HT로의 공급 전력으로부터, 미점화 상태의 히터 파워 Ph0을 산출한다.The first acquisition unit 102b calculates the amount of heat generated by the heater HT for maintaining the temperature at a predetermined temperature in an unignited state for each heater HT. For example, the first acquisition unit 102b calculates the heater power Ph0 in the unignited state from the power supplied to the heater HT in the unignited state for each heater HT.

또한, 제 1 취득부(102b)는, 히터 HT마다, 웨이퍼 W와 탑재대(16)의 사이의 열저항, 점화 상태에서 플라즈마로부터 탑재대(16)에 유입되는 입열량을 산출한다. 예컨대, 제 1 취득부(102b)는, 히터 HT마다, 플라즈마로부터의 입열량 및 웨이퍼 W와 히터 HT 사이의 열저항을 파라미터로 하고, 과도 상태의 공급 전력을 산출하는 산출 모델에 대하여, 계측된 미점화 상태와 과도 상태의 공급 전력을 이용하여 피팅을 행하여, 히터 HT마다 입열량 및 열저항을 산출한다.Further, the first acquisition unit 102b calculates the heat resistance between the wafer W and the mounting table 16 for each heater HT, and the amount of heat input from the plasma to the mounting table 16 in the ignition state. For example, the first acquisition unit 102b is measured for a calculation model for calculating the supply power in a transient state by using the heat input amount from the plasma and the heat resistance between the wafer W and the heater HT as parameters for each heater HT. Fitting is performed using supply power in an unignited state and a transient state to calculate the heat input amount and heat resistance for each heater HT.

예컨대, 제 1 취득부(102b)는, 히터 HT마다, 경과 시간 t마다의 미점화 상태의 히터 파워 Ph0을 구한다. 또한, 제 1 취득부(102b)는, 히터 HT마다, 경과 시간 t마다의 과도 상태의 히터 파워 Ph를 구한다. 제 1 취득부(102b)는, 구한 히터 파워 Ph0을 히터 HT마다의 면적으로 나누는 것에 의해, 경과 시간 t마다의 미점화 상태의 단위 면적당의 히터 HT로부터의 발열량 qh0을 구한다. 또한, 제 1 취득부(102b)는, 구한 히터 파워 Ph를 히터 HT마다의 면적으로 나누는 것에 의해, 경과 시간 t마다의 과도 상태의 단위 면적당의 히터 HT로부터의 발열량 qh를 구한다.For example, the first acquisition unit 102b finds the heater power Ph0 in the unignited state for each heater HT and for every elapsed time t. Moreover, the 1st acquisition part 102b finds the heater power Ph of the transient state for every heater HT and every elapsed time t. The first acquisition unit 102b obtains the amount of heat generated qh0 from the heater HT per unit area in the unignited state for each elapsed time t by dividing the calculated heater power Ph0 by the area for each heater HT. Moreover, the 1st acquisition part 102b calculates the heat generation amount qh from the heater HT per unit area of the transient state every elapsed time t by dividing the calculated | required heater power Ph by the area for every heater HT.

그리고, 제 1 취득부(102b)는, 상기의 식 (1)-(11)을 산출 모델로서 이용하여, 히터 HT마다, 경과 시간 t마다의 발열량 qh 및 발열량 qh0의 피팅을 행하고, 오차가 가장 작아지는 열 유속 qp, 및, 열저항 RthㆍA를 산출한다.Then, the first acquiring unit 102b uses the above equations (1)-(11) as a calculation model to fit the heating amount qh and the heating amount qh0 for each heater HT and for each elapsed time t, and the error is the most. The smaller heat flux qp and the thermal resistance Rth · A are calculated.

또, 제 1 취득부(102b)는, 미점화 상태의 공급 전력과 정상 상태의 공급 전력의 차이로부터 플라즈마로부터 웨이퍼 W로의 입열량을 산출하더라도 좋다. 예컨대, 제 1 취득부(102b)는, 식 (12)를 이용하여, 미점화 상태의 히터 파워 Ph0과 정상 상태의 히터 파워 Ph의 차이를 히터 HT마다의 면적으로 나누는 것으로부터 열 유속 qp를 산출하더라도 좋다.Further, the first acquisition unit 102b may calculate the amount of heat input from the plasma to the wafer W from the difference between the supply power in the unignited state and the supply power in the steady state. For example, the first acquisition unit 102b calculates the heat flux qp by dividing the difference between the unpowered heater power Ph0 and the steady state heater power Ph by the area for each heater HT using equation (12). You can do it.

다음으로, 제 1 취득부(102b)는, 플라즈마 처리의 처리 조건으로 된 처리 파라미터를 변화시킨다. 예컨대, 제 1 취득부(102b)는, 처리 파라미터로서, 제 1 고주파 전력의 파워, 제 2 고주파 전력의 파워, 전열 가스의 압력, 웨이퍼 W의 이면 막 두께, 상부 전극(30)의 온도, 퇴적물 실드(46)의 온도의 어느 하나를 변화시킨다. 또, 처리 파라미터는, 하나씩 변화시키는 것이 바람직하지만, 복수 동시에 변화시키더라도 좋다.Next, the first acquiring unit 102b changes processing parameters which are the processing conditions of the plasma processing. For example, the first acquisition unit 102b includes, as processing parameters, the power of the first high-frequency power, the power of the second high-frequency power, the pressure of the heating gas, the thickness of the back film of the wafer W, the temperature of the upper electrode 30, and deposits. Any one of the temperatures of the shield 46 is changed. Moreover, although it is preferable to change process parameters one by one, you may change it simultaneously in multiple numbers.

제 1 취득부(102b)는, 탑재대(16)에 새로운 웨이퍼 W를 배치하고, 변화시킨 처리 조건으로 플라즈마 처리를 실시하여, 탑재대(16)의 온도에 관한 값을 취득한다. 예컨대, 제 1 취득부(102b)는, 히터 제어부(102a)가 각 히터 HT의 온도가 일정한 설정 온도가 되도록 각 히터 HT로의 공급 전력을 제어하고 있는 상태에서, 플라즈마 처리의 미점화 상태, 과도 상태 및 정상 상태에서의 각 히터 HT로의 공급 전력을 계측한다.The first acquisition unit 102b places a new wafer W on the mounting table 16, performs plasma processing under the changed processing conditions, and acquires a value relating to the temperature of the mounting table 16. For example, in the state where the heater control unit 102a controls the supply power to each heater HT such that the temperature of each heater HT becomes a constant set temperature, the first acquiring unit 102b is in an unignited state or a transient state of plasma processing. And supply power to each heater HT in a steady state.

제 1 취득부(102b)는, 히터 HT마다, 미점화 상태에서의 히터 HT로의 공급 전력으로부터, 미점화 상태에서 온도를 소정의 온도로 유지하기 위한 히터 HT에서의 발열량으로서 미점화 상태의 히터 파워 Ph0을 산출한다. 또한, 제 1 취득부(102b)는, 상술한 산출 모델에 대하여, 계측된 미점화 상태와 과도 상태의 공급 전력을 이용하여 피팅을 행하여, 히터 HT마다 웨이퍼 W로의 입열량 및 열저항을 산출한다. 또, 제 1 취득부(102b)는, 미점화 상태의 공급 전력과 정상 상태의 공급 전력의 차이로부터 플라즈마로부터 웨이퍼 W로의 입열량을 산출하더라도 좋다.The first acquiring unit 102b is the heater power in the unignited state as the amount of heat generated in the heater HT for maintaining the temperature at a predetermined temperature in the unignited state from the power supplied to the heater HT in the unignited state for each heater HT. Calculate Ph0. Further, the first acquisition unit 102b performs fitting on the above-described calculation model using the measured supply power of the unignited state and the transient state, and calculates the amount of heat input and heat resistance to the wafer W for each heater HT. . Further, the first acquisition unit 102b may calculate the amount of heat input from the plasma to the wafer W from the difference between the supply power in the unignited state and the supply power in the steady state.

제 1 취득부(102b)는, 플라즈마 처리의 처리 조건으로 된 처리 파라미터를 각각 소정의 범위에서 변화시켜, 탑재대(16)의 온도에 관한 값을 취득한다. 예컨대, 제 1 취득부(102b)는, 플라즈마 처리의 처리 조건으로 된 처리 파라미터를 각각 소정의 범위에서 변화시켜, 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA를 산출한다. 그리고, 제 1 취득부(102b)는, 플라즈마 처리의 처리 조건이 변화한 경우의 탑재대(16)의 온도에 관한 값의 변화를 나타낸 변화 정보(104a)를 생성한다. 예컨대, 제 1 취득부(102b)는, 플라즈마 처리의 처리 조건으로 된 변화시킨 처리 파라미터마다, 각 분할 영역(75)의 탑재대(16)의 온도에 관한 값의 변화 패턴을 기록한 변화 정보(104a)를 생성한다. 예컨대, 제 1 취득부(102b)는, 제 1 고주파 전력의 파워, 제 2 고주파 전력의 파워, 전열 가스의 압력, 웨이퍼 W의 이면 막 두께, 상부 전극(30)의 온도, 퇴적물 실드(46)의 온도가 변화한 경우의 각 분할 영역(75)의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 변화를 나타낸 변화 패턴을 기록한 변화 정보(104a)를 생성한다. 변화 정보(104a)는, 처리 조건마다, 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA를 기억시킨 것으로 하더라도 좋다. 또한, 변화 정보(104a)는, 어느 하나의 처리 조건에서의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA를 기준 처리 조건으로 하여, 기준 처리 조건과는 상이한 처리 조건과의 사이에서의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 차이를 기억한 것으로 하더라도 좋다. 제 1 취득부(102b)는, 생성한 변화 정보(104a)를 기억부(104)에 기억시킨다.The first acquiring unit 102b acquires a value relating to the temperature of the mounting table 16 by changing processing parameters which are the processing conditions of the plasma processing in a predetermined range, respectively. For example, the first acquiring unit 102b calculates the heater power Ph0, the heat flux qp, and the heat resistance Rth · A by changing the processing parameters which are the processing conditions of the plasma processing in a predetermined range, respectively. Then, the first acquisition unit 102b generates change information 104a showing a change in the value of the temperature of the mounting table 16 when the processing conditions of the plasma processing change. For example, the first acquiring unit 102b changes information 104a recording a change pattern of a value related to the temperature of the mounting table 16 of each divided region 75 for each changed processing parameter that is a processing condition for plasma processing. ). For example, the first acquisition unit 102b includes the power of the first high-frequency power, the power of the second high-frequency power, the pressure of the heating gas, the thickness of the back surface of the wafer W, the temperature of the upper electrode 30, and the sediment shield 46 Change information 104a is recorded in which a change pattern showing changes in heater power Ph0, heat flow rate qp, and heat resistance Rth · A of each divided region 75 when the temperature of? The change information 104a may be obtained by storing the heater power Ph0, the heat flux qp, and the heat resistance Rth · A for each processing condition. In addition, the change information 104a sets the heater power Ph0, the heat flux qp, and the heat resistance Rth · A under any one of the processing conditions as the standard processing conditions, and the processing conditions different from the standard processing conditions. The difference between the heater power Ph0, the heat flux qp, and the heat resistance Rth · A may be stored. The first acquisition unit 102b stores the generated change information 104a in the storage unit 104.

이것에 의해, 기억부(104)에는, 변화 정보(104a)가 기억된다. 또, 본 실시 형태에서는, 제 1 취득부(102b)가 처리 조건을 바꾼 플라즈마 처리를 실시하여 변화 정보(104a)를 취득하는 경우를 예로 설명하지만, 이것으로 한정되는 것이 아니다. 기억부(104)에는, 사전에 준비된 변화 정보(104a)나 다른 장치에서 생성된 변화 정보(104a)가 기억되더라도 좋다.In this way, change information 104a is stored in the storage unit 104. In the present embodiment, the case where the first acquiring unit 102b acquires the change information 104a by performing plasma processing in which the processing conditions are changed is described as an example, but is not limited to this. The storage unit 104 may store change information 104a prepared in advance or change information 104a generated by another device.

그런데, 상술한 바와 같이, 플라즈마 처리 장치(10)는, 이상이나 고장의 발생이나 시간에 따른 변화 등에 의해, 플라즈마 처리의 처리 조건이 변화하는 경우가 있다. 그래서, 실시 형태와 관련되는 플라즈마 처리 장치(10)는, 반도체를 생산하는 생산 프로세스에서 웨이퍼 W에 플라즈마 처리를 행할 때, 기억부(104)에 기억된 변화 정보(104a)에 근거하여, 플라즈마 처리의 처리 조건의 변화를 감시한다.However, as described above, the plasma processing apparatus 10 may change the processing conditions of the plasma processing due to occurrence of an abnormality or failure, change over time, or the like. Thus, the plasma processing apparatus 10 according to the embodiment performs plasma processing based on the change information 104a stored in the storage unit 104 when plasma processing is performed on the wafer W in a production process for producing a semiconductor. Monitors for changes in processing conditions.

플라즈마 처리 때, 히터 제어부(102a)에는, 각 히터 HT의 목표로 하는 설정 온도가 설정된다. 히터 제어부(102a)는, 플라즈마 처리 때, 각 히터 HT가 설정된 설정 온도가 되도록 각 히터 HT로의 공급 전력을 제어한다.At the time of plasma processing, the target control temperature of each heater HT is set in the heater control part 102a. The heater control unit 102a controls the supply power to each heater HT so that each heater HT becomes a preset temperature during plasma processing.

제 2 취득부(102c)는, 히터 제어부(102a)가 각 히터 HT의 온도가 일정한 설정 온도가 되도록 각 히터 HT로의 공급 전력을 제어하고 있는 상태에서, 플라즈마 처리를 실시하여, 탑재대(16)의 온도에 관한 값을 취득한다. 예컨대, 제 2 취득부(102c)는, 플라즈마 처리의 개시 전의 플라즈마가 미점화 상태에서의 각 히터 HT로의 공급 전력을 계측한다. 또한, 제 2 취득부(102c)는, 플라즈마를 점화하고 나서 각 히터 HT로의 공급 전력이 저하되는 경향의 변동이 안정될 때까지의 과도 상태에서의 각 히터 HT로의 공급 전력을 계측한다. 또한, 제 2 취득부(102c)는, 플라즈마를 점화한 후, 각 히터 HT로의 공급 전력의 저하가 없어져 안정된 정상 상태에서의 각 히터 HT로의 공급 전력을 계측한다. 미점화 상태에서의 각 히터 HT로의 공급 전력은, 각 히터 HT에서 적어도 하나 계측되고 있으면 되고, 복수 회 계측하여 평균치를 미점화 상태의 공급 전력으로 하더라도 좋다. 과도 상태 및 정상 상태에서의 각 히터 HT로의 공급 전력은, 2회 이상 계측되고 있으면 된다. 공급 전력을 계측하는 계측 타이밍은, 공급 전력이 저하되는 경향이 큰 타이밍을 포함하는 것이 바람직하다. 또한, 계측 타이밍은, 계측 횟수가 적은 경우, 소정 기간 이상 떨어져 있는 것이 바람직하다. 본 실시 형태에서는, 제 2 취득부(102c)는, 플라즈마 처리의 기간 중, 소정 주기(예컨대, 0.1초 주기)로 각 히터 HT로의 공급 전력을 계측한다. 이것에 의해, 과도 상태 및 정상 상태에서의 각 히터 HT로의 공급 전력이 다수 계측된다.The 2nd acquisition part 102c performs plasma processing, with the heater control part 102a controlling the supply electric power to each heater HT so that the temperature of each heater HT becomes a constant set temperature, and mount table 16 Acquire a value related to temperature. For example, the second acquisition unit 102c measures the power supplied to each heater HT in a state where the plasma before the start of the plasma processing is in the unignited state. Further, the second acquisition unit 102c measures the supply power to each heater HT in a transient state until the fluctuation in the tendency for the supply power to each heater HT to decrease after igniting the plasma is stabilized. In addition, after the plasma is ignited, the second acquiring unit 102c measures the supply power to each heater HT in a stable steady state because the supply power to each heater HT disappears. The supply power to each heater HT in the unignited state may be measured at least one in each heater HT, and may be measured multiple times to make the average value the supply power in the unignited state. The supply power to each heater HT in the transient state and the normal state may be measured two or more times. It is preferable that the measurement timing for measuring the supply power includes a timing at which the supply power tends to decrease. In addition, it is preferable that the measurement timing is separated by a predetermined period or more when the number of measurements is small. In the present embodiment, the second acquisition unit 102c measures the supply power to each heater HT at a predetermined cycle (for example, a 0.1 second cycle) during the plasma processing period. Thereby, the supply power to each heater HT in a transient state and a normal state is measured many.

제 2 취득부(102c)는, 소정의 사이클로, 미점화 상태와, 과도 상태와, 정상 상태의 각 히터 HT로의 공급 전력을 계측한다. 예컨대, 제 2 취득부(102c)는, 웨이퍼 W가 교환되고, 교환된 웨이퍼 W를 탑재대(16)에 탑재하여 플라즈마 처리를 행할 때에, 매회, 미점화 상태와, 과도 상태와, 정상 상태의 각 히터 HT로의 공급 전력을 계측한다. 또, 예컨대, 제 2 취득부(102c)는, 플라즈마 처리마다, 미점화 상태와, 과도 상태와, 정상 상태의 각 히터 HT로의 공급 전력을 계측하더라도 좋다.The second acquiring unit 102c measures the power supplied to each heater HT in an unignited state, a transient state, and a steady state in a predetermined cycle. For example, in the second acquisition unit 102c, when the wafer W is exchanged and the exchanged wafer W is mounted on the mounting table 16 to perform plasma processing, each time, the unignited state, the transient state, and the normal state Measure the power supplied to each heater HT. In addition, for example, the second acquisition unit 102c may measure the supply power to each heater HT in an unignited state, a transient state, and a normal state for each plasma process.

제 2 취득부(102c)는, 히터 HT마다, 미점화 상태에서 온도를 소정의 온도로 유지하기 위한 히터 HT에서의 발열량을 산출한다. 예컨대, 제 2 취득부(102c)는, 히터 HT마다, 미점화 상태에서의 히터 HT로의 공급 전력으로부터, 미점화 상태의 히터 파워 Ph0을 산출한다.The second acquiring unit 102c calculates the amount of heat generated in the heater HT for maintaining the temperature at a predetermined temperature in the unignited state for each heater HT. For example, the second acquisition unit 102c calculates the heater power Ph0 in the unignited state from the power supplied to the heater HT in the unignited state for each heater HT.

또한, 제 2 취득부(102c)는, 히터 HT마다, 웨이퍼 W와 탑재대(16)의 사이의 열저항, 점화 상태에서 플라즈마로부터 탑재대(16)에 유입되는 입열량을 산출한다. 예컨대, 제 2 취득부(102c)는, 히터 HT마다, 상술한 산출 모델에 대하여, 계측된 미점화 상태와 과도 상태의 공급 전력을 이용하여 피팅을 행하여, 입열량 및 열저항을 산출한다.Further, the second acquisition unit 102c calculates the heat resistance between the wafer W and the mounting table 16 for each heater HT, and the amount of heat input from the plasma to the mounting table 16 in the ignition state. For example, the second acquisition unit 102c performs fitting for each heater HT using the measured supply power in the unignited state and the transient state with respect to the above-described calculation model, thereby calculating the heat input amount and the thermal resistance.

예컨대, 제 2 취득부(102c)는, 히터 HT마다, 경과 시간 t마다의 미점화 상태의 히터 파워 Ph0을 구한다. 또한, 제 2 취득부(102c)는, 히터 HT마다, 경과 시간 t마다의 과도 상태의 히터 파워 Ph를 구한다. 제 2 취득부(102c)는, 구한 히터 파워 Ph0을 히터 HT마다의 면적으로 나누는 것에 의해, 경과 시간 t마다의 미점화 상태의 단위 면적당의 히터 HT로부터의 발열량 qh0을 구한다. 또한, 제 2 취득부(102c)는, 구한 히터 파워 Ph를 히터 HT마다의 면적으로 나누는 것에 의해, 경과 시간 t마다의 과도 상태의 단위 면적당의 히터 HT로부터의 발열량 qh를 구한다.For example, the second acquisition unit 102c obtains the heater power Ph0 in the unignited state for each heater HT and for each elapsed time t. Moreover, the 2nd acquisition part 102c calculates the heater power Ph of the transient state for every heater HT and every elapsed time t. The second acquiring unit 102c obtains the heat generation amount qh0 from the heater HT per unit area in the unignited state for each elapsed time t by dividing the calculated heater power Ph0 by the area for each heater HT. Moreover, the 2nd acquisition part 102c calculates the heat generation amount qh from the heater HT per unit area of the transient state every elapsed time t by dividing the calculated | required heater power Ph by the area for every heater HT.

그리고, 제 2 취득부(102c)는, 상기의 식 (1)-(11)을 산출 모델로서 이용하여, 히터 HT마다, 경과 시간 t마다의 발열량 qh 및 발열량 qh0의 피팅을 행하고, 오차가 가장 작아지는 열 유속 qp, 및, 열저항 RthㆍA를 산출한다.Then, the second acquiring unit 102c uses the above equations (1)-(11) as a calculation model to fit the heating amount qh and the heating amount qh0 for each heater HT and for each elapsed time t, and the error is the most. The smaller heat flux qp and the thermal resistance Rth · A are calculated.

또, 제 2 취득부(102c)는, 미점화 상태의 공급 전력과 정상 상태의 공급 전력의 차이로부터 플라즈마로부터 웨이퍼 W로의 입열량을 산출하더라도 좋다. 예컨대, 제 1 취득부(102b)는, 식 (12)를 이용하여, 미점화 상태의 히터 파워 Ph0과 정상 상태의 히터 파워 Ph의 차이를 히터 HT마다의 면적으로 나누는 것으로부터 열 유속 qp를 산출하더라도 좋다.Further, the second acquiring unit 102c may calculate the amount of heat input from the plasma to the wafer W from the difference between the supply power in the unignited state and the supply power in the steady state. For example, the first acquisition unit 102b calculates the heat flux qp by dividing the difference between the unpowered heater power Ph0 and the steady state heater power Ph by the area for each heater HT using equation (12). You can do it.

제 2 취득부(102c)는, 소정의 사이클로, 미점화 상태의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA를 산출한다. 예컨대, 제 2 취득부(102c)는, 웨이퍼 W가 교환될 때마다, 그 웨이퍼 W를 탑재대(16)에 탑재한 상태에서 측정된 미점화 상태와 과도 상태와 정상 상태의 공급 전력을 이용하여, 미점화 상태의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA를 산출한다.The second acquisition unit 102c calculates the heater power Ph0, the heat flux qp, and the heat resistance Rth · A in an unignited state in a predetermined cycle. For example, whenever the wafer W is exchanged, the second acquiring unit 102c uses power supplied from the unignited state, the transient state, and the steady state measured when the wafer W is mounted on the mounting table 16. , Heater power Ph0 in the unignited state, heat flux qp, and heat resistance Rth · A are calculated.

설정 온도 산출부(102d)는, 히터 HT마다, 산출된 입열량 및 열저항을 이용하여, 웨이퍼 W가 목표 온도가 되는 히터 HT의 설정 온도를 산출한다. 예컨대, 설정 온도 산출부(102d)는, 히터 HT마다, 산출된 열 유속 qp, 및, 열저항 RthㆍA를 식 (5), (6), (13)에 대입하고, 식 (5)-(11)에 나타낸 a1, a2, a3, λ1, λ2, τ1, τ2를 이용하여, 식 (13)으로부터 히터 HT의 온도 Th를 산출한다. 예컨대, 설정 온도 산출부(102d)는, 경과 시간 t를 정상 상태로 간주할 수 있을 정도의 큰 소정의 값으로 하여, 히터 HT의 온도 Th를 산출한다. 산출되는 히터 HT의 온도 Th는, 웨이퍼 W의 온도가 목표 온도가 되는 히터 HT의 온도이다. 또, 히터 HT의 온도 Th는, 식 (14)로부터 구하더라도 좋다.The set temperature calculating unit 102d calculates the set temperature of the heater HT at which the wafer W becomes the target temperature by using the calculated heat input amount and heat resistance for each heater HT. For example, the set temperature calculating unit 102d substitutes the calculated heat flux qp and the heat resistance Rth · A for each heater HT into equations (5), (6), (13), and equation (5)- The temperature Th of the heater HT is calculated from equation (13) using a1, a2, a3, λ1, λ2, τ1 and τ2 shown in (11). For example, the set temperature calculating unit 102d calculates the temperature Th of the heater HT using the elapsed time t as a predetermined value large enough to be regarded as a normal state. The calculated temperature Th of the heater HT is the temperature of the heater HT where the temperature of the wafer W becomes the target temperature. Moreover, the temperature Th of the heater HT may be obtained from equation (14).

또, 설정 온도 산출부(102d)는, 식 (13)으로부터 각각의 히터에 대하여, 현재의 히터 HT의 온도 T에서의 웨이퍼 W의 온도 TW를 산출하더라도 좋다. 예컨대, 설정 온도 산출부(102d)는, 현재의 히터 HT의 온도 T에서, 경과 시간 t를 정상 상태로 간주할 수 있을 정도의 큰 소정의 값으로 한 경우의 웨이퍼 W의 온도 TW를 산출한다. 다음으로, 설정 온도 산출부(102d)는, 산출한 온도 TW와 목표 온도의 차분 ΔTW를 산출한다. 그리고, 설정 온도 산출부(102d)는, 현재의 히터 HT의 온도 T로부터 차분 ΔTW의 감산을 행한 온도를, 웨이퍼 W의 온도가 목표 온도가 되는 히터 HT의 온도 Th로 산출하더라도 좋다.In addition, the set temperature calculation unit 102d may calculate the temperature TW of the wafer W at the temperature T of the current heater HT from each equation (13). For example, the set temperature calculation unit 102d calculates the temperature TW of the wafer W when the elapsed time t is set to a predetermined value large enough to be regarded as a normal state at the temperature T of the current heater HT. Next, the set temperature calculation unit 102d calculates the difference ΔTW between the calculated temperature TW and the target temperature. Then, the set temperature calculating unit 102d may calculate the temperature at which the difference ΔTW is subtracted from the temperature T of the current heater HT as the temperature Th of the heater HT where the temperature of the wafer W becomes the target temperature.

설정 온도 산출부(102d)는, 히터 제어부(102a)의 각 히터 HT의 설정 온도를, 웨이퍼 W의 온도가 목표 온도가 되는 히터 HT의 온도로 수정한다.The set temperature calculating unit 102d corrects the set temperature of each heater HT of the heater control unit 102a to the temperature of the heater HT where the temperature of the wafer W becomes the target temperature.

설정 온도 산출부(102d)는, 소정의 사이클로, 웨이퍼 W의 온도가 목표 온도가 되는 히터 HT의 온도를 산출하고, 각 히터 HT의 설정 온도를 수정한다. 예컨대, 설정 온도 산출부(102d)는, 웨이퍼 W가 교환될 때마다, 웨이퍼 W의 온도가 목표 온도가 되는 히터 HT의 온도를 산출하고, 각 히터 HT의 설정 온도를 수정한다. 또, 예컨대, 설정 온도 산출부(102d)는, 플라즈마 처리마다, 웨이퍼 W의 온도가 목표 온도가 되는 히터 HT의 온도를 산출하고, 각 히터 HT의 설정 온도를 수정하더라도 좋다.The set temperature calculation unit 102d calculates the temperature of the heater HT at which the temperature of the wafer W becomes the target temperature in a predetermined cycle, and corrects the set temperature of each heater HT. For example, each time the wafer W is exchanged, the set temperature calculating unit 102d calculates the temperature of the heater HT at which the temperature of the wafer W becomes the target temperature, and corrects the set temperature of each heater HT. Further, for example, the set temperature calculating unit 102d may calculate the temperature of the heater HT at which the temperature of the wafer W becomes the target temperature for each plasma treatment, and may modify the set temperature of each heater HT.

이것에 의해, 본 실시 형태와 관련되는 플라즈마 처리 장치(10)는, 플라즈마 처리 중의 웨이퍼 W의 온도를 목표 온도로 정밀하게 제어할 수 있다.Thereby, the plasma processing apparatus 10 according to the present embodiment can precisely control the temperature of the wafer W during plasma processing to a target temperature.

그런데, 상술한 바와 같이, 플라즈마 처리 장치(10)는, 이상이나 고장의 발생이나 시간에 따른 변화 등에 의해, 플라즈마 처리의 처리 조건이 변화하는 경우가 있다.However, as described above, the plasma processing apparatus 10 may change the processing conditions of the plasma processing due to occurrence of an abnormality or failure, change over time, or the like.

그래서, 감시부(102e)는, 변화 정보(104a)에 근거하여, 제 2 취득부에 의해 취득되는 탑재대(16)의 온도에 관한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시한다. 예컨대, 감시부(102e)는, 소정의 사이클로, 제 2 취득부에 의해 산출되는 각 히터 HT의 미점화 상태의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA를 기억한다. 그리고, 감시부(102e)는, 각 히터 HT의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA에, 변화 정보(104a)에 기억된 어느 하나의 변화 패턴의 변화가 발생했는지를 감시한다. 예컨대, 감시부(102e)는, 최초의 웨이퍼 W와 최근의 웨이퍼 W에서 각각 산출된 각 히터 HT의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA를 비교한다. 그리고, 감시부(102e)는, 최초의 웨이퍼 W와 최근의 웨이퍼 W의 히터 HT마다의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 변화를 구한다. 감시부(102e)는, 히터 HT마다의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 변화가, 변화 정보(104a)에 기억된 어느 하나의 변화 패턴의 변화에 해당하는지 판정한다. 예컨대, 감시부(102e)는, 히터 HT마다의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 어느 하나에 소정의 허용치 이상의 변화가 발생했는지 판정한다. 감시부(102e)는, 소정의 허용치 이상의 변화가 발생하고 있는 경우, 히터 HT마다의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 변화 패턴을, 변화 정보(104a)에 기억된 변화 패턴과 비교하여, 소정 이상 유사한 변화 패턴을 특정한다. 예컨대, 감시부(102e)는, 비교의 결과, 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 어느 하나에서, 각 분할 영역(75)의 차이가 각각 허용치 이내인 변화 패턴을 특정한다. 또, 감시부(102e)는, 변화 패턴을 복수 특정하더라도 좋다. 또한, 감시부(102e)는, 변화 패턴이 복수 특정된 경우, 차이가 가장 작은 변화 패턴을 하나 특정하더라도 좋다. 감시부(102e)는, 특정한 변화 패턴으로 변화시키고 있던 처리 파라미터를, 변화한 플라즈마 처리의 처리 조건으로 특정한다.Therefore, the monitoring unit 102e monitors the change in the processing conditions of the plasma processing from the change in the value of the temperature of the mounting table 16 acquired by the second acquisition unit based on the change information 104a. For example, the monitoring unit 102e stores the heater power Ph0, the heat flux qp, and the thermal resistance Rth · A in the unignited state of each heater HT calculated by the second acquisition unit in a predetermined cycle. Then, the monitoring unit 102e monitors whether any change in the change pattern stored in the change information 104a has occurred in the heater power Ph0, the heat flux qp, and the heat resistance Rth · A of each heater HT. do. For example, the monitoring unit 102e compares the heater power Ph0, the heat flux qp, and the heat resistance Rth · A of each heater HT calculated from the first wafer W and the latest wafer W, respectively. Then, the monitoring unit 102e obtains the change of the heater power Ph0, the heat flux qp, and the heat resistance Rth · A for each heater HT of the first wafer W and the recent wafer W. The monitoring unit 102e determines whether the change in the heater power Ph0 for each heater HT, the heat flux qp, and the heat resistance Rth · A corresponds to a change in any one change pattern stored in the change information 104a. . For example, the monitoring unit 102e determines whether or not a change of a predetermined allowable value or more has occurred in any one of the heater power Ph0 for each heater HT, the heat flux qp, and the heat resistance Rth · A. The monitoring unit 102e stores the change pattern of the heater power Ph0, the heat flux qp, and the heat resistance Rth · A for each heater HT in the change information 104a when a change over a predetermined allowable value occurs. Compared with the change pattern, a change pattern similar to a predetermined or more is specified. For example, as a result of the comparison, the monitoring unit 102e specifies a change pattern in which the difference between the respective divided regions 75 is within an allowable value in any one of the heater power Ph0, the heat flux qp, and the heat resistance Rth · A. do. Further, the monitoring unit 102e may specify a plurality of change patterns. In addition, when a plurality of change patterns are specified, the monitoring unit 102e may specify one change pattern with the smallest difference. The monitoring unit 102e specifies processing parameters that have been changed in a specific change pattern as processing conditions of the changed plasma processing.

경보부(102f)는, 감시부(102e)의 감시의 결과, 플라즈마 처리의 처리 조건에 소정 이상의 변화가 검출된 경우, 경보를 발한다. 예컨대, 경보부(102f)는, 감시부(102e)의 감시의 결과, 히터 HT마다의 히터 파워 Ph0, 열 유속 qp, 및, 열저항 RthㆍA의 어느 하나에 소정의 허용치 이상의 변화가 발생하고 있는 경우, 경보를 발한다. 또한, 경보부(102f)는, 감시부(102e)에 의해 특정된 처리 파라미터를 변화한 플라즈마 처리의 처리 조건으로서 알리는 경보를 발한다. 경보부(102f)는, 변화 패턴이 복수 특정된 경우, 각각의 변화 패턴으로 변화시키고 있던 처리 파라미터를 변화한 플라즈마 처리의 처리 조건으로서 알리는 경보를 발한다. 경보는, 공정 관리자나 플라즈마 처리 장치(10)의 관리자 등에게 이상을 알릴 수 있으면, 어느 방식이더라도 좋다. 예컨대, 경보부(102f)는, 유저 인터페이스(103)에 이상을 알리는 메시지를 표시한다.The alarm unit 102f issues an alarm when a change of a predetermined level or more is detected in the processing conditions of the plasma processing as a result of monitoring by the monitoring unit 102e. For example, as a result of monitoring by the monitoring unit 102e, the alarm unit 102f changes in the heater power Ph0, the heat flux qp, and the heat resistance Rth · A for each heater HT, which is higher than a predetermined allowable value. If it is, an alarm is issued. In addition, the alarm unit 102f issues an alarm to notify the processing parameter specified by the monitoring unit 102e as the processing condition of the plasma processing that has changed. When a plurality of change patterns are specified, the alarm unit 102f issues an alarm to notify the processing parameter that has been changed to each change pattern as the processing conditions of the changed plasma processing. The alarm may be any method as long as the abnormality can be reported to the process manager, the manager of the plasma processing apparatus 10, or the like. For example, the alarm unit 102f displays a message informing the user interface 103 of the abnormality.

이것에 의해, 본 실시 형태와 관련되는 플라즈마 처리 장치(10)는, 이상이나 고장의 발생이나 시간에 따른 변화 등에 의해, 플라즈마 처리의 처리 조건이 변화한 경우, 이상의 발생을 알릴 수 있다. 또한, 플라즈마 처리 장치(10)는, 이상이 된 처리 파라미터를 알릴 수 있다. 이것에 의해, 공정 관리자나 플라즈마 처리 장치(10)의 관리자는, 이상이나 고장의 발생이나 시간에 따른 변화의 발생을 알 수 있다. 또한, 공정 관리자나 플라즈마 처리 장치(10)의 관리자는, 알려진 처리 파라미터로부터 메인터넌스가 필요한 파츠를 추정할 수 있고, 플라즈마 처리 장치(10)를 조기에 회복시킬 수 있다.Thereby, the plasma processing apparatus 10 according to the present embodiment can notify the occurrence of an abnormality when the processing conditions of the plasma processing change due to occurrence of an abnormality or failure, change over time, or the like. In addition, the plasma processing apparatus 10 can notify the abnormal processing parameters. Thereby, the process manager or the manager of the plasma processing apparatus 10 can know the occurrence of an abnormality or a failure or a change over time. In addition, the process manager or the manager of the plasma processing apparatus 10 can estimate the parts requiring maintenance from known processing parameters, and can restore the plasma processing apparatus 10 early.

보정부(102g)는, 감시부(102e)의 감시의 결과, 플라즈마 처리의 처리 조건에 소정 이상의 변화가 검출된 경우, 검출된 처리 조건의 변화를 없애도록 플라즈마 처리의 처리 조건을 보정한다. 예컨대, 보정부(102g)는, 감시부(102e)에 의해 특정된 처리 파라미터의 값을 변화한 만큼 보정한다.As a result of monitoring by the monitoring unit 102e, the correction unit 102g corrects the processing conditions of the plasma processing so as to eliminate the change in the detected processing conditions when a change in the processing conditions of the plasma processing is detected. For example, the correction unit 102g corrects as much as the value of the processing parameter specified by the monitoring unit 102e is changed.

이것에 의해, 본 실시 형태와 관련되는 플라즈마 처리 장치(10)는, 이상이나 고장의 발생이나 시간에 따른 변화 등에 의해, 플라즈마 처리의 처리 조건이 변화한 경우, 변화한 처리 조건을 원래의 상태로 자동으로 보정할 수 있다.Thereby, the plasma processing apparatus 10 according to the present embodiment returns the changed processing conditions to the original state when the processing conditions of the plasma processing change due to occurrence of an abnormality or failure, change over time, or the like. It can be calibrated automatically.

[처리의 흐름][Flow of processing]

다음으로, 본 실시 형태와 관련되는 플라즈마 처리 장치(10)가 실시하는 처리의 흐름에 대하여 설명한다. 먼저, 플라즈마 처리 장치(10)가 변화 정보(104a)를 생성하는 생성 처리의 흐름에 대하여 설명한다. 도 9는 실시 형태와 관련되는 생성 처리의 흐름의 일례를 나타내는 플로차트이다. 이 생성 처리는, 소정의 타이밍, 예컨대, 유저 인터페이스(103)에서 생성 처리의 개시를 지시하는 소정 조작이 행하여진 타이밍에 실행된다.Next, the flow of processing performed by the plasma processing apparatus 10 according to the present embodiment will be described. First, the flow of the generation process in which the plasma processing apparatus 10 generates change information 104a will be described. 9 is a flowchart showing an example of the flow of generation processing according to the embodiment. This generation process is executed at a predetermined timing, for example, a timing at which a predetermined operation is instructed to start the generation process in the user interface 103.

히터 제어부(102a)는, 각 히터 HT가 설정 온도가 되도록 각 히터 HT로의 공급 전력을 제어한다(스텝 S10).The heater control unit 102a controls the supply power to each heater HT so that each heater HT becomes a set temperature (step S10).

제 1 취득부(102b)는, 플라즈마 처리의 처리 조건으로 된 처리 파라미터를 표준 조건으로 하여 플라즈마 처리를 실시하여, 탑재대(16)의 온도에 관한 값을 취득한다(스텝 S11).The first acquisition unit 102b performs plasma processing with the processing parameters as the processing conditions of the plasma processing as standard conditions, and acquires a value relating to the temperature of the mounting table 16 (step S11).

제 1 취득부(102b)는, 처리 파라미터를 각각 소정의 범위에서 변화시킨 모든 처리 조건으로 플라즈마 처리를 실시했는지 여부를 판정한다(스텝 S12). 모든 처리 조건으로 플라즈마 처리를 실시한 경우(스텝 S12 : 예), 후술하는 스텝 S15로 이행한다.The first acquisition unit 102b determines whether or not plasma processing has been performed under all processing conditions in which processing parameters are changed within a predetermined range, respectively (step S12). When plasma processing is performed under all processing conditions (step S12: Yes), the process proceeds to step S15, which will be described later.

한편, 모든 처리 조건으로 플라즈마 처리를 실시하지 않은 경우(스텝 S12 : 아니오), 제 1 취득부(102b)는, 아직 실시하지 않은 처리 조건으로 처리 조건을 변경한다(스텝 S13). 제 1 취득부(102b)는, 변경한 처리 조건으로 플라즈마 처리를 실시하여, 탑재대(16)의 온도에 관한 값을 취득하고(스텝 S14), 상술한 스텝 S12로 이행한다.On the other hand, when plasma processing is not performed under all processing conditions (step S12: No), the first acquisition unit 102b changes the processing conditions to processing conditions that have not yet been performed (step S13). The first acquisition unit 102b performs plasma processing under the changed processing conditions, acquires a value relating to the temperature of the mounting table 16 (step S14), and proceeds to step S12 described above.

제 1 취득부(102b)는, 취득한 각 처리 조건에서의 탑재대(16)의 온도에 관한 값으로부터 처리 조건이 변화한 경우의 탑재대(16)의 온도에 관한 값의 변화를 나타낸 변화 정보(104a)를 생성한다(스텝 S15). 제 1 취득부(102b)는, 생성한 변화 정보(104a)를 기억부(104)에 저장하고(스텝 S16), 처리를 종료한다.The first acquiring unit 102b is change information showing a change in the value of the temperature of the mounting table 16 when the processing condition changes from the value of the temperature of the mounting table 16 under each acquired processing condition ( 104a) is generated (step S15). The first acquisition unit 102b stores the generated change information 104a in the storage unit 104 (step S16), and ends the processing.

다음으로, 플라즈마 처리 장치(10)가 이상의 발생을 감시하는 감시 처리의 흐름에 대하여 설명한다. 도 10은 실시 형태와 관련되는 감시 처리의 흐름의 일례를 나타내는 플로차트이다. 이 감시 처리는, 소정의 타이밍, 예컨대, 반도체를 생산하는 생산 프로세스의 플라즈마 처리를 개시하는 타이밍에 실행된다.Next, the flow of the monitoring process in which the plasma processing apparatus 10 monitors the occurrence of an abnormality will be described. 10 is a flowchart showing an example of the flow of the monitoring process according to the embodiment. This monitoring process is executed at a predetermined timing, for example, a timing for starting plasma processing of a production process for producing a semiconductor.

히터 제어부(102a)는, 각 히터 HT가 설정 온도가 되도록 각 히터 HT로의 공급 전력을 제어한다(스텝 S20).The heater control unit 102a controls the supply power to each heater HT so that each heater HT becomes a set temperature (step S20).

제 2 취득부(102c)는, 플라즈마 처리 때에, 소정의 사이클로, 탑재대(16)의 온도에 관한 값을 취득한다(스텝 S21).The 2nd acquisition part 102c acquires the value regarding the temperature of the mounting table 16 in a predetermined cycle at the time of plasma processing (step S21).

감시부(102e)는, 변화 정보(104a)에 근거하여, 제 2 취득부에 의해 취득되는 탑재대(16)의 온도에 관한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시한다(스텝 S22).Based on the change information 104a, the monitoring unit 102e monitors the change in the processing conditions of the plasma processing from the change in the value of the temperature of the mounting table 16 acquired by the second acquisition unit (step S22). ).

경보부(102f)는, 감시부(102e)의 감시의 결과, 플라즈마 처리의 처리 조건에 소정 이상의 변화가 검출되었는지 여부를 판정한다(스텝 S23). 변화가 검출되지 않는 경우(스텝 S23 : 아니오), 후술하는 스텝 S26으로 이행한다.The alarm unit 102f determines whether or not a predetermined abnormal change has been detected in the processing conditions of the plasma processing as a result of monitoring by the monitoring unit 102e (step S23). When no change is detected (step S23: No), the process proceeds to step S26 described later.

한편, 변화가 검출된 경우(스텝 S23 : 예), 경보부(102f)는, 경보를 발한다(스텝 S24). 보정부(102g)는, 검출된 처리 조건의 변화를 없애도록 플라즈마 처리의 처리 조건을 보정한다(스텝 S25).On the other hand, when a change is detected (step S23: Yes), the alarm unit 102f issues an alarm (step S24). The correction unit 102g corrects the processing conditions of the plasma processing so as to eliminate the change in the detected processing conditions (step S25).

감시부(102e)는, 생산 프로세스의 플라즈마 처리가 모두 완료되었는지 여부를 판정한다(스텝 S26). 생산 프로세스의 플라즈마 처리가 모두 완료되어 있지 않은 경우(스텝 S26 : 아니오), 상술한 스텝 S21로 이행한다.The monitoring unit 102e determines whether or not all plasma processing of the production process has been completed (step S26). When all the plasma processes of the production process have not been completed (step S26: No), the process proceeds to step S21 described above.

한편, 생산 프로세스의 플라즈마 처리가 모두 완료된 경우(스텝 S26 : 예), 처리를 종료한다.On the other hand, when all of the plasma processing of the production process is completed (step S26: Yes), the processing ends.

이와 같이, 본 실시 형태와 관련되는 플라즈마 처리 장치(10)는, 기억부(104)와, 제 2 취득부(102c)와, 감시부(102e)를 갖는다. 기억부(104)는, 탑재대(16)에 탑재된 웨이퍼 W에 대한 플라즈마 처리의 처리 조건이 변화한 경우의 탑재대(16)의 온도에 관한 값의 변화를 나타낸 변화 정보(104a)를 기억한다. 제 2 취득부(102c)는, 탑재대(16)의 온도에 관한 값을 소정의 사이클로 취득한다. 감시부(102e)는, 변화 정보(104a)에 근거하여, 제 2 취득부(102c)에 의해 취득되는 탑재대(16)의 온도에 관한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시한다. 이것에 의해, 플라즈마 처리 장치(10)는, 센서를 배치하는 일 없이 이상의 발생을 검출할 수 있다.As described above, the plasma processing apparatus 10 according to the present embodiment includes a storage unit 104, a second acquisition unit 102c, and a monitoring unit 102e. The storage unit 104 stores change information 104a showing a change in the value of the temperature of the mounting table 16 when the processing conditions of the plasma processing for the wafer W mounted on the mounting table 16 have changed. do. The second acquisition unit 102c acquires a value relating to the temperature of the mounting table 16 in a predetermined cycle. Based on the change information 104a, the monitoring unit 102e monitors the change in the processing conditions of the plasma processing from the change in the value of the temperature of the mounting table 16 acquired by the second acquisition unit 102c. . Thereby, the plasma processing apparatus 10 can detect the occurrence of an abnormality without arranging a sensor.

또한, 본 실시 형태와 관련되는 플라즈마 처리 장치(10)는, 탑재대(16)에, 웨이퍼 W가 탑재되는 탑재면의 온도를 조정 가능한 히터 HT가 마련되어 있다. 탑재대의 온도에 관한 값은, 미점화 상태에서 탑재대(16)의 온도를 소정의 온도로 유지하기 위한 히터 HT에서의 발열량, 웨이퍼 W와 탑재대(16)의 사이의 열저항, 및, 점화 상태에서 플라즈마로부터 탑재대(16)에 유입되는 입열량의 적어도 하나로 되어 있다. 이것에 의해, 플라즈마 처리 장치(10)는, 센서를 배치하는 일 없이 이상의 발생을 검출할 수 있다.In addition, the plasma processing apparatus 10 according to the present embodiment is provided with a heater HT capable of adjusting the temperature of the mounting surface on which the wafer W is mounted on the mounting table 16. The values relating to the temperature of the mounting table include the amount of heat generated in the heater HT for maintaining the temperature of the mounting table 16 at a predetermined temperature in an unignited state, heat resistance between the wafer W and the mounting table 16, and ignition. In the state, it is at least one of the amount of heat input from the plasma to the mounting table 16. Thereby, the plasma processing apparatus 10 can detect the occurrence of an abnormality without arranging a sensor.

또한, 제 2 취득부(102c)는, 히터 HT의 온도가 일정하게 되도록 히터 HT로의 공급 전력을 제어한 상태에서, 플라즈마를 점화하고 있지 않은 미점화 상태와, 플라즈마를 점화하고 나서 히터 HT로의 공급 전력이 저하되는 과도 상태에서의 공급 전력을 계측한다. 그리고, 제 2 취득부(102c)는, 플라즈마로부터 탑재대(16)에 유입되는 입열량 및 웨이퍼 W와 탑재대(16)의 사이의 열저항을 파라미터로 하고, 과도 상태의 공급 전력을 산출하는 산출 모델에 대하여, 계측된 미점화 상태와 과도 상태의 공급 전력을 이용하여 피팅을 행하여, 입열량 및 열저항을 산출한다. 이것에 의해, 플라즈마 처리 장치(10)는, 센서를 배치하는 일 없이 입열량 및 열저항을 취득할 수 있다.In addition, the second acquiring unit 102c, in a state in which the supply power to the heater HT is controlled so that the temperature of the heater HT is constant, the unignited state in which the plasma is not ignited, and the supply to the heater HT after igniting the plasma Measure the supply power in a transient state in which the power falls. Then, the second acquiring unit 102c calculates the supply power in the transient state with the heat input amount flowing into the mounting table 16 from the plasma and the thermal resistance between the wafer W and the mounting table 16 as parameters. With respect to the calculation model, fitting is performed using the measured supply power of the unignited state and the transient state to calculate the heat input amount and the thermal resistance. Thereby, the plasma processing apparatus 10 can acquire a heat input amount and a heat resistance without disposing a sensor.

또한, 제 2 취득부(102c)는, 히터 HT의 온도가 일정하게 되도록 히터 HT로의 공급 전력을 제어한 상태에서, 플라즈마를 점화하고 있지 않은 미점화 상태와, 플라즈마를 점화하여 히터 HT로의 공급 전력이 안정된 정상 상태에서의 공급 전력을 계측한다. 제 2 취득부(102c)는, 계측된 미점화 상태와 정상 상태의 공급 전력의 차이로부터 입열량을 산출한다. 이것에 의해, 플라즈마 처리 장치(10)는, 센서를 배치하는 일 없이 입열량을 취득할 수 있다.In addition, the second acquiring unit 102c controls the supply power to the heater HT so that the temperature of the heater HT is constant, the unignited state in which the plasma is not ignited, and the power supplied to the heater HT by igniting the plasma. The supply power in this stable steady state is measured. The second acquisition unit 102c calculates the amount of heat input from the difference between the measured unlit state and the supplied power in the steady state. Thereby, the plasma processing apparatus 10 can acquire the heat input amount without disposing a sensor.

또한, 제 2 취득부(102c)는, 히터 HT의 온도가 일정하게 되도록 히터 HT로의 공급 전력을 제어한 상태에서, 플라즈마를 점화하고 있지 않은 미점화 상태의 공급 전력을 계측한다. 그리고, 제 2 취득부(102c)는, 계측된 미점화 상태의 공급 전력으로부터 미점화 상태에서 탑재대(16)의 온도를 소정의 온도로 유지하기 위한 히터 HT에서의 발열량을 산출한다. 이것에 의해, 플라즈마 처리 장치(10)는, 센서를 배치하는 일 없이 히터 HT에서의 발열량을 취득할 수 있다.Further, the second acquiring unit 102c measures the supply power in the unignited state in which the plasma is not ignited while the supply power to the heater HT is controlled so that the temperature of the heater HT becomes constant. Then, the second acquiring unit 102c calculates the amount of heat generated in the heater HT for maintaining the temperature of the mounting table 16 at a predetermined temperature in the unignited state from the measured supply power in the unignited state. Thereby, the plasma processing apparatus 10 can acquire the amount of heat generated by the heater HT without disposing a sensor.

또한, 탑재대(16)는, 웨이퍼 W가 탑재되는 탑재면이 복수의 분할 영역(75)으로 분할되고, 각 분할 영역(75)에 히터 HT가 마련되어 있다. 변화 정보(104a)는, 플라즈마 처리의 처리 조건으로 된 처리 파라미터의 변화마다 각 분할 영역(75)의 탑재대(16)의 온도에 관한 값의 변화 패턴이 기억되어 있다. 제 2 취득부(102c)는, 각 분할 영역(75)의 탑재대(16)의 온도에 관한 값을 취득한다. 감시부(102e)는, 변화 정보(104a)에 근거하여, 제 2 취득부(102c)에 의해 취득되는 각 분할 영역(75)의 탑재대(16)의 온도에 관한 값의 변화 패턴으로부터 변화한 처리 파라미터를 특정한다. 이것에 의해, 플라즈마 처리 장치(10)는, 센서를 배치하는 일 없이 변화한 처리 파라미터를 특정할 수 있다.In addition, in the mounting table 16, the mounting surface on which the wafer W is mounted is divided into a plurality of divided regions 75, and a heater HT is provided in each divided region 75. As for the change information 104a, the change pattern of the value regarding the temperature of the mounting table 16 of each division | region 75 is memorized for every change of the processing parameter which became the processing conditions of plasma processing. The 2nd acquisition part 102c acquires the value regarding the temperature of the mounting table 16 of each division area 75. Based on the change information 104a, the monitoring unit 102e has changed from the change pattern of the value of the temperature of the mounting table 16 of each divided area 75 acquired by the second acquisition unit 102c. Specify processing parameters. Thereby, the plasma processing apparatus 10 can specify the changed processing parameter without placing a sensor.

또한, 플라즈마 처리 장치(10)는, 경보부(102f)를 더 갖는다. 경보부(102f)는, 감시부(102e)에 의한 감시의 결과, 플라즈마 처리의 처리 조건에 소정 이상의 변화가 검출된 경우, 경보를 발한다. 이것에 의해, 플라즈마 처리 장치(10)는, 플라즈마 처리의 처리 조건이 소정 이상 변화한 경우, 경보를 발할 수 있다.In addition, the plasma processing apparatus 10 further has an alarm unit 102f. The alarm unit 102f issues an alarm when a change in the processing conditions of the plasma processing exceeds a predetermined level as a result of monitoring by the monitoring unit 102e. Thereby, the plasma processing apparatus 10 can generate an alert when the processing conditions of the plasma processing change more than a predetermined value.

또한, 플라즈마 처리 장치(10)는, 보정부(102g)를 더 갖는다. 보정부(102g)는, 감시부(102e)에 의한 감시의 결과, 플라즈마 처리의 처리 조건에 소정 이상의 변화가 검출된 경우, 그 처리 조건의 변화를 없애도록 플라즈마 처리의 처리 조건을 보정한다. 이것에 의해, 플라즈마 처리 장치(10)는, 변화한 플라즈마 처리의 처리 조건을 자동으로 보정할 수 있다.In addition, the plasma processing apparatus 10 further has a correction unit 102g. As a result of monitoring by the monitoring unit 102e, the correction unit 102g corrects the processing conditions of the plasma processing so as to eliminate the change in the processing conditions when a predetermined abnormality is detected in the processing conditions of the plasma processing. Thereby, the plasma processing apparatus 10 can automatically correct the processing conditions of the changed plasma processing.

이상, 실시 형태에 대하여 설명했지만, 이번 개시된 실시 형태는, 모든 점에서 예시이고 제한적인 것이 아니라고 생각되어야 한다. 실제로, 상기한 실시 형태는, 다양한 형태로 구현될 수 있다. 또한, 상기의 실시 형태는, 청구의 범위 및 그 취지를 일탈하는 일 없이, 다양한 형태로 생략, 치환, 변경되더라도 좋다.As mentioned above, although embodiment was demonstrated, it should be thought that embodiment disclosed this time is an illustration and restrictive at no points. Indeed, the above-described embodiments can be implemented in various forms. In addition, the above-described embodiment may be omitted, substituted, or changed in various forms without departing from the scope and spirit of the claims.

예컨대, 상기의 실시 형태에서는, 피처리체로서 반도체 웨이퍼에 플라즈마 처리를 행하는 경우를 예로 설명했지만, 이것으로 한정되는 것이 아니다. 피처리체는, 온도에 따라 플라즈마 처리의 진행에 영향이 있는 것이면 어느 것이더라도 좋다.For example, in the above-described embodiments, the case where plasma processing is performed on a semiconductor wafer as an object to be processed has been described as an example, but is not limited to this. The object to be treated may be any one as long as it affects the progress of the plasma treatment depending on the temperature.

또한, 상기의 실시 형태에서는, 경보부(102f)에 의한 경보와, 보정부(102g)에 의한 처리 조건의 보정을 양쪽 모두 행하는 경우를 예로 설명했지만, 이것으로 한정되는 것이 아니다. 예컨대, 플라즈마 처리 장치(10)는, 경보부(102f)에 의한 경보와, 보정부(102g)에 의한 처리 조건의 보정의 어느 한쪽만을 행하는 것으로 하더라도 좋다.In the above embodiment, the case where both the alarm by the alarm unit 102f and the correction of the processing conditions by the correction unit 102g are described as an example, but the present invention is not limited to this. For example, the plasma processing apparatus 10 may perform only one of the alarm by the alarm unit 102f and the correction of the processing conditions by the correction unit 102g.

또한, 상기의 실시 형태에서는, 도 2에 나타내는 바와 같이, 정전 척(18)의 탑재 영역(18a)을 지름 방향으로 대략 균등한 간격으로 4개의 분할 영역(75)으로 분할한 경우를 예로 설명했지만, 이것으로 한정되는 것이 아니다. 예컨대, 정전 척(18)의 탑재 영역(18a)은, 웨이퍼 W의 중심 측에서 간격이 크고, 외주 측에서 간격이 작은 분할 영역(75)으로 분할하더라도 좋다. 도 11a는 다른 실시 형태와 관련되는 탑재대를 나타내는 평면도이다. 도 11a에서는, 정전 척(18)의 탑재 영역(18a)은, 중앙의 원 형상의 분할 영역(75a) 및 4개의 환상의 분할 영역(75b~75e)으로 분할되어 있다. 분할 영역(75a~75d)에는, 웨이퍼 W가 배치된다. 분할 영역(75e)에는, 포커스 링 FR이 배치된다. 또한, 웨이퍼 W의 중심 측이 되는 분할 영역(75a)은 폭이 크게 분할되어 있다. 웨이퍼 W의 외주 측이 되는 분할 영역(75b~75d)은 폭이 작게 분할되어 있다. 또한, 정전 척(18)의 탑재 영역(18a)은, 둘레 방향으로 분할되더라도 좋다. 도 11b는 다른 실시 형태와 관련되는 탑재대를 나타내는 평면도이다. 도 11b에서는, 탑재 영역(18a)은, 중앙의 원형의 분할 영역(75)과, 그 원형의 분할 영역(75)을 둘러싸는 동심 형상의 복수의 환상의 분할 영역으로 분할되어 있다. 또한, 환상의 분할 영역은, 둘레 방향으로 복수의 분할 영역(75)으로 분할되어 있다. 또한, 분할 영역(75)의 형상은, 원 형상이나 환상 이외이더라도 좋다. 도 11c는 다른 실시 형태와 관련되는 탑재대를 나타내는 평면도이다. 도 11c에서는, 탑재 영역(18a)은, 격자 형상으로 분할 영역(75)으로 분할되어 있다.In addition, in the above embodiment, the case where the mounting area 18a of the electrostatic chuck 18 is divided into four divided areas 75 at substantially equal intervals in the radial direction, as illustrated in FIG. 2, is described as an example. , It is not limited to this. For example, the mounting region 18a of the electrostatic chuck 18 may be divided into a divided region 75 having a large gap at the center side of the wafer W and a small gap at the outer circumference side. 11A is a plan view showing a mounting table according to another embodiment. In FIG. 11A, the mounting area 18a of the electrostatic chuck 18 is divided into a central circular divided area 75a and four annular divided areas 75b to 75e. Wafers W are disposed in the divided regions 75a to 75d. The focus ring FR is disposed in the divided region 75e. In addition, the width of the divided region 75a serving as the central side of the wafer W is largely divided. The dividing regions 75b to 75d serving as the outer circumferential side of the wafer W are divided into small widths. Further, the mounting region 18a of the electrostatic chuck 18 may be divided in the circumferential direction. 11B is a plan view showing a mounting table according to another embodiment. In FIG. 11B, the mounting area 18a is divided into a central circular divided area 75 and a plurality of concentric annular divided areas surrounding the circular divided area 75. In addition, the annular divided region is divided into a plurality of divided regions 75 in the circumferential direction. In addition, the shape of the divided region 75 may be other than a circular shape or an annular shape. 11C is a plan view showing a mounting table according to another embodiment. In Fig. 11C, the mounting region 18a is divided into a divided region 75 in a lattice shape.

또한, 상기의 실시 형태에서는, 정전 척(18)의 탑재 영역(18a)을 분할한 각 분할 영역(75)에 대하여 탑재대(16)의 온도에 관한 값을 취득하여 플라즈마 처리의 처리 조건의 변화를 감시하는 경우를 예로 설명했지만, 이것으로 한정되는 것이 아니다. 예컨대, 플라즈마 처리 장치(10)는, 정전 척(18)의 탑재 영역(18a) 전체에서 탑재대(16)의 온도에 관한 값을 하나 취득하고, 취득한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시하더라도 좋다. 또한, 플라즈마 처리 장치(10)는, 어느 하나의 분할 영역(75)에 대하여 탑재대(16)의 온도에 관한 값을 취득하고, 취득한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시하더라도 좋다.In addition, in the above-described embodiment, a value relating to the temperature of the mounting table 16 is acquired for each of the divided regions 75 that divide the mounted region 18a of the electrostatic chuck 18 to change the processing conditions of plasma processing. Although the case of monitoring is described as an example, it is not limited to this. For example, the plasma processing apparatus 10 acquires one value relating to the temperature of the mounting table 16 in the entire mounting area 18a of the electrostatic chuck 18, and changes in the processing conditions of the plasma processing from the acquired value change You may monitor. Further, the plasma processing apparatus 10 may acquire a value relating to the temperature of the mounting table 16 for any one of the divided regions 75 and monitor the change in the processing conditions of the plasma processing from the change in the acquired value. .

또한, 상기의 실시 형태에서는, 플라즈마 처리로서 플라즈마 에칭을 행하는 경우를 예로 설명했지만, 이것으로 한정되는 것이 아니다. 플라즈마 처리는, 플라즈마를 이용하고 있고, 온도에 따라 처리의 진행에 영향이 있는 것이면 어느 것이더라도 좋다.In addition, in the above embodiment, the case where plasma etching is performed as a plasma treatment was described as an example, but the present invention is not limited to this. As the plasma treatment, any plasma may be used as long as it affects the progress of the treatment depending on the temperature.

10 : 플라즈마 처리 장치
16 : 탑재대
18 : 정전 척
18a : 탑재 영역
20 : 기대
75 : 분할 영역
100 : 제어부
102 : 프로세스 컨트롤러
102a : 히터 제어부
102b : 제 1 취득부
102c : 제 2 취득부
102d : 설정 온도 산출부
102e : 감시부
102f : 경보부
102g : 보정부
104 : 기억부
104a : 변화 정보
HP : 히터 전원
HT : 히터
PD : 전력 검출부
TD : 온도 측정기
W : 웨이퍼
10: plasma processing device
16: Mounting table
18: electrostatic chuck
18a: mounting area
20: expectation
75: partition
100: control unit
102: process controller
102a: heater control
102b: First acquisition unit
102c: Second acquisition unit
102d: Set temperature calculator
102e: Monitoring unit
102f: Alarm
102g: correction unit
104: memory
104a: change information
HP: heater power
HT: Heater
PD: power detector
TD: Temperature meter
W: Wafer

Claims (10)

탑재대에 탑재된 피처리체에 대한 플라즈마 처리의 처리 조건이 변화한 경우의 상기 탑재대의 온도에 관한 값의 변화를 나타낸 변화 정보를 기억한 기억부와,
상기 탑재대의 온도에 관한 값을 소정의 사이클로 취득하는 취득부와,
상기 변화 정보에 근거하여, 상기 취득부에 의해 취득되는 상기 탑재대의 온도에 관한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시하는 감시부
를 갖는 플라즈마 처리 장치.
A storage unit for storing change information indicating a change in value with respect to the temperature of the mounting table when the processing conditions of plasma processing for the object to be mounted on the mounting table change;
An acquisition unit that acquires a value relating to the temperature of the mounting table in a predetermined cycle;
Based on the change information, a monitoring unit that monitors a change in the processing conditions of plasma processing from a change in the value of the temperature of the mounting table acquired by the acquisition unit
Plasma processing apparatus having a.
제 1 항에 있어서,
상기 탑재대는, 상기 피처리체가 탑재되는 탑재면의 온도를 조정 가능한 히터가 마련되고,
상기 탑재대의 온도에 관한 값은, 플라즈마를 점화하고 있지 않은 미점화 상태에서 상기 탑재대의 온도를 소정의 온도로 유지하기 위한 상기 히터에서의 발열량, 상기 피처리체와 상기 탑재대의 사이의 열저항, 및, 플라즈마를 점화한 점화 상태에서 플라즈마로부터 상기 탑재대에 유입되는 입열량의 적어도 하나로 된
플라즈마 처리 장치.
According to claim 1,
The mounting table is provided with a heater capable of adjusting the temperature of the mounting surface on which the object to be processed is mounted,
The values relating to the temperature of the mounting table include the amount of heat generated by the heater to maintain the temperature of the mounting table at a predetermined temperature in an unignited state in which plasma is not ignited, heat resistance between the object to be processed and the mounting table, and , At least one of the amount of heat input to the mount from the plasma in the ignition state ignited plasma
Plasma processing device.
제 2 항에 있어서,
상기 취득부는, 상기 히터의 온도가 일정하게 되도록 상기 히터로의 공급 전력을 제어한 상태에서, 플라즈마를 점화하고 있지 않은 미점화 상태와, 플라즈마를 점화하고 나서 상기 히터로의 공급 전력이 저하되는 과도 상태에서의 공급 전력을 계측하고, 플라즈마로부터 상기 탑재대에 유입되는 입열량 및 피처리체와 상기 탑재대의 사이의 열저항을 파라미터로 하고, 상기 과도 상태의 공급 전력을 산출하는 산출 모델에 대하여, 계측된 미점화 상태와 과도 상태의 공급 전력을 이용하여 피팅을 행하여, 상기 입열량 및 상기 열저항을 산출하는 플라즈마 처리 장치.
According to claim 2,
In the state where the supply power to the heater is controlled so that the temperature of the heater is constant, the acquiring unit is in an unignited state in which the plasma is not ignited, and a transient in which the power supplied to the heater is lowered after the plasma is ignited. A measurement model for measuring the supply power in a state, measuring heat input from the plasma to the mounting table and thermal resistance between the object to be processed and the mounting table as parameters, and calculating the supply power in the transient state are measured Plasma processing apparatus that calculates the heat input amount and the thermal resistance by fitting using supplied power in an unignited state and a transient state.
제 2 항에 있어서,
상기 취득부는, 상기 히터의 온도가 일정하게 되도록 상기 히터로의 공급 전력을 제어한 상태에서, 플라즈마를 점화하고 있지 않은 미점화 상태와, 플라즈마를 점화하여 상기 히터로의 공급 전력이 안정된 정상 상태에서의 공급 전력을 계측하고, 계측된 미점화 상태와 정상 상태의 공급 전력의 차이로부터 상기 입열량을 산출하는 플라즈마 처리 장치.
According to claim 2,
In the state where the supply power to the heater is controlled so that the temperature of the heater is constant, the acquiring unit is in an unignited state in which plasma is not ignited, and in a steady state in which the supply power to the heater by igniting plasma is stable. A plasma processing apparatus that measures the supply power of and calculates the heat input amount from a difference between the measured supply power of the unignited state and the steady state.
제 2 항에 있어서,
상기 취득부는, 상기 히터의 온도가 일정하게 되도록 상기 히터로의 공급 전력을 제어한 상태에서, 플라즈마를 점화하고 있지 않은 미점화 상태의 공급 전력을 계측하고, 계측된 미점화 상태의 공급 전력으로부터 미점화 상태에서 상기 탑재대의 온도를 소정의 온도로 유지하기 위한 상기 히터에서의 발열량을 산출하는 플라즈마 처리 장치.
According to claim 2,
The acquiring unit measures the supply power in an unignited state that is not igniting the plasma while controlling the supply power to the heater so that the temperature of the heater is constant, and is calculated from the measured unpowered supply power. A plasma processing device for calculating the amount of heat generated by the heater for maintaining the temperature of the mounting table at a predetermined temperature in an ignition state.
제 2 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 탑재대는, 상기 피처리체가 탑재되는 탑재면이 복수의 분할 영역으로 분할되고, 각 분할 영역에 상기 히터가 마련되고,
상기 변화 정보는, 플라즈마 처리의 처리 조건으로 된 처리 파라미터의 변화마다 각 분할 영역의 상기 탑재대의 온도에 관한 값의 변화 패턴이 기억되고,
상기 취득부는, 각 분할 영역의 상기 탑재대의 온도에 관한 값을 취득하고,
상기 감시부는, 상기 변화 정보에 근거하여, 상기 취득부에 의해 취득되는 각 분할 영역의 상기 탑재대의 온도에 관한 값의 변화 패턴으로부터 변화한 처리 파라미터를 특정하는
플라즈마 처리 장치.
The method according to any one of claims 2 to 5,
In the mounting table, a mounting surface on which the object to be processed is mounted is divided into a plurality of divided regions, and the heater is provided in each divided region,
As for the change information, a change pattern of a value related to the temperature of the mounting table in each divided region is stored for each change of the processing parameter that is the processing condition of the plasma processing,
The acquisition unit acquires a value relating to the temperature of the mounting table in each divided area,
The monitoring unit specifies processing parameters changed from a change pattern of the value of the mounting table temperature of each of the divided areas acquired by the acquisition unit, based on the change information.
Plasma processing device.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 감시부에 의한 감시의 결과, 플라즈마 처리의 처리 조건에 소정 이상의 변화가 검출된 경우, 경보를 발하는 경보부를 더 갖는 플라즈마 처리 장치.
The method according to any one of claims 1 to 6,
As a result of the monitoring by the monitoring unit, the plasma processing apparatus further has an alarm unit that generates an alarm when a change in a predetermined or more conditions is detected in the processing conditions of the plasma processing.
제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
상기 감시부에 의한 감시의 결과, 소정 이상의 플라즈마 처리의 처리 조건의 변화가 검출된 경우, 그 처리 조건의 변화를 없애도록 플라즈마 처리의 처리 조건을 보정하는 보정부를 더 갖는 플라즈마 처리 장치.
The method according to any one of claims 1 to 7,
A plasma processing apparatus further comprising a correction unit that corrects the processing conditions of the plasma processing so as to eliminate the change of the processing conditions when a change in the processing conditions of the predetermined plasma processing is detected as a result of the monitoring by the monitoring unit.
피처리체가 탑재되고, 플라즈마 처리가 행하여지는 탑재대의 온도에 관한 값을 소정의 사이클로 취득하고,
상기 피처리체에 대한 플라즈마 처리의 처리 조건이 변화한 경우의 상기 탑재대의 온도에 관한 값의 변화를 나타낸 변화 정보에 근거하여, 취득되는 상기 탑재대의 온도에 관한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시하는
처리를 실행하는 것을 특징으로 하는 감시 방법.
The object to be processed is mounted, and a value relating to the temperature of the mounting table on which plasma processing is performed is acquired in a predetermined cycle,
Based on the change information showing the change in the value of the temperature of the mounting table when the processing condition of the plasma processing to the object to be processed changes, the obtained processing condition of the plasma processing is changed from the change in the value of the temperature of the mounting table. Monitoring change
A monitoring method characterized by performing processing.
피처리체가 탑재되고, 플라즈마 처리가 행하여지는 탑재대의 온도에 관한 값을 소정의 사이클로 취득하고,
상기 피처리체에 대한 플라즈마 처리의 처리 조건이 변화한 경우의 상기 탑재대의 온도에 관한 값의 변화를 나타낸 변화 정보에 근거하여, 취득되는 상기 탑재대의 온도에 관한 값의 변화로부터 플라즈마 처리의 처리 조건의 변화를 감시하는
처리를 실행시키는 것을 특징으로 하는 감시 프로그램.
The object to be processed is mounted, and a value relating to the temperature of the mounting table on which plasma processing is performed is acquired in a predetermined cycle,
Based on the change information showing the change in the value of the temperature of the mounting table when the processing condition of the plasma processing to the object to be processed changes, the obtained processing condition of the plasma processing is changed from the change in the value of the temperature of the mounting table. Monitoring change
A monitoring program characterized by executing processing.
KR1020190121937A 2018-10-05 2019-10-02 Plasma processing apparatus, monitoring method, and monitoring program KR20200039579A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2018190173 2018-10-05
JPJP-P-2018-190173 2018-10-05
JP2019100392A JP7280113B2 (en) 2018-10-05 2019-05-29 PLASMA PROCESSING APPARATUS, MONITORING METHOD AND MONITORING PROGRAM
JPJP-P-2019-100392 2019-05-29

Publications (1)

Publication Number Publication Date
KR20200039579A true KR20200039579A (en) 2020-04-16

Family

ID=70219050

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190121937A KR20200039579A (en) 2018-10-05 2019-10-02 Plasma processing apparatus, monitoring method, and monitoring program

Country Status (3)

Country Link
JP (2) JP7280113B2 (en)
KR (1) KR20200039579A (en)
TW (1) TW202023327A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024019054A1 (en) * 2022-07-22 2024-01-25 東京エレクトロン株式会社 Monitoring method and plasma processing apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008251462A (en) 2007-03-30 2008-10-16 Tokyo Electron Ltd Plasma treatment device, proofreading method of high-frequency power supply, and high-frequency power supply

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6468384B1 (en) * 2000-11-09 2002-10-22 Novellus Systems, Inc. Predictive wafer temperature control system and method
JP5203612B2 (en) 2007-01-17 2013-06-05 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP2009111301A (en) 2007-11-01 2009-05-21 Hitachi High-Technologies Corp Plasma processor
JP5059792B2 (en) 2009-01-26 2012-10-31 東京エレクトロン株式会社 Plasma processing equipment
JP6525751B2 (en) 2015-06-11 2019-06-05 東京エレクトロン株式会社 Temperature control method and plasma processing apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008251462A (en) 2007-03-30 2008-10-16 Tokyo Electron Ltd Plasma treatment device, proofreading method of high-frequency power supply, and high-frequency power supply

Also Published As

Publication number Publication date
JP2023099617A (en) 2023-07-13
JP7280113B2 (en) 2023-05-23
JP2020061353A (en) 2020-04-16
TW202023327A (en) 2020-06-16

Similar Documents

Publication Publication Date Title
TWI782133B (en) Plasma processing apparatus, temperature control method, and temperature control program
KR102545993B1 (en) Plasma processing apparatus, temperature control method, and temperature control program
US10892144B2 (en) Plasma processing apparatus, monitoring method, and monitoring program
JP7244348B2 (en) PLASMA PROCESSING APPARATUS, TEMPERATURE CONTROL METHOD AND TEMPERATURE CONTROL PROGRAM
JP7202972B2 (en) PLASMA PROCESSING APPARATUS, PLASMA STATE DETECTION METHOD AND PLASMA STATE DETECTION PROGRAM
JP7446495B2 (en) Plasma processing equipment, calculation method and calculation program
JP2023099617A (en) Plasma processing apparatus, monitoring method and monitoring program
JP2020096156A (en) Plasma processing device, calculation method and calculation program
JP7214562B2 (en) Plasma processing apparatus, calculation method and calculation program
TWI819012B (en) Plasma treatment device, plasma state detection method and plasma state detection program