KR20190069597A - 고감도 리피터 결함 검출 - Google Patents

고감도 리피터 결함 검출 Download PDF

Info

Publication number
KR20190069597A
KR20190069597A KR1020197016303A KR20197016303A KR20190069597A KR 20190069597 A KR20190069597 A KR 20190069597A KR 1020197016303 A KR1020197016303 A KR 1020197016303A KR 20197016303 A KR20197016303 A KR 20197016303A KR 20190069597 A KR20190069597 A KR 20190069597A
Authority
KR
South Korea
Prior art keywords
repeater
defects
wafer
defect
reticle
Prior art date
Application number
KR1020197016303A
Other languages
English (en)
Other versions
KR102295269B1 (ko
Inventor
비외른 브라우어
샌토쉬 바타차리야
유진 시프린
후쳉 리
벤자민 머레이
아쇽 매튜
체타나 바스카
리쉥 가오
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20190069597A publication Critical patent/KR20190069597A/ko
Application granted granted Critical
Publication of KR102295269B1 publication Critical patent/KR102295269B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20076Probabilistic image processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20212Image combination
    • G06T2207/20224Image subtraction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Signal Processing (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

레티클 상의 결함을 검출하기 위한 시스템 및 방법이 제공된다. 하나의 시스템은, 웨이퍼에 대한 검사 서브시스템에 의해 생성되는 이미지를 획득하는 하나 이상의 이미지 프로세싱 컴포넌트, 웨이퍼 및 레티클에 대해 생성되는 정보를 유저에게 제공하고 유저로부터 지시를 수신하는 메인 유저 인터페이스 컴포넌트, 및 하나 이상의 이미지 프로세싱 컴포넌트와 메인 유저 인터페이스 사이의 인터페이스를 제공하는 인터페이스 컴포넌트를 포함하는 컴퓨터 서브시스템(들)을 포함한다. 현재 사용되는 시스템과는 달리, 하나 이상의 이미지 프로세싱 컴포넌트는, 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득되는 이미지에 리피터 결함 검출 알고리즘을 적용하는 것에 의해 리피터 결함 검출을 수행하도록 구성되고, 리피터 결함 검출 알고리즘은, 핫 임계치를 사용하여 웨이퍼 상에서 결함을 검출하도록 그리고 리피터 결함인 결함을 식별하도록 구성된다.

Description

고감도 리피터 결함 검출
본 발명은 일반적으로 고감도 리피터 결함(repeater defect) 검출을 위한 시스템 및 방법에 관한 것이다.
다음의 설명 및 예는, 이 섹션에서의 그들의 포함 덕분에 종래 기술인 것으로 인정되는 것은 아니다.
웨이퍼 상의 결함을 검출하여 제조 프로세스에서 더 높은 수율을 따라서 더 높은 이익을 촉진시키기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 검사 프로세스가 사용된다. 검사는, 항상, IC와 같은 반도체 디바이스 제조의 중요한 부분이었다. 그러나, 반도체 디바이스의 치수가 감소함에 따라, 검사는 기준에 맞는 반도체 디바이스의 성공적인 제조에 더욱더 중요하게 되었는데, 그 이유는 더 작은 결함이 디바이스의 고장을 야기할 수 있기 때문이다.
몇몇 현재의 검사 방법은 웨이퍼 상에서 리피터 결함을 검출하고, 그에 의해, 레티클 상의 결함을 검출하게 된다. 예를 들면, 레티클 상의 동일한 위치에 대응하는 웨이퍼 상의 다수의 위치에서 결함이 반복적으로 검출되면("리피터 결함"), 결함은 레티클 그 자체에 의해 야기될 수도 있다. 따라서, 리피터 결함이, 어떤 다른 원인보다는, 레티클 결함에 의해 야기되는지를 결정하기 위해, 그들은 분석될 수도 있다.
일반적으로, 웨이퍼 사후 프로세싱(post-processing; PP) 동작으로서 리피터 결함 검출(repeater defect detection; RDD)이 수행된다. 예를 들면, 검사 툴은 정상적인 다이 단위(die-to-die) 결함 검출(defect detection; DD)을 수행할 수도 있고, 모든 웨이퍼 결함이 보고된 이후, RDD는, 검사 툴의 상이한 컴퓨터 컴포넌트에서가 아닌, 사후 프로세싱 단계에서 유저 인터페이스에서 수행될 수도 있다. 리피터 결함은, 여러 개의 다이에서 (소정의 허용 오차 내에서) 동일한 위치에 위치되는 결함으로서 정의된다.
그러나, RDD를 위한 현재까지 사용되는 방법 및 시스템에는 몇 가지 단점이 존재한다. 예를 들면, 약한 리피터 결함을 발견하기 위해서는, 실질적으로 핫 결함 검출(hot defect detection)이 수행되는 것을 필요로 한다. 검출된 이벤트의 대다수는 리피터가 아니며 RDD에 의해 필터링된다. 문제는, (검사 툴의 내부 네트워크의) 대역폭 및 디스크 공간에 기인하여, 로트 결과(lot result)에 저장되는 결함에 대한 제한된 용량을 툴이 갖는다는 것이다. 위치는 로트 결과에서 대부분의 이벤트를 제거하는 실질적으로 강력한 필터이지만, 그러나, 약한 리피터의 경우, 검사는 후보 결함을 모두를 저장할만큼 충분히 핫하게(hot) 이루어질 수 없을 수도 있다.
따라서, 상기에서 설명되는 단점 중 하나 이상을 갖지 않는, 웨이퍼 상의 리피터 결함을 검출하기 위한 시스템 및 방법을 개발하는 것이 유리할 것이다.
다양한 실시형태의 하기의 설명은, 첨부된 청구범위의 주제를 어떤 식으로든 제한하는 것으로 해석되지 않아야 한다.
하나의 실시형태는, 레티클 상의 결함을 검출하도록 구성되는 시스템에 관한 것이다. 시스템은 웨이퍼를 스캔하고, 그에 의해, 웨이퍼에 대한 이미지를 생성하도록 구성되는 검사 서브시스템을 포함한다. 레티클은 리소그래피 프로세스에서 웨이퍼 상에 피쳐를 인쇄하기 위해 사용된다. 시스템은 또한, 웨이퍼에 대한 검사 서브시스템에 의해 생성되는 이미지를 획득하도록 구성되는 하나 이상의 이미지 프로세싱 컴포넌트를 포함하는 하나 이상의 컴퓨터 서브시스템을 포함한다. 하나 이상의 컴퓨터 서브시스템은 또한, 웨이퍼 및 레티클에 대해 생성되는 정보를 유저에게 제공하도록 그리고 유저로부터 지시를 수신하도록 구성되는 메인 인터페이스 컴포넌트를 포함한다. 또한, 컴퓨터 서브시스템(들)은, 하나 이상의 이미지 프로세싱 컴포넌트와 메인 유저 인터페이스 컴포넌트 사이의 인터페이스를 제공하도록 그리고 검사 서브시스템의 하나 이상의 하드웨어 엘리먼트를 제어하도록 구성되는 인터페이스 컴포넌트를 포함한다. 하나 이상의 이미지 프로세싱 컴포넌트는, 리피터 결함 검출 알고리즘을 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득되는 이미지에 적용하는 것에 의해 리피터 결함 검출을 수행하도록 구성된다. 리피터 결함 검출 알고리즘은, 핫 임계치(hot threshold)를 사용하여 웨이퍼 상에서 결함을 검출하도록 그리고 리피터 결함인 결함을 식별하도록 구성된다. 또한, 하나 이상의 이미지 프로세싱 컴포넌트는 리피터 결함에만 대한 정보를 포함하는 검사 결과를 인터페이스 컴포넌트에 전송하도록 구성된다. 컴퓨터 서브시스템(들)은 웨이퍼 상에서 검출되는 리피터 결함에 기초하여 레티클 상의 결함을 식별하도록 구성된다. 시스템은 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다.
다른 실시형태는, 레티클 상의 결함을 검출하기 위한 컴퓨터 구현 방법에 관한 것이다. 방법은 검사 서브시스템에 의해 웨이퍼에 대해 생성되는 이미지를 획득하는 것을 포함한다. 레티클은 리소그래피 프로세스에서 웨이퍼 상에 피쳐를 인쇄하기 위해 사용된다. 획득은 하나 이상의 컴퓨터 서브시스템에 포함되는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행된다. 하나 이상의 컴퓨터 서브시스템은, 웨이퍼 및 레티클에 대해 생성되는 정보를 유저에게 제공하도록 그리고 유저로부터 지시를 수신하도록 구성되는 메인 유저 인터페이스를 포함한다. 하나 이상의 컴퓨터 서브시스템은 또한, 하나 이상의 이미지 프로세싱 컴포넌트와 메인 유저 인터페이스 컴포넌트 사이의 인터페이스를 제공하도록 그리고 검사 서브시스템의 하나 이상의 하드웨어 엘리먼트를 제어하도록 구성되는 인터페이스 컴포넌트를 포함한다. 방법은 또한, 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득되는 이미지에 리피터 결함 검출 알고리즘을 적용하는 것에 의해 리피터 결함 검출을 수행하는 것을 포함한다. 리피터 결함 검출 알고리즘은, 핫 임계치(hot threshold)를 사용하여 웨이퍼 상에서 결함을 검출하도록 그리고 리피터 결함인 결함을 식별하도록 구성된다. 리피터 결함 검출은 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행된다. 방법은, 리피터 결함에만 대한 정보를 포함하는 검사 결과를 하나 이상의 이미지 프로세싱 컴포넌트로부터 인터페이스 컴포넌트로 전송하는 것을 더 포함한다. 또한, 방법은 웨이퍼 상에서 검출되는 리피터 결함에 기초하여 레티클 상의 결함을 식별하는 것을 포함한다. 레티클 상의 결함을 식별하는 것은, 하나 이상의 컴퓨터 서브시스템에 의해 수행된다.
방법의 단계의 각각은 또한, 본원에서 설명되는 바와 같이 수행될 수도 있다. 또한, 방법은, 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다. 더구나, 방법은 본원에서 설명되는 시스템 중 임의의 것에 의해 수행될 수도 있다.
다른 실시형태는, 레티클 상의 결함을 검출하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체에 관한 것이다. 컴퓨터 구현 방법은 상기에서 설명되는 방법의 단계를 포함한다. 컴퓨터 판독 가능 매체는 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다. 컴퓨터 구현 방법의 단계는 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 또한, 프로그램 명령어가 실행 가능한 컴퓨터 구현 방법은 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다.
본 발명의 추가적인 이점은, 바람직한 실시형태의 하기의 상세한 설명의 이점을 가지고 첨부의 도면을 참조하면 기술 분야의 숙련된 자에게 명확하게 될 것인데, 도면에서:
도 1 및 도 2는, 본원에서 설명되는 바와 같이 구성되는 시스템의 실시형태의 측면도를 예시하는 개략도이다;
도 3은 본원에서 설명되는 시스템 실시형태에 포함될 수도 있는 하나 이상의 컴퓨터 서브시스템의 하나의 실시형태를 예시하는 블록도이다;
도 4 내지 도 8은 본원에서 설명되는 시스템 실시형태에 의해 수행될 수도 있는 단계의 다양한 실시형태를 예시하는 개략도이다;
도 9는, 본원에서 설명되는 시스템 실시형태에 의해 수행될 수도 있는 단계의 하나의 실시형태를 예시하는 플로우차트이다;
도 10은, 본원에서 설명되는 컴퓨터 구현 방법 중 하나 이상을 수행하기 위한 컴퓨터 시스템 상에서 실행되는 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독가능 매체의 하나의 실시형태를 예시하는 블록도이다.
본 발명이 다양한 수정예 및 대안적 형태를 허용할 수 있지만, 본 발명의 특정한 실시형태가 예로서 도면에서 도시되며 본원에서 상세히 설명된다. 도면은 일정한 비율이 아닐 수도 있다. 그러나, 도면 및 도면에 대한 상세한 설명은 본 발명을 개시되는 특정한 형태로 제한하도록 의도되는 것은 아니며, 오히려, 본 발명은 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 취지와 범위 내에 속하는 모든 수정예, 등가예 및 대안예를 포괄할 것이다는 것이 이해되어야 한다.
이제, 도면을 참조하면, 도면은 일정한 비율로 묘사되지 않는다는 것을 유의한다. 특히, 도면의 엘리먼트 중 몇몇의 크기는 그 엘리먼트의 특성을 강조하기 위해 크게 과장된다. 도면은 동일한 비율로 묘사되지 않는다는 것을 또한 유의한다. 유사하게 구성될 수도 있는 하나보다 많은 도면에서 도시되는 엘리먼트는, 동일한 참조 번호를 사용하여 나타내어진다. 본원에서 그렇지 않다고 언급되지 않는 한, 설명되고 도시되는 엘리먼트 중 임의의 것은 임의의 적절한 상업적으로 이용 가능한 엘리먼트를 포함할 수도 있다.
하나의 실시형태는, 레티클 상의 결함을 검출하도록 구성되는 시스템에 관한 것이다. 본원에서 설명되는 실시형태는 리피터 결함 검출(RDD) 알고리즘(들)의 감도를 향상시킨다. RDD를 위한 감도 향상에 접근하는 여러 가지 방식이 있다. 그들은 모두, 상대적으로 많은 수의 결함 후보를 먼저 찾아서 저장하고 그 이후 다이 좌표 필터를 적용할 수도 있어야 한다는 공통 원칙에 기초한다. 대역폭 제한에 기인하여 모든 결함 후보가 임시 로트 결과에서 현재 보고될 수 없고, 따라서, 본원에서 설명되는 실시형태에서, 모든 결함 후보의 임시 저장은 결함 검출 하드웨어(HW)에 더 가깝게, 즉, 검사 툴의 하나 이상의 이미지 프로세싱 컴포넌트에서 이동된다. 표준 결함 검출 알고리즘(예를 들면, 다수의 다이 자동 임계치(multiple die automatic threshold; MDAT), 이것은 미국 캘리포니아 밀피타스(Milpitas) 소재의 KLA-Tencor로부터 상업적으로 이용 가능한 몇몇 검사 툴 상에서 이용 가능함)이 충분히 핫하게 설정될 수 없을 가능성이 실질적으로 있고, 따라서, 상당히 높은 밀도의 결함을 제공하기 위해 상이한 결함 검출 알고리즘(예를 들면, nanoMDAT 또는 고정 임계치(Fixed Threshold), 이것도 또한 KLA-Tencor로부터 몇몇의 상업적으로 이용 가능한 검사 툴 상에서 또한 이용 가능함)이 사용될 수도 있다. 하기에서 추가로 논의되는 바와 같이, 현재 사용되는 방법 및 시스템에 대한 이들 변경은, 리피터 결함 검출을 위한 이전에 사용된 시스템 및 방법에 비해 다수의 이점을 제공한다.
일반적으로, 결함 검출 툴은 본질적으로 계층적 방식으로 데이터 프로세싱을 수행한다: 검출 알고리즘이 (이미지 컴퓨터에서) 검사 시스템의 검출기에 의해 생성되는 웨이퍼의 모든 이미지의 모든 픽셀을 관찰한다; 사후 프로세싱 알고리즘이 (이미지 컴퓨터에서) 결함으로서 마킹되는 픽셀만을 관찰한다; 결함 분류 소프트웨어(SW)가 픽셀이 아닌 결함만을 관찰한다; 그리고, 유저 인터페이스가 상당히 감소된 데이터 세트를 처리한다. 따라서, 임의의 검출 프로세스 단계는, 다음 검출 프로세스 단계에 제공되는 정보 콘텐츠의 양을 순차적으로 감소시키는 필터로서 설명될 수 있다. 각각의 단계에서, 소음/관련이 없는 정보가 제거되고 유용한 정보만이 유지된다.
현재, 리피터 검출은 이 데이터 감소 프로세스의 가장 마지막 단계로서 수행되며 최상위 레벨 레이어, 즉 메인 유저 인터페이스에 의해 수행된다.
이것은, 먼저 리피터 후보 결함을 발견하는 데 문제가 없기 때문에 강한 결함(즉, 강한 결함 신호를 생성하는 결함)에 대해 잘 작동한다. 더 약하고 더 약한 결함/리피터가 검출되어야 하기 때문에, 문제가 발생한다: RDD는 그 자체로 매우 강력한 필터이다. 따라서, 가장 강한 이용 가능한 필터를 마지막 프로세싱 스테이지에 대해 유지하는 것은 의미가 없다. 하나는 오히려 그것으로 시작한다. 이 "철학적인" 문제 외에도, 다른 매우 실용적인 문제가 있다 - 시스템의 하이 레벨 레이어는 제한된 양의 데이터만을 핸들링하도록 설계된다. 약한 리피터 결함을 찾기를 원한다면, RDD 프로세스를 통해 약한 결함을 실행하는 것을 필요로 한다. "약한 결함'은, 그 용어가 본원서에서 사용될 때, 상대적으로 약한 결함 신호 또는 데이터(예를 들면, 정상 임계치를 사용하여 검출 불가능한, 오로지 핫 임계치를 사용하여 검출 가능한, 그리고, 심지어 핫 임계치에서도, 결함 검출을 위해 사용되는 임계치에 실질적으로 가까운(오로지 약간 위에 있는) 신호 또는 데이터를 통해 미미하게 검출 가능할 수도 있는 신호 또는 데이터)를 갖는 결함으로서 일반적으로 정의된다. 그러나, 웨이퍼 상의 약한 결함의 수는 엄청나다(검사 툴의 감도는 절대적 한계로 밀리고 있다). 메인 유저 인터페이스 및 중간 레이어(intermediate layer)는 이 사이즈의 데이터를 핸들링할 수 없다. 따라서, 본원에서 설명되는 실시형태는 RDD를 이미지 프로세싱 컴포넌트 - 하위/최하위 레벨 레이어의 검사 툴 컴퓨팅 - 로 이동시키는 것을 목표로 한다.
그러한 시스템의 하나의 실시형태는 도 1에서 도시된다. 시스템은 웨이퍼를 스캔하고, 그에 의해, 웨이퍼에 대한 이미지를 생성하도록 구성되는 검사 서브시스템을 포함한다. 일반적으로, 본원에서 설명되는 검사 서브시스템은 적어도 에너지 소스 및 검출기를 포함한다. 에너지 소스는 웨이퍼로 지향되는 에너지를 생성하도록 구성된다. 검출기는 웨이퍼로부터 에너지를 검출하도록 그리고 검출된 에너지에 응답하여 출력(예를 들면, 이미지)을 생성하도록 구성된다.
하나의 실시형태에서, 웨이퍼로 지향되는 에너지는 광을 포함하고, 웨이퍼로부터 검출되는 에너지는 광을 포함한다. 예를 들면, 도 1에서 도시되는 시스템의 실시형태에서, 검사 서브시스템(10)은 광을 시료(14)로 지향시키도록 구성되는 조명 서브시스템을 포함한다. 조명 서브시스템은 적어도 하나의 광원을 포함한다. 예를 들면, 도 1에서 도시되는 바와 같이, 조명 서브시스템은 광원(16)을 포함한다. 하나의 실시형태에서, 조명 서브시스템은 하나 이상의 입사각에서 광을 웨이퍼로 지향시키도록 구성되는데, 하나 이상의 입사각은, 하나 이상의 경사각(oblique angle) 및/또는 하나 이상의 수직각(normal angle)을 포함할 수도 있다. 예를 들면, 도 1에서 도시되는 바와 같이, 광원(16)으로부터의 광은 광학 엘리먼트(18) 및 그 다음 렌즈(20)를 통해 빔 스플리터(21)로 지향되는데, 빔 스플리터(21)는 수직의 입사각에서 광을 웨이퍼(14)로 지향시킨다. 입사각은 임의의 적절한 입사각을 포함할 수도 있는데, 임의의 적절한 입사각은, 예를 들면, 웨이퍼 및 웨이퍼 상에서 검출될 결함의 특성(characteristic)에 의존하여 변할 수도 있다.
조명 서브시스템은 상이한 시간에 상이한 입사각에서 광을 웨이퍼로 지향시키도록 구성될 수도 있다. 예를 들면, 검사 서브시스템은, 도 1에서 도시되는 것과는 상이한 입사각에서 광이 웨이퍼로 지향될 수 있도록, 조명 서브시스템의 하나 이상의 엘리먼트의 하나 이상의 특성을 수정하도록 구성될 수도 있다. 하나의 그러한 예에서, 검사 서브시스템은, 광이 상이한 입사각에서 웨이퍼로 지향되도록, 광원(16), 광학 엘리먼트(18), 및 렌즈(20)를 이동시키게끔 구성될 수도 있다.
몇몇 경우에, 검사 서브시스템은 동시에 하나보다 많은 입사각에서 광을 웨이퍼로 지향시키도록 구성될 수도 있다. 예를 들면, 조명 서브시스템은 하나보다 많은 조명 채널을 포함할 수도 있고, 조명 채널 중 하나는 도 1에서 도시되는 바와 같이 광원(16), 광학 엘리먼트(18), 및 렌즈(20)를 포함할 수도 있고, 조명 채널 중 다른 것(도시되지 않음)은, 상이하게 또는 동일하게 구성될 수도 있는 유사한 엘리먼트를 포함할 수도 있거나, 또는 적어도 광원 및 어쩌면 본원에서 추가로 설명되는 것과 같은 하나 이상의 다른 컴포넌트를 포함할 수도 있다. 그러한 광이 다른 광과 동일한 시간에 웨이퍼로 지향되면, 상이한 입사각에서 웨이퍼로 지향되는 광의 하나 이상의 특성(예를 들면, 파장, 편광, 등등)은, 상이한 입사각에서 웨이퍼의 조명으로부터 유래하는 광이 검출기(들)에서 서로 구별될 수 있도록, 상이할 수도 있다.
다른 경우에, 조명 서브시스템은 단지 하나의 광원(예를 들면, 도 1에서 도시되는 소스(16))을 포함할 수도 있고, 광원으로부터의 광은 조명 서브시스템의 하나 이상의 광학 엘리먼트(도시되지 않음)에 의해 (예를 들면, 파장, 편광, 등등에 기초하여) 상이한 광학 경로로 분리될 수도 있다. 그 다음, 상이한 광학 경로의 각각에서의 광은 웨이퍼로 지향될 수도 있다. 동시에 또는 상이한 시간에(예를 들면, 웨이퍼를 순차적으로 조명하기 위해 상이한 조명 채널이 사용되는 경우) 광을 웨이퍼에 지향시키도록, 다수의 조명 채널이 구성될 수도 있다. 다른 경우에, 동일한 조명 채널은, 상이한 시간에 상이한 특성을 갖는 광을 웨이퍼로 지향시키도록 구성될 수도 있다. 예를 들면, 몇몇 경우에, 광학 엘리먼트(18)는 스펙트럼 필터로서 구성될 수도 있고, 스펙트럼 필터의 성질(property)은, 광의 상이한 파장이 상이한 시간에 웨이퍼로 지향될 수 있도록, 여러 가지 상이한 방식으로 (예를 들면, 스펙트럼 필터를 교환하는 것에 의해) 변경될 수 있다. 조명 서브시스템은, 상이한 또는 동일한 특성을 갖는 광을 상이한 또는 동일한 입사각에서 순차적으로 또는 동시적으로 웨이퍼로 지향시키기 위한 기술 분야에서 공지되어 있는 임의의 다른 적절한 구성을 구비할 수도 있다.
하나의 실시형태에서, 광원(16)은 광대역 플라즈마(broadband plasma; BBP) 광원을 포함할 수도 있다. 이 방식에서, 광원에 의해 생성되며 웨이퍼로 지향되는 광은 광대역 광을 포함할 수도 있다. 그러나, 광원은 레이저와 같은 임의의 다른 적절한 광원을 포함할 수도 있다. 레이저는 기술 분야에서 공지되어 있는 임의의 적절한 레이저를 포함할 수도 있고 기술 분야에서 공지되어 있는 임의의 적절한 파장 또는 파장에서 광을 생성하도록 구성될 수도 있다. 또한, 레이저는 단색성인(monochromatic) 또는 거의 단색성인 광을 생성하도록 구성될 수도 있다. 이 방식에서, 레이저는 협대역(narrowband) 레이저일 수도 있다. 광원은 또한, 다수의 별개의 파장 또는 파장 대역(waveband)에서 광을 생성하는 다색성 광원(polychromatic light source)을 포함할 수도 있다.
광학 엘리먼트(18)로부터의 광은 렌즈(20)에 의해 빔 스플리터(21)로 집광될 수도 있다. 비록 렌즈(20)가 도 1에서 단일의 굴절성 광학 엘리먼트로서 도시되지만, 렌즈(20)는, 실제로는, 조합하여 광학 엘리먼트로부터의 광을 웨이퍼로 집광시키는 다수의 굴절성 및/또는 반사성 광학 엘리먼트를 포함할 수도 있다는 것이 이해되어야 한다.
도 1에서 도시되며 본원에서 설명되는 조명 서브시스템은 임의의 다른 적절한 광학 엘리먼트(도시되지 않음)를 포함할 수도 있다. 그러한 광학 엘리먼트의 예는, 기술 분야에서 공지되어 있는 임의의 그러한 적절한 광학 엘리먼트를 포함할 수도 있는, 편광 컴포넌트(들), 스펙트럼 필터(들), 공간 필터(들), 반사성 광학 엘리먼트(들), 아포다이저(apodizer)(들), 빔 스플리터(들), 어퍼쳐(들), 및 등등을 포함하지만, 그러나 이들로 제한되지는 않는다. 또한, 시스템은, 검사를 위해 사용될 조명의 타입에 기초하여 조명 서브시스템의 엘리먼트 중 하나 이상을 수정하도록 구성될 수도 있다.
검사 서브시스템은 또한, 광이 웨이퍼에 걸쳐 스캔되게 하도록 구성되는 스캐닝 서브시스템을 포함할 수도 있다. 예를 들면, 검사 서브시스템은, 검사 동안 웨이퍼(14)가 배치되는 스테이지(22)를 포함할 수도 있다. 스캐닝 서브시스템은, 광이 웨이퍼에 걸쳐 스캐닝될 수 있도록, 웨이퍼를 이동시키도록 구성될 수 있는 임의의 적절한 기계적 및/또는 로봇식 어셈블리(스테이지(22)를 포함함)를 포함할 수도 있다. 추가적으로, 또는 대안적으로, 검사 서브시스템은, 검사 서브시스템의 하나 이상의 광학 엘리먼트가 웨이퍼에 걸쳐 광의 어떤 스캐닝을 수행하도록 구성될 수도 있다. 광은 임의의 적절한 양식으로 웨이퍼에 걸쳐 스캐닝될 수도 있다.
검사 서브시스템은 하나 이상의 검출 채널을 더 포함한다. 하나 이상의 검출 채널 중 적어도 하나는, 검사 서브시스템에 의한 시료의 조명에 기인하여 웨이퍼로부터의 광을 검출하도록 그리고 검출된 광에 응답하는 출력을 생성하도록 구성되는 검출기를 포함한다. 예를 들면, 도 1에서 도시되는 검사 서브시스템은 두 개의 검출 채널을 포함하는데, 하나는 콜렉터(collector)(24), 엘리먼트(26), 및 검출기(28)에 의해 형성되고 다른 하나는 콜렉터(30), 엘리먼트(32), 및 검출기(34)에 의해 형성된다. 도 1에서 도시되는 바와 같이, 두 개의 검출 채널은 상이한 수집각에서 광을 수집 및 검출하도록 구성된다. 몇몇 경우에, 하나의 검출 채널은 거울 반사된 광을 검출하도록 구성되고, 다른 검출 채널은 시료로부터 거울 반사되지 않는(예를 들면, 산란되는, 회절되는, 등등의) 광을 검출하도록 구성된다. 그러나, 검출 채널 중 두 개 이상은, 웨이퍼로부터 동일한 타입의 광(예를 들면, 거울 반사된 광)을 검출하도록 구성될 수도 있다. 비록 두 개의 검출 채널을 포함하는 검사 서브시스템의 한 실시형태를 도 1이 도시하지만, 검사 서브시스템은 상이한 수의 검출 채널(예를 들면, 단지 하나의 검출 채널 또는 두 개 이상의 검출 채널)을 포함할 수도 있다. 비록 콜렉터의 각각이 도 1에서 단일의 굴절성 광학 엘리먼트로서 도시되지만, 콜렉터의 각각은 하나 이상의 굴절성 광학 엘리먼트(들) 및/또는 하나 이상의 반사성 광학 엘리먼트(들)를 포함할 수도 있다는 것이 이해되어야 한다.
하나 이상의 검출 채널은 기술 분야에서 공지되어 있는 임의의 적절한 검출기를 포함할 수도 있다. 예를 들면, 검출기는, 광 증배관(photo-multiplier tube; PMT), 전하 결합 소자(charge coupled device; CCD), 및 시간 지연 통합(time delay integration; TDI) 카메라를 포함할 수도 있다. 검출기는 또한 기술 분야에서 공지되어 있는 임의의 다른 적절한 검출기를 포함할 수도 있다. 검출기는 또한, 비이미징(non-imaging) 검출기 또는 이미징(imaging) 검출기를 포함할 수도 있다. 이 방식에서, 검출기가 비이미징 검출기이면, 검출기의 각각은, 세기와 같은 산란된 광의 소정의 특성을 검출하도록 구성될 수도 있지만, 그러나 그러한 특성을 이미징 면 내에서의 위치의 함수로서 검출하도록 구성되지 않을 수도 있다. 그와 같이, 검사 서브시스템의 검출 채널의 각각에 포함되는 검출기의 각각에 의해 생성되는 출력은 신호 또는 데이터일 수도 있지만, 그러나 이미지 신호 또는 이미지 데이터는 아닐 수도 있다. 그러한 경우에, 시스템의 본원에서 추가로 설명되는 이미지 프로세싱 컴포넌트(도 1에 도시되지 않음)와 같은 컴퓨터 서브시스템은, 검출기의 비이미징 출력(non-imaging output)으로부터 웨이퍼의 이미지를 생성하도록 구성될 수도 있다. 그러나, 다른 경우에, 검출기는, 이미지 신호 또는 이미지 데이터를 생성하도록 구성되는 이미징 검출기로서 구성될 수도 있다. 따라서, 시스템은 본원에서 설명되는 이미지를 다수의 방식으로 생성하도록 구성될 수도 있다.
도 1은, 본원에서 설명되는 시스템 실시형태에 포함될 수도 있는 검사 서브시스템의 구성을 일반적으로 예시하기 위해 본원에서 제공된다는 것을 유의한다. 명백하게는, 본원에서 설명되는 검사 서브시스템 구성은 시스템의 성능을 최적화하도록 수정될 수도 있는데, 이러한 것은 상업적 검사 시스템을 설계할 때 일반적으로 수행되는 것이다. 또한, 본원에서 설명되는 시스템은, 미국 캘리포니아 밀피타스 소재의 KLA-Tencor로부터 상업적으로 이용 가능한 28xx 및 29xx 시리즈의 툴과 같은 현존하는 검사 시스템을 사용하여 (예를 들면, 본원에서 설명되는 기능성을 현존하는 검사 시스템에 추가하는 것에 의해) 구현될 수도 있다. 몇몇 그러한 시스템의 경우, 본원에서 설명되는 방법은 시스템의 옵션적인 기능성으로서 (예를 들면, 시스템의 다른 기능성에 추가하여) 제공될 수도 있다. 대안적으로, 본원에서 설명되는 시스템은, 완전히 새로운 시스템을 제공하기 위해 "맨 처음부터(from scratch)" 설계될 수도 있다.
시스템의 컴퓨터 서브시스템(들)(300)은, 컴퓨터 서브시스템(들)이 웨이퍼의 스캐닝 동안 검출기에 의해 생성되는 출력을 수신할 수도 있도록, (예를 들면, "유선" 및/또는 "무선" 송신 매체를 포함할 수도 있는 하나 이상의 송신 매체를 통해) 임의의 적절한 방식으로 검사 서브시스템의 검출기에 커플링될 수도 있다. 컴퓨터 서브시스템(들)(300)은 본원에서 설명되는 바와 같은 검출기의 출력 및 본원에서 추가로 설명되는 임의의 다른 기능을 사용하여 다수의 기능을 수행하도록 구성될 수도 있다. 컴퓨터 서브시스템(들)(300)은 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다.
컴퓨터 서브시스템(들)(300)(뿐만 아니라 본원에서 설명되는 다른 컴퓨터 서브시스템)은 또한, 본원에서 컴퓨터 시스템(들)으로 칭해질 수도 있다. 본원에서 설명되는 컴퓨터 서브시스템(들) 또는 시스템(들)의 각각은, 퍼스널 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스, 인터넷 어플라이언스, 또는 다른 디바이스를 비롯한, 본원에서 설명되는 다양한 실시형태에 따라 적응되는 다양한 형태를 취할 수도 있다. 일반적으로, 용어 "컴퓨터 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다. 컴퓨터 서브시스템(들) 또는 시스템(들)은 또한, 병렬 프로세서와 같은 기술 분야에서 공지되어 있는 임의의 적절한 프로세서를 포함할 수도 있다. 또한, 컴퓨터 서브시스템(들) 또는 시스템(들)은, 고속 프로세싱 및 SW를, 독립형의 또는 네트워크화된 툴로서 갖는 컴퓨터 플랫폼을 포함할 수도 있다.
컴퓨터 서브시스템(들)의 다양한 컴포넌트는 이미지, 데이터, 정보, 명령어, 등등이 본원에서 추가로 설명되는 바와 같이 다양한 컴포넌트 사이에서 전송될 수도 있도록 서로 커플링될 수도 있다. 예를 들면, 상이한 컴포넌트는, 기술 분야에서 공지되어 있는 임의의 적절한 유선 및/또는 무선 송신 매체를 포함할 수도 있는 임의의 적절한 송신 매체에 의해 서로 커플링될 수도 있다. 그러한 컴포넌트 중 두 개 이상은 또한, 공유된 컴퓨터 판독 가능 저장 매체(도시되지 않음)에 의해 효과적으로 커플링될 수도 있다.
비록 검사 서브시스템이 광학 또는 광 기반의 검사 서브시스템인 것으로 상기에서 설명되지만, 검사 서브시스템은 전자 빔 기반의 검사 서브시스템일 수도 있다. 예를 들면, 하나의 실시형태에서, 웨이퍼로 지향되는 에너지는 전자를 포함하고, 웨이퍼로부터 검출되는 에너지는 전자를 포함한다. 이 방식에서, 에너지 소스는 전자 빔 소스일 수도 있다. 도 2에서 도시되는 하나의 그러한 실시형태에서, 검사 서브시스템은, 컴퓨터 서브시스템(들)(300)에 커플링되는 전자 칼럼(electron column)(122)을 포함한다.
또한 도 2에서 도시되는 바와 같이, 전자 칼럼은, 하나 이상의 엘리먼트(130)에 의해 시료(128)로 집속되는 전자를 생성하도록 구성되는 전자 빔 소스(126)를 포함한다. 전자 빔 소스는, 예를 들면, 캐소드 소스 또는 이미터 팁을 포함할 수도 있고, 하나 이상의 엘리먼트(130)는, 예를 들면, 건 렌즈(gun lens), 애노드, 빔 제한용 어퍼쳐(beam limiting aperture), 게이트 밸브, 빔 전류 선택 어퍼쳐, 대물 렌즈, 및 스캐닝 서브시스템을 포함할 수도 있는데, 이들 모두는 기술 분야에서 공지되어 있는 임의의 그런 적절한 엘리먼트를 포함할 수도 있다.
시료로부터 반환되는 전자(예를 들면, 이차 전자)는 하나 이상의 엘리먼트(132)에 의해 검출기(134)로 집속될 수도 있다. 하나 이상의 엘리먼트(132)는, 예를 들면, 스캐닝 서브시스템을 포함할 수도 있는데, 스캐닝 서브시스템은 엘리먼트(들)(130)에 포함되는 동일한 스캐닝 서브시스템일 수도 있다.
전자 칼럼은 기술 분야에서 공지되어 있는 임의의 다른 적절한 엘리먼트를 포함할 수도 있다. 게다가, 전자 칼럼은 또한, Jiang 등등에게 2014년 4월 4일자로 발행된 미국 특허 제8,664,594호, Kojima 등등에게 2014년 4월 8일자로 발행된 미국 특허 제8,692,204호, Gubbens 등등에게 2014년 4월 15일자로 발행된 미국 특허 제8,698,093호, 및 MacDonald 등등에게 2014년 5월 6일자로 발행된 미국 특허 제8,716,662호에서 설명되는 바와 같이 구성될 수도 있는데, 이들 특허는 참조에 의해, 마치 본원에서 완전히 기술되는 것처럼 통합된다.
비록 전자가 기울어진 입사각에서 웨이퍼로 지향되도록 그리고 다른 기울어진 각도에서 웨이퍼로부터 산란되도록 구성되는 것으로 전자 칼럼이 도 2에서 도시되지만, 전자 빔은 임의의 적절한 각도에서 웨이퍼로 지향될 수도 있고 웨이퍼로부터 산란될 수도 있다는 것이 이해되어야 한다. 게다가, 전자 빔 기반의 서브시스템은, (예를 들면, 상이한 조명 각도, 수집 각도, 등등을 사용하여) 웨이퍼의 이미지를 생성하기 위해 다수의 모드를 사용하도록 구성될 수도 있다. 전자 빔 기반의 서브시스템의 다수의 모드는 서브시스템의 임의의 이미지 생성 파라미터에서 상이할 수도 있다.
컴퓨터 서브시스템(들)(300)은 상기에서 설명되는 바와 같이 검출기(134)에 커플링될 수도 있다. 검출기는 웨이퍼의 표면으로부터 반환되는 전자를 검출할 수도 있고, 그에 의해, 웨이퍼의 전자 빔 이미지를 형성할 수도 있다. 전자 빔 이미지는 임의의 적절한 전자 빔 이미지를 포함할 수도 있다. 컴퓨터 서브시스템(들)(300)은 전자 빔 이미지 및/또는 검출기의 출력을 사용하여 본원에서 설명되는 기능 중 임의의 것을 수행하도록 구성될 수도 있다. 컴퓨터 서브시스템(들)(300)은 본원에서 설명되는 임의의 추가적인 단계(들)를 수행하도록 구성될 수도 있다. 도 2에서 도시되는 검사 서브시스템을 포함하는 시스템은 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다.
도 2는, 본원에서 설명되는 실시형태에 포함될 수도 있는 전자 빔 기반의 검사 서브시스템의 구성을 일반적으로 예시하기 위해 본원에서 제공된다는 것을 유의한다. 상기에서 설명되는 광학적 검사 서브시스템에서와 같이, 본원에서 설명되는 전자 빔 기반의 검사 서브시스템 구성은, 상업적 검사 시스템을 설계할 때 일반적으로 수행되는 바와 같이, 검사 서브시스템의 성능을 최적화하도록 수정될 수도 있다. 또한, 본원에서 설명되는 시스템은 현존하는 검사 시스템을 사용하여 (예를 들면, 현존하는 검사 시스템에 본원에서 설명되는 기능성을 추가하는 것에 의해) 구현될 수도 있다. 몇몇 그러한 시스템의 경우, 본원에서 설명되는 방법은 시스템의 옵션적인 기능성으로서 (예를 들면, 시스템의 다른 기능성에 추가하여) 제공될 수도 있다. 대안적으로, 본원에서 설명되는 시스템은, 완전히 새로운 시스템을 제공하기 위해 "맨 처음부터(from scratch)" 설계될 수도 있다.
비록 검사 서브시스템이 광 기반의 또는 전자 빔 기반의 검사 서브시스템인 것으로 상기에서 설명되지만, 검사 서브시스템은 이온 빔 기반의 검사 서브시스템일 수도 있다. 그러한 검사 서브시스템은, 전자 빔 소스가 기술 분야에서 공지되어 있는 임의의 적절한 이온 빔 소스로 대체될 수도 있다는 점을 제외하면, 도 2에 도시되는 바와 같이 구성될 수도 있다. 게다가, 검사 서브시스템은 상업적으로 이용 가능한 집속 이온빔(focused ion beam; FIB) 시스템, 헬륨 이온 현미경 검사(helium ion microscopy; HIM) 시스템, 및 이차 이온 질량 분광법(secondary ion mass spectroscopy; SIMS) 시스템에 포함되는 것과 같은 임의의 다른 적절한 이온 빔 기반의 서브시스템일 수도 있다.
상기에서 언급되는 바와 같이, 검사 서브시스템은 웨이퍼의 물리적 버전에 걸쳐 에너지(예를 들면, 광 또는 전자)를 스캐닝하도록, 그에 의해, 웨이퍼의 물리적 버전에 대한 실제 이미지를 생성하도록 구성된다. 이 방식에서, 검사 서브시스템은 "가상" 툴이 아닌 "실제" 툴로서 구성될 수도 있다. 예를 들면, 저장 매체(도시되지 않음) 및 컴퓨터 서브시스템(들)(도시되지 않음)은 "가상" 툴로서 구성될 수도 있다. 특히, 저장 매체 및 가상 툴의 컴퓨터 서브시스템(들)은 검사 서브시스템(10)의 일부가 아니며 웨이퍼의 물리적 버전을 핸들링하기 위한 어떠한 성능도 갖지 않는다. 다시 말하면, 가상 툴로서 구성되는 툴에서, 그것의 하나 이상의 "검출기"의 출력은, 실제 툴의 하나 이상의 검출기에 의해 이전에 생성되었던 그리고 가상 툴에 저장되어 있는 출력일 수도 있고, "스캐닝" 동안, 가상 툴은, 마치 웨이퍼가 스캔되고 있는 것처럼, 저장된 출력을 리플레이할 수도 있다. 이 방식에서, 가상 툴을 사용하여 웨이퍼를 스캐닝하는 것은, 마치 물리적인 웨이퍼가 실제 툴을 사용하여 스캐닝되고 있는 것처럼 동일하게 보일 수도 있지만, 그러나, 실제로는, "스캐닝"은 웨이퍼가 스캐닝될 수도 있는 것과 동일한 방식으로 웨이퍼에 대한 출력을 단순히 리플레이하는 것을 수반한다. "가상" 검사 툴로서 구성되는 시스템 및 방법은, 공동 양도된, 2012년 2월 28일자로 Bhaskar 등등에게 발행된 미국 특허 제8,126,255호 및 2015년 12월 29일자로 Duffy 등등에게 발행된 미국 특허 제9,222,895호에서 설명되는데, 이들 특허 둘 모두는, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이들 특허에서 설명되는 바와 같이 구성될 수도 있다. 예를 들면, 본원에서 설명되는 하나 이상의 컴퓨터 서브시스템은 또한, 이들 특허에서 설명되는 바와 같이 구성되는 가상 검사기(virtual inspector)를 포함할 수도 있다. 또한, 하나 이상의 가상 시스템을 중앙 계산 및 저장(central compute and storage; CCS) 시스템으로 구성하는 것은 상기에서 언급된 Duffy의 특허에서 설명되는 바와 같이 수행될 수도 있다. 본원에서 설명되는 영구적 저장 메커니즘은 CCS 아키텍쳐와 같은 분산형 컴퓨팅 및 스토리지를 가질 수 있지만, 그러나 본원에서 설명되는 실시형태는 그 아키텍쳐로 제한되지는 않는다.
상기에서 추가로 언급되는 바와 같이, 검사 서브시스템은 다수의 모드를 사용하여 웨이퍼에 대한 출력을 생성하도록 구성될 수도 있다. 일반적으로, "모드"는 웨이퍼에 대한 출력을 생성하기 위해 사용되는 검사 서브시스템의 파라미터의 값에 의해 정의될 수 있다. 따라서, 상이한 모드는, 검사 서브시스템의 이미징 파라미터 중 적어도 하나에 대한 값에서 상이할 수도 있다. 예를 들면, 광학 기반의 검사 서브시스템의 하나의 실시형태에서, 다수 모드 중 적어도 하나는, 다수의 모드 중 적어도 하나의 다른 모드에 대해 사용되는 조명용의 적어도 하나의 파장의 광과는 상이한 조명용의 적어도 하나의 파장의 광을 사용한다. 모드는 상이한 모드에 대해 (예를 들면, 상이한 광원, 상이한 스펙트럼 필터, 등등을 사용하는 것에 의해) 본원에서 추가로 설명되는 바와 같이 조명 파장에서 상이할 수도 있다. 다른 실시형태에서, 다수의 모드 중 적어도 하나는, 다수의 모드 중 적어도 하나의 다른 모드에 대해 사용되는 검사 서브시스템의 조명 채널과는 상이한 검사 서브시스템의 조명 채널을 사용한다. 예를 들면, 상기에서 언급되는 바와 같이, 검사 서브시스템은 하나보다 많은 조명 채널을 포함할 수도 있다. 그와 같이, 상이한 조명 채널은 상이한 모드에 대해 사용될 수도 있다.
레티클은 리소그래피 프로세스에서 웨이퍼 상에 피쳐를 인쇄하기 위해 사용된다. 리소그래피 프로세스는 임의의 적절한 리소그래피 프로세스를 포함할 수도 있다. 일반적으로, 리소그래피 프로세스는 레티클 상에 형성된 패턴을 웨이퍼 상의 재료로 전사하기 위해 어떤 형태의 에너지(예를 들면, 광, 전자, 등등)를 사용한다. 웨이퍼 상의 재료는, 리소그래피 프로세스에 사용되는 에너지에 노출된 이후 자신의 하나 이상의 성질이 변하는 어떤 형태의 레지스트일 수도 있다. 이 방식에서, 에너지(광, 전자 등등)의 패턴이 레티클로부터 또는 레티클을 통해 웨이퍼 상의 레지스트로 지향될 수 있고, 그에 의해, 레티클로부터 레지스트로 패턴을 전사할 수 있다. 패턴 전사 프로세스를 완료하기 위해, 하나 이상의 추가적인 단계(예를 들면, 노광 후 베이킹, 현상, 등등)가 레지스트에 대해 수행될 수도 있다. 따라서, 리소그래피 프로세스의 이러한 일반적인 설명으로부터 명백하게 알 수 있는 바와 같이, 레티클 상에 존재하는 결함은 리소그래피 프로세스에서 웨이퍼로 전사될 수 있는데, 이는 명백히 불리하다. 본원에서 추가로 설명되는 바와 같이, 때때로 레티클 검사 프로세스에서와 같이, 레티클을 직접적으로 검사하는 것이 가능하지 않다. 그러한 경우에, 레티클은 웨이퍼 상에 인쇄되고, 웨이퍼는 결함에 대해 검사되고, 그들 웨이퍼 결함은, 결함이 레티클에 의해 야기되는지를 결정하기 위해 사용된다. 그러한 결정은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다.
하나 이상의 컴퓨터 서브시스템은, 웨이퍼에 대한 검사 서브시스템에 의해 생성되는 이미지를 획득하도록 구성되는 하나 이상의 이미지 프로세싱 컴포넌트를 포함한다. 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 간결성을 위해, 본원에서 일반적으로 그리고 일괄적으로 "IMC"로 칭해진다. 그와 같이, 용어 "IMC"가 본원에서 사용되는 경우, 그것은 본원에서 설명되는 하나 이상의 이미지 프로세싱 컴포넌트의 실시형태 중 임의의 것으로 정의되는 것을 의미한다. 도 3에서 도시되는 하나의 그러한 실시형태에서, 컴퓨터 서브시스템(들)(300)은 IMC(302)를 포함한다. 이미지를 획득하는 것은, (예를 들면, 광 또는 전자 빔을 웨이퍼로 지향시키는 것 및 웨이퍼로부터 광 또는 전자 빔을 각각 검출하는 것에 의해) 본원에서 설명되는 검사 서브시스템 중 하나를 사용하여 수행될 수도 있다. 예를 들면, 도 3에서 도시되는 바와 같이, 검사 서브시스템(304)은, 검사 서브시스템에 의해 생성되는 이미지가 IMC(302)로 전송될 수 있고 및/또는 IMC(302)에 의해 검사 서브시스템으로부터 획득될 수 있도록, IMC(302)에 커플링될 수도 있다. 이 방식에서, 이미지를 획득하는 것은 물리적 웨이퍼 그 자체 및 어떤 종류의 이미징 HW를 사용하여 수행될 수도 있다. 검사 서브시스템(304)은 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있다.
도 3에 더 도시되는 바와 같이, IMC(302)는, IMC 프로세싱 컴포넌트(308 및 310), IMC 스토리지(312), 및 IMC SW(314)를 포함하는 다수의 컴포넌트를 포함할 수도 있다. IMC 프로세싱 컴포넌트(308 및 310)는 서로 동일한 구성을 가질 수도 있고 병렬로 동작할 수도 있다. 예를 들면, 검사 서브시스템(304)에 의해 수행되는 스캐닝 동안, 검사 서브시스템에 의해 생성되는 이미지 중 일부는 IMC 프로세싱 컴포넌트(308)에 의해 획득될 수도 있고, 검사 서브시스템에 의해 생성되는 이미지 중 다른 것은 IMC 프로세싱 컴포넌트(310)에 의해 획득될 수도 있다. 일반적으로, IMC SW(314)와 같은 SW 컴포넌트는 이미지 중 어떤 것이 상이한 IMC 프로세싱 컴포넌트로 전송되는지(따라서 상이한 IMC 프로세싱 컴포넌트에 의해 획득되는지)를 제어할 수도 있다. 그 다음, IMC 프로세싱 컴포넌트는, 동시에 획득되는 이미지에 대해 동일한 프로세스를 수행할 수도 있다. 따라서, IMC 프로세싱 컴포넌트(308 및 310)는 병렬 프로세싱 컴포넌트로서 구성될 수도 있다. 또한, IMC는, 검사 서브시스템의 검출기로부터 웨이퍼 데이터를 획득하고 이미지 프로세싱 알고리즘의 병렬 프로세싱을 스케줄링하는 서버의 클러스터로서 구성될 수도 있다. 비록 두 개의 IMC 프로세싱 컴포넌트가 도 3에서 도시되지만, IMC는 소망되는 만큼 많은 또는 적은 IMC 프로세싱 컴포넌트를 포함할 수도 있다는 것이 이해되어야 한다. IMC 프로세싱 컴포넌트(308 및 310)는 본원에서 설명되는 바와 같이 추가로 구성될 수도 있다.
IMC 스토리지(312)는 본원에서 일반적으로 공유 메모리로서 지칭될 수도 있고, 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 공유된 비일시적 컴퓨터 판독 가능 저장 매체로서 구성될 수도 있다. 예를 들면, IMC에서의 결함 검출은, 다수의 중앙 프로세싱 유닛(central processing unit; CPU)이 입력 데이터 스트림에 대해 병렬로 작업하고 있는 병렬 프로세싱으로서 구현될 수도 있다. 모든 SW 프로세스는 자기 자신의 메모리 공간을 가질 수도 있다. 이것은 시스템을 더욱 견고하게 만들지만, 그러나 상이한 프로세스 사이의 데이터 전송에 대해 문제를 발생시킨다. 공유 메모리는 모든 프로세스가 이용 가능하게 만들어지는 IMC의 메모리이다. 이 비일시적 컴퓨터 판독 가능 저장 매체는 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다. 몇몇 경우에, IMC 스토리지(312)는, IMC 프로세싱 컴포넌트(308 및 310)가 결과를 IMC 스토리지로 전송하도록, 도 3에서 도시되는 바와 같이 구성될 수도 있다. 그러나, IMC 스토리지(312)는, 또한 또는 대안적으로, 검사 서브시스템으로부터 이미지를 수신 또는 획득하도록 그리고, 필요에 따라, 요청에 따라, 또는 지시에 따라, 그들 이미지를 다양한 IMC 프로세싱 컴포넌트로 전송하도록 구성될 수도 있다. IMC 스토리지(312)는 또한, 본원에서 설명되는 다양한 실시형태의 구성에 따라 본원에서 추가로 설명되는 바와 같이 여러 가지 상이한 특성(예를 들면, 사이즈)을 갖는 여러 가지 상이한 타입의 메모리를 포함할 수도 있다.
IMC SW(314)는, 본원에서 추가로 설명되는 바와 같이 하나 이상의 컴퓨터 서브시스템에 포함되는 다른 컴포넌트로부터 명령어를 수신하도록 또는 이들 컴포넌트가 본원에서 추가로 설명되는 다양한 단계 또는 기능을 수행하게끔 IMC 프로세싱 컴포넌트(308 및 310) 및/또는 IMC 스토리지(312)를 제어 또는 지시하도록 구성될 수도 있다. 이 방식에서, IMC SW는 IMC 그 자체 및 IMC에 의해 수행되는 이미지 프로세싱 알고리즘을 실행할 수도 있다. IMC SW는, 본원에서 설명되는 단계 또는 기능에 따라 다양한 구성을 가질 수도 있다.
컴퓨터 서브시스템(들)은 또한, 웨이퍼 및 레티클에 대해 생성되는 정보를 유저에게 제공하도록 그리고 유저로부터 지시를 수신하도록 구성되는 메인 유저 인터페이스 컴포넌트를 포함한다. 메인 유저 인터페이스 컴포넌트는 또한, 간결성을 위해 본원에서 단순히 "메인 UI"로 칭해질 수도 있다. 하나의 그러한 실시형태에서, 도 3에서 도시되는 바와 같이, 컴퓨터 서브시스템(들)은 메인 UI(305)를 포함한다. 메인 UI는, 본원에서 설명되는 메인 UI 및 시스템에 의해 수행될 기능 및/또는 단계에 따라 여러 가지 상이한 구성을 가질 수도 있다. 그러나, 일반적으로, 메인 UI는, 유저에게 정보를 디스플레이하도록, 유저에게 입력을 촉구하도록, 입력을 입력하기 위한 수단을 유저에게 제공하도록, 등등을 하도록 구성되는 하나 이상의 디스플레이 컴포넌트(도시되지 않음), 및 메인 UI에 의해 수행되는 것으로 및/또는 유저에 의해 수행되도록 요청되는 것으로 본원에서 추가로 설명되는 것과 같은 하나 이상의 기능 또는 단계를 수행하도록 구성되는 하나 이상의 프로세싱 엘리먼트(도시되지 않음)를 포함할 수도 있다. 메인 UI는, 본원에서 설명되는 메인 UI의 기능(들)을 수행하도록 적응될 수 있는 임의의 HW, SW, 코드, 등등을 사용하여 구현될 수도 있다.
컴퓨터 서브시스템(들)은, 하나 이상의 이미지 프로세싱 컴포넌트와 메인 유저 인터페이스 컴포넌트 사이의 인터페이스를 제공하도록 그리고 검사 서브시스템의 하나 이상의 HW 엘리먼트를 제어하도록 구성되는 인터페이스 컴포넌트를 더 포함한다. 예를 들면, 도 3에서 도시되는 바와 같이, 컴퓨터 서브시스템(들)(300)은 인터페이스(306)를 포함한다. 인터페이스 컴포넌트는, 도 1 및 도 2에서 도시되는 검사 서브시스템의 HW 엘리먼트 중 임의의 것을 포함할 수도 있는 검사 서브시스템(304)의 하나 이상의 HW 엘리먼트(도 3에서 도시되지 않음)에 명령어를 전송하도록 구성될 수도 있다. 인터페이스 컴포넌트가 검사 서브시스템의 하나 이상의 HW 엘리먼트의 하나 이상의 파라미터를 제어 및/또는 변경할 수 있도록, 인터페이스 컴포넌트는 본원에서 추가로 설명되는 바와 같이 검사 서브시스템에 커플링될 수도 있다.
인터페이스 컴포넌트는 도 3에서 도시되는 바와 같이 IMC에도 또한 커플링된다. 인터페이스 및 IMC는, 명령어, 정보, 결과, 이미지, 등등이 인터페이스와 IMC 사이에서 전송될 수 있도록 본원에서 추가로 설명되는 바와 같이 커플링될 수도 있다. 인터페이스는 또한, 인터페이스와 메인 UI 사이에서 유사한 정보가 전송될 수도 있도록, 유사한 방식으로 도 3에서 도시되는 바와 같이 메인 UI(305)에 커플링될 수도 있다. 따라서, 인터페이스(306)는 IMC(302)와 메인 UI(305) 사이의 인터페이스를 제공한다. 또한, 인터페이스(306)는 웨이퍼 검사기 SW의 머신 제어 컴포넌트일 수도 있고, 본질적으로 메인 UI의 하위 계층으로서 구성될 수도 있다. 인터페이스(306)는 본원에서 추가로 설명되는 인터페이스 컴포넌트의 기능 및/또는 단계를 수행하도록 구성될 수 있는 다양한 HW 및/또는 SW 컴포넌트를 포함할 수도 있다. 인터페이스(306)는 또한 본원에서 설명되는 바와 같이 구성될 수도 있다.
따라서, 웨이퍼 검사기 HW/SW는 멀티 레이어 HW/SW 시스템으로 구성될 수도 있는데, 멀티 레이어 HW/SW 시스템에서, 상이한 계층은, 검사 서브시스템의 HW에 "가장 가까운" 그리고 최저 레벨인 IMC, 중간 레벨이며 IMC와 메인 UI 사이에 있는 인터페이스, 및 최고 레벨이고, 검사 서브시스템 HW로부터 가장 멀리 떨어져 있고, 유저에게 "가장 가까운" 메인 UI를 포함한다.
하나 이상의 이미지 프로세싱 컴포넌트는, 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득된 이미지에 RDD 알고리즘을 적용하는 것에 의해 리피터 결함 검출(RDD)을 수행하도록 구성된다. 따라서, 현재 사용되는 방법 및 시스템과 달리, 본원에서 설명되는 실시형태는 IMC에서 RDD를 수행하도록 구성된다. RDD는 본원에서 추가로 설명되는 여러 가지 상이한 방식으로 수행될 수도 있다. RDD를 IMC로 이동시키는 것은, 그것이 현재 어떻게 수행되는지와는 대조적으로, 본원에서 추가로 설명되는 바와 같이 상당한 이점을 제공한다.
RDD 알고리즘은, 핫 임계치를 사용하여 웨이퍼 상의 결함을 검출하도록 그리고 리피터 결함인 결함을 식별하도록 구성된다. 용어 "리피터"는 본원에서 용어 "리피터 결함"과 상호 교환 가능하게 사용된다. "핫 임계치"는, 스캐닝에 의해 생성되는 이미지의 노이즈 플로어(noise floor)에 또는 실질적으로 그 근처에 설정되는 잠재적 결함 및 결함을 검출하기 위한 임계치로서 일반적으로 정의될 수 있다. 핫 임계치를 사용하여 수행되는 "핫 스캔(hot scan)"은, 대부분의 결함 또는 주목하는 결함 모두가 캡쳐되는 것을 보장하기 위해 및/또는 상대적으로 약한 신호를 갖는 결함이 캡쳐되는 것을 보장하기 위해, 가능한 한 많은 잠재적 결함 및 실제 결함을 검출하도록 통상적으로 수행된다. 핫 임계치는 웨이퍼의 이미지에 적용될 수도 있고, 핫 임계치를 초과하는 임의의 신호 이미지 또는 데이터는 잠재적 결함으로 식별될 수도 있고, 핫 임계치를 초과하지 않는 신호 또는 데이터는 잠재적 결함으로 식별되지 않는다.
하나의 실시형태에서, RDD 알고리즘은, 결함 중 상이한 것에 대한 다이 내 결함 좌표를 서로 비교하는 것에 의해 리피터 결함인 결함을 식별하도록 구성된다. 예를 들면, 본원에서 추가로 설명되는 바와 같이, IMC는 어떤 방식으로 반복되는 결함을 식별하는 것에 의해 데이터 감소에서의 제1 단계를 수행한다. 일반적으로, 이 단계에서, RDD 알고리즘은, 다이 리피터가 본원에서 설명되는 실시형태에서 주목 대상이 아니더라도, 다이 리피터인 결함을 검출하고 있을 것이다. 예를 들면, 다이 리피터가 RDD 알고리즘에 의해 식별된 이후, 다이 리피터를 분석하여 다이 리피터 중 어떤 것이 레티클 리피터인지를 결정할 수 있다. 이 방식에서, 리피터 결함인 결함을 식별하는 것은, 다이 내 결함 좌표 서로 비교하는 것에 의해 IMC에서 RDD 알고리즘에 의해 수행될 수도 있다. 그 다음, 동일한 다이 내 결함 좌표를 갖는 결함(또는 "다이 관련 결함 좌표(die relative defect coordinate)")은 다이 단위로(die-to-die) 반복되는 결함으로 식별될 수 있다. 그 다음, 그들 결함은, 추가적인 리피터 분석을 위해 전달되는 유일한 결함일 수도 있다.
몇몇 경우에, 결함은 IMC에 의한 다이 관련 좌표에서 보고되지 않을 것이다. 다시 말하면, IMC가 결함을 검출할 때, 그 결함의 위치의 좌표는, 그것이 검출되었던 다이와 관련하여 IMC에 의해 결정되지 않을 수도 있다. 이 방식에서, IMC에 의해 결정되는 결함의 좌표는 IMC에 의한 다이 좌표로 변환될 수도 있다. 좌표는, 다수의 상이한 방식으로 변환될 수도 있는데, 그 중 일부는 본원에서 상세히 설명된다. 예를 들면, 하나의 상대적으로 직접적인 방식은, 결함에 대해 보고되는 좌표를, 웨이퍼에 대한 설계와 같은 웨이퍼 및 다이에 공통인 기준(reference)으로 변환하는 것이다. 하나의 그러한 예에서, 검사 서브시스템에 의해 결함에 대해 생성되는 이미지는, 웨이퍼에 대한 설계에 정렬될 수 있다. 일단 결함의 설계 좌표가 결정되면, 그들 좌표는 설계와 다이 사이의 공지된 관계에 기초하여 다이 좌표로 변환될 수 있다. 그 다음, 결함의 각각에 대해 결정되는 다이 좌표는 서로 비교될 수 있고, 실질적으로 동일한 다이 좌표를 갖는 결함은 다이 리피터로서 식별될 수 있다.
실질적으로 동일한 다이 좌표는, 다이 리피터의 위치로서 결정되기 위해서는 두 개의 다이 좌표가 얼마나 가까워야 하는지를 정의하는 어떤 리피터 거리 임계치를 사용하는 것에 의해 식별될 수도 있다. 예를 들면, 웨이퍼 상에서의 설계의 인쇄, 검사 서브시스템의 위치 결정 엘리먼트, 등등에서의 사소한 에러는, 두 개의 동일한 다이 내 위치가 그들에 대해 결정되는 약간 또는 다소 상이한 다이 좌표를 가지게 할 수도 있다. 이 방식에서, 어떤 다이 내 좌표가 동일한 것으로 간주될 수 있는지를 결정하기 위한 허용 오차를 사용하는 것이 유용할 수도 있다. 그 허용 오차의 특정한 값은, 그러한 허용 오차를 결정하기 위해 일반적으로 사용되는 방식 중 임의의 것에서 그리고, 적어도, 상기에서 설명되는 정보에 기초하여 결정될 수 있다.
하나 이상의 이미지 프로세싱 컴포넌트는, 리피터 결함에만 대한 정보를 포함하는 검사 결과를 인터페이스 컴포넌트로 전송하도록 구성된다. 예를 들면, IMC는 다이 리피터만을 반환할 수도 있다. 특히, 비록 IMC가 리피터가 아닌 것으로 결정되는 결함을 검출할 수도 있지만, 본원에서 설명되는 시스템 구성에 대한 주목하는 결함(defects of interest; DOI)이 리피터 결함이기 때문에, 검출된 비 리피터 결함에 대한 정보는, 그들이 비 리피터인 것으로 결정된 이후 폐기될 수 있다. 이 방식에서, IMC는, 결함 검출 정보가 비 리피터에 대해 필터링되는 제1 데이터 감소(또는 필터링) 단계가 후속되는 결함 검출을 수행할 것이다.
IMC는 검사 결과를 임의의 적절한 방식으로 인터페이스 컴포넌트에 전송할 수도 있는데, 이것은 본원에서 추가로 설명되는 구성에 의해 가능하게 된다. 또한, IMC 컴포넌트는 임의의 적절한 포맷의 리피터 결함에만 대한 정보를 인터페이스 컴포넌트로 전송할 수도 있다. 검사 결과에 포함되며 인터페이스 컴포넌트로 전송되는 리피터 결함에만 대한 정보는 IMC에 의해 리피터 결함에 대해 생성되는 임의의 정보를 포함할 수도 있는데, 그 임의의 정보는 결함 좌표, 패치 이미지, 결함 속성(attribute), 등등을 포함할 수도 있지만, 그러나 이들로 제한되는 것은 아니다. 더구나, 본원에서 추가로 설명되는 바와 같이, 몇몇 실시형태에서, 컴퓨터 서브시스템(들)은, 결함에 대한 상이한 정보가 상이한 메모리 매체에 저장되는 분할 데이터베이스(split database; DB)를 포함하거나 또는 사용한다. 이 방식에서, IMC는 검사 결과의 일부만을 인터페이스 컴포넌트로 전송할 수도 있고, 한편 검사 결과의 다른 부분은 상이한 컴포넌트로 전송된다. 여전히, 검사 결과의 두 부분 모두는 리피터 결함에 대한 결과만 포함할 것이고 비 리피터에 대한 결과를 포함하지 않을 것이다.
하나 이상의 컴퓨터 서브시스템은, 웨이퍼 상에서 검출되는 리피터 결함에 기초하여 레티클 상의 결함을 식별하도록 구성된다. 예를 들면, 다이 리피터를 억제하고 레티클 리피터를 유지하기 위해 메인 UI에 의해 추가 리피터 분석이 수행될 수도 있다. 비록 메인 UI가 레티클 리피터의 식별을 수행하기 위한 가장 유리한 컴포넌트로서 선택될 수도 있지만, 컴퓨터 서브시스템(들)의 다른 컴포넌트가 이 단계를 위해 구성 및 사용될 수 있다. 예를 들면, 컴퓨터 서브시스템(들)이 가상 검사기(virtual inspector; VI)를 포함하면, VI는 리피터 결함을 식별하도록 사용될 수도 있다. 또한, IMC 및 인터페이스 컴포넌트와 같은 다른 컴포넌트가 그러한 식별을 수행하도록 구성될 수 있다.
본원에서 설명되는 애플리케이션의 목적을 위해, 유저는 레티클 리피터만을 유지하기를 원한다. 레티클 및 다이 리피터 둘 모두인 리피터는 최종 보고서에서 제거되어야 한다. 특히, 본원에서 설명되는 실시형태는, 유저가 레티클(포토마스크)과의 문제에 기인하여 생성되는 리피터 결함을 찾고 있는 애플리케이션을 위해 생성되었다. 동일한 레티클 상의 상이한 다이 내의 동일한 위치에 존재하는 결함은, 아마도, 레티클 그 자체가 아니라 웨이퍼 프로세싱에 의해 야기된다.
다시 말하면, 웨이퍼 상에서 단일의 레티클의 다수의 다이 내의 실질적으로 동일한 위치에서 나타나는 결함은, 손상된 패턴화된 피쳐, 입자 또는 이물질, 및 등등과 같은 실제 레티클 결함에 기인하지 않을 가능성이 있다. 대신, 결함이 웨이퍼 상에서 하나의 레티클의 다수의 다이 내에서 반복되면, 다이 내에서 반복하는 웨이퍼 상의 결함은, 레티클, 웨이퍼, 및 프로세스 사이의 상호 작용 문제에 기인할 가능성이 있다(예를 들면, 설계/프로세스 상호 작용 문제에 기인하여 실패하고 있는 한계 설계). 그러한 다이 리피터 결함이 몇몇 사용 사례에서는 흥미로울 수도 있지만, 본원에서 설명되는 실시형태는, 인쇄된 레티클 인스턴스 단위로(from printed reticle instance to printed reticle instance) 반복되며 따라서 레티클 결함에 의해 야기되는 것으로 가정될 수 있는 결함을 검출하기 위해 특별히 생성되었다.
하나의 실시형태에서, 하나 이상의 컴퓨터 서브시스템은, 웨이퍼 상의 레티클의 두 개 이상의 인쇄된 인스턴스에서 리피터 결함 중 어떤 것이 반복하는지를 결정하는 것 및 웨이퍼 상의 레티클의 두 개 이상의 인쇄된 인스턴스에서 반복되는 리피터 결함을 레티클 상의 결함으로서 식별하는 것에 의해, 레티클 상의 결함을 식별하도록 구성된다. 예를 들면, 본원에서 추가로 설명되는 바와 같이, 본원에서 설명되는 시스템 및 방법이 주목하는 결함은, 레티클과 관련하여 반복하며, 따라서, 웨이퍼의 인쇄에서 수반되는 어떤 다른 엘리먼트가 아닌, 레티클 그 자체에 상당히 기인할 수 있는 리피터이다. 따라서, 웨이퍼 상에서 검출되는 하나보다 더 많은 결함이 동일한 레티클 내 좌표(웨이퍼, 검사 시스템, 등등이 아닌 레티클에 대한 좌표)에서 나타나면, 결함은, 결함의 레티클 내 좌표에 있는 레티클 상의 결함에 의해 웨이퍼 상에서 야기될 수도 있는 레티클 리피터인 것으로 결정될 수 있다.
몇몇 경우에, 결함은 IMC에 의해 레티클 좌표로 보고되지 않을 것이다. 다시 말하면, IMC가 결함을 검출하는 경우, 결함의 위치의 좌표는 IMC에 의해 레티클과 관련하여 결정되지 않을 수도 있다. 이 방식에서, IMC에 의해 결정되는 결함의 좌표는 IMC에 의해 레티클 좌표로 변환될 수도 있거나 또는 레티클 리피터 결함을 식별하는 컴포넌트(예를 들면, 메인 UI)에 의해 그럴 가능성이 더 높을 수도 있다. 좌표는, 다수의 상이한 방식으로 변환될 수도 있는데, 그 중 일부는 본원에서 상세히 설명된다. 예를 들면, 하나의 상대적으로 직접적인 방식은, 결함에 대해 보고되는 좌표를, 웨이퍼 및 레티클에 대한 설계와 같은 웨이퍼 및 레티클에 공통인 기준으로 변환하는 것이다. 하나의 그러한 예에서, 검사 서브시스템에 의해 결함에 대해 생성되는 이미지는, 웨이퍼에 대한 설계에 정렬될 수 있다. 일단 결함의 설계 좌표가 결정되면, 그들 좌표는 설계와 레티클 사이의 공지된 관계에 기초하여 레티클 좌표로 변환될 수 있다. 그 다음, 결함의 각각에 대해 결정되는 레티클 좌표는 서로 비교될 수 있고, 실질적으로 동일한 레티클 좌표를 갖는 결함은 레티클 리피터로서 식별될 수 있다.
실질적으로 동일한 레티클 좌표는, 레티클 리피터의 위치로서 결정되기 위해서는 두 개의 레티클 좌표가 얼마나 가까워야 하는지를 정의하는 어떤 리피터 거리 임계치를 사용하는 것에 의해 식별될 수도 있다. 예를 들면, 웨이퍼 상에서의 설계의 인쇄, 검사 서브시스템의 위치 결정 엘리먼트, 등등에서의 사소한 에러는, 두 개의 동일한 다이 내 위치가 그들에 대해 결정되는 약간 또는 다소 상이한 다이 좌표를 가지게 할 수도 있다. 이 방식에서, 어떤 레티클 좌표가 동일한 것으로 간주될 수 있는지를 결정하기 위한 허용 오차를 사용하는 것이 유용할 수도 있다. 그 허용 오차의 특정한 값은, 그러한 허용 오차를 결정하기 위해 일반적으로 사용되는 방식 중 임의의 것에서 그리고, 적어도, 상기에서 설명되는 정보에 기초하여 결정될 수 있다.
몇몇 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는 검출된 결함 모두에 대한 정보를 저장하도록 구성된다. 이 방식에서, 현재 사용되는 시스템 및 방법과는 달리, 본원에서 설명되는 실시형태는 웨이퍼 검사의 종료까지 IMC 메모리에 모든 결함을 저장하도록 구성될 수도 있다. 검출된 결함 모두에 대한 정보는, 검출된 결함에 대해 IMC에 의해 생성되는 정보 중 임의의 것을 포함할 수도 있다. 검출된 결함 모두에 대한 정보는 임의의 적절한 방식으로 저장될 수도 있다. 또한, 본원에서 추가로 설명되는 바와 같이, 실시형태는 검출된 결함에 대한 정보 모두를, 예를 들면, IMC에 포함되는 분할 DB를 사용하여, 여러 가지 상이한 방식으로 저장하도록 구성될 수도 있다.
IMC는, 검출된 결함 모두에 대한 정보가 RDD에 대해 이용 가능하도록, 검출된 결함 모두에 대한 정보를 저장하는 것이 바람직하다. 일단 IMC에 의해 RDD가 수행되면, 리피터가 아닌 것으로 결정된 결함에 대해 저장된 정보는, 그 정보를 컴퓨터 서브시스템(들)의 하나 이상의 다른 컴포넌트로 전송하기 이전에, 저장된 정보로부터 제거될 수도 있다.
또 다른 실시형태에서, RDD를 수행하는 것은 웨이퍼에 대한 단일의 스와스(swath)에 대해서만 수행된다. 이 방식에서, 본원에서 설명되는 실시형태는 작업 레벨(job-level) RDD에 대해 구성될 수도 있다. 이 실시형태에서, RDD는 검출 코드 그 자체에서 단일의 스와스 레벨에 대해 수행될 수도 있다. 그러한 RDD는, 극도로 높은 밀도의 예비 결함 후보를 허용하지만, 그러나 웨이퍼 상의 다이 행(die row)에서 반복되지 않는 결함은 누락될 것이다.
작업 레벨 RDD에서, 프레임 대 프레임 정렬은 하나의 작업 내에서 실질적으로 정밀하고 정확하며, 따라서, (예를 들면, 픽셀보다 더 작은) 실질적으로 엄격한 허용 오차를 가지고 IMC 상에서의 사후 프로세싱(post-processing; PP)으로서 리피터 검출을 행하는 것이 가능하다. 프레임 대 프레임 정렬에서 최대 이익을 얻기 위해, 작업 사이즈는 현재의 최대 12 프레임으로부터 전체 다이 행까지 증가될 수도 있다(즉, 웨이퍼 상의 단일의 행 내에 있는 모든 다이 및/또는 다수의 스와스). 그러나, 피쳐 이미지(중앙값(median)) 계산을 위해 모든 다이를 사용할 필요는 없다. 대신, 그러한 계산을 위해서는, 12 프레임이 충분할 것이다. 작업 밸런싱에 기인하는 임의의 스루풋 감소는 상당하지 않아야 한다. IMC는 다이 리피터만을 반환할 것이고 다이 리피터를 억제하고 레티클 리피터를 유지하기 위해 메인 UI에 의해 추가적인 리피터 분석이 수행될 수도 있다.
이 솔루션의 이점은 낮은 구현 노력(IMC 코드만), 추가적인 HW 요건 없음 및 상대적으로 높은 스루풋이다. 따라서, 이 실시형태는 상대적으로 저렴하고 신속하게 구현될 수도 있다. 이 솔루션의 한 가지 잠재적인 단점은, 실질적으로 소프트한 리피터를 검출할 수 없을 수도 있다는 것이다(예를 들면, 리피터가 너무 소프트하여 그들이 다이 행에서 반복하지 않으면, 이 솔루션은 그들 모두를 놓칠 것이다). 본원에서 설명되는 다른 실시형태는, 상대적으로 작고 약한 리피터 결함의 검출에 더 적합할 수도 있다.
다른 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는 가상 검사기로서 구성되고, 하나 이상의 컴퓨터 서브시스템은, 이미지가 검사 서브시스템으로부터 획득된 이후 그리고 RDD가 수행되기 이전에, 가상 검사기를 검사 서브시스템으로부터 분리하도록 구성된다. 이 방식에서, 본원에서 설명되는 실시형태는 패치 기반 가상 검사기(virtual inspector; VI) 접근법을 위해 구성될 수도 있다. 이들 실시형태에서, 모든 결함 데이터 및 결함 패치가 VI 상에 저장될 수도 있고, VI 상에서 실행되는 새로운 애플리케이션만이 RDD를 위해 사용된다(검사 툴은 다음 검사를 자유롭게 실행할 수 있음). 검출된 리피터 결함은 VI 상에서 새로운 로트 결과로서 보고될 수도 있다.
상기에서 설명되는 바와 같이, 패치 기반의 VI 접근법은 모든 결함 후보를 패치 기반의 VI 상에 저장하는 것을 수반한다. 계획된 VI 용량은 상대적으로 높을 수도 있다(예를 들면, 프레임당 150 개의 결함). 또한 용량은, 데이터 전송 레이트가 아닌, 디스크 공간에 의해 제한된다(적어도 현재 사용되는 VI 구성에서는, 데이터는 실시간으로 기록되고 있다). 또한, 임의의 상당한 양의 시간 동안 결함 후보를 유지할 필요가 없다(예를 들면, 하나의 검사로부터의 후보를 기록하고, 그들을 프로세싱하고, 그 데이터를 제거함). 따라서, 아마도, 더욱더 핫한(hotter) 검사를 실행하는 것이 가능해야 한다. 상대적으로 높은 결함 밀도에서, 전체 웨이퍼 이미지를 VI 상에 저장하는 것, 및, 본원에서 추가로 설명되는 바와 같이, 평행한 DB에 결함 좌표를 유지하는 것이 바람직할 수도 있다. RDD는 결함 좌표만을 필요로 하며, 결함 패치는 나중에 리피터에 대해서만 추출될 수 있다.
구현의 면에서, 상기 설명된 실시형태는 상당히 간단하다: 핫 검사를 실행함, 모든 결함 후보를 VI 상에 저장함, 검사 툴이 다음 검사에 대해 이용 가능하도록 검사 툴을 VI에서 분리함, VI 상에서 RDD에 대한 새로운 검사 타입을 개시함, 결함 좌표를 사용하여 리피터를 찾음(그들은 패치 레코드의 일부로 저장됨), 및 결함 위치, 속성, 및 패치(미리 계산되고 VI 상에 미리 저장되는 모든 것)를 메인 UI에 반환함. 상기 설명된 실시형태의 다른 이점은, 툴 스루풋이 상대적으로 높고 개발 노력이 실질적으로 합리적이다는 것이다. 몇몇 경우에, 시스템이 아직 VI를 포함하지 않는 경우, 실시형태는 소유권 비용(cost of ownership; CoO)을 증가시킬 수 있다.
추가적인 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는, 웨이퍼 상의 다수의 다이 행에서의 동일한 단일의 스와스에 대해 검사 서브시스템에 의해 생성되는 이미지에 결함 검출 알고리즘을 적용하는 것에 의해 단일의 스와스-다수의 다이 행 결함 검출(single swath-multiple die row defect detection)을 수행하도록 그리고 단일의 스와스-다수의 다이 행 결함 검출의 결과를 인터페이스 컴포넌트에 전송하도록 구성되고, 인터페이스 컴포넌트 또는 메인 유저 인터페이스 컴포넌트는 단일의 스와스-다수의 다이 행 결함 검출의 결과에 대해 RDD를 수행한다. 이 방식에서, 본원에서 설명되는 실시형태는 특별한 스와스 레이아웃 접근법을 위해 구성될 수도 있다. 예를 들면, 전체 웨이퍼를 순차적으로 스와싱하는 대신, 검사 서브시스템은 모든 다이 행에서 하나의 스와스를 스캔할 수 있고, 그 다음, 검사 서브시스템은 다음 스와스를 스캔하기 위해 제1 다이 행으로 복귀할 수 있고, 계속 그런 식일 수 있다.
이 방식에서, 이 실시형태는, 스와싱 시퀀스를 변경하는 것에 의해 컴퓨터 서브시스템(들)에 의해 저장되는 결함의 수를 감소시킬 수도 있다: 모든 다이 행을 순차적으로 스와싱하는 대신, 모든 다이 행에 대한 모든 제1 스와스가 스캐닝될 수도 있고 모든 결함이 보고될 수도 있고, 그 다음, 모든 다이 행에 대한 모든 제2 스와스 및 등등이 계속될 수도 있다. 예를 들면, 정의에 의한 리피터 결함은, 리피터 결함의 타입에 따라 모든 다이 또는 레티클 내의 동일한 위치에서 발생한다. 따라서, RDD를 수행하기 위해, 전체 웨이퍼로부터의 결함을 필요로 하지 않는다. 대신, 그것의 몇몇 부분으로부터의 모든 결함(예를 들면, 모든 다이 행의 모든 제1 스와스, 모든 다이 행의 모든 제2 스와스, 및 등등)을 갖는 것이 충분하다. 컴퓨터 서브시스템(들)은 이들 결함에 대해 RDD를 수행할 수 있고, 그들을 보고할 수 있고, 그 다음 다른 영역으로 진행할 수 있다. 또한, 다수의 스와스에 대해 생성되는 이미지가 동시에 병렬로 프로세싱될 수 있는 경우 다음 다이 행으로 진행하기 이전에 모든 다이 행에서의 다수의 스와스가 스캔될 수도 있다.
모든 결함 후보는 인터페이스 컴포넌트의 임시 버퍼에 저장될 수도 있거나 메인 UL에 보고될 수도 있다. 모든 반복 이후에, 인터페이스 컴포넌트 또는 메인 UI는 RDD를 수행할 수도 있고 리피터 결함만을 보고 및/또는 유지할 수도 있다. 예를 들면, 인터페이스 컴포넌트는 정상적인 양식으로 스캐닝의 결과를 수신할 수도 있고, 작업 간 하위 스와스간 병합(inter-job, inter-sub-swath merging)을 수행할 수도 있고 결함을 메인 UI에 전송하기 이전에 제로 픽셀 좌표 허용 오차를 가지고(즉, 픽셀 대 설계 정렬이 작동 중임) RDD를 수행할 수도 있다. 예를 들면, IMC는, 작업으로 칭해지는 데이터의 소정의 청크(이미지)를 사용하여 결함 검출을 수행할 수도 있다. 갭이 없는 결함 검출을 수행하기 위해서는, 이웃하는 작업에 의해 보여지는 이미지 사이에 약간의 오버랩을 필요로 한다. 결과적으로, 몇몇 결함은 IMC로부터 두 번 보고될 수도 있다. 그러한 보고된 결함은 상위 레벨 SW(예를 들면, 인터페이스 컴포넌트)에 의해 단일의 결함으로 병합되어야 한다. "병합 거리"로 칭해지는 레시피 파라미터가 또한 존재하는데, 병합 거리 미만 떨어져 있는 두 개의 가시적으로 상이한 결함은 단일 결함으로 보고되어야 한다. 이 병합 프로세스는 IMC에서 수행될 수도 있고(작업 내 병합(intra-job merging)) 및 인터페이스 컴포넌트에 의해 작업과 하위 스와스 사이에서 수행될 수도 있다(작업/하위 스와스간 병합).
대안적으로, 결함을 디스크에 저장하기 이전에 RDD를 실행할 메인 UI에 모든 결함이 보고될 수도 있다. 인터페이스 기반의 솔루션은, 그것이 네트워크 부하를 감소시키기 때문에 바람직하다. 물론, 인터페이스 컴포넌트는 이들 결함 모두를 유지하기 위해 추가적인 메모리를 필요로 할 것이지만, 그러나 이 요건은 너무 높지는 않다. 통상적인 웨이퍼의 경우, 아마도 20 개의 다이 행이 있을 수도 있다. 따라서, 20 개의 스와스에서 검출되는 결함이 유지되어야 한다.
상기에서 설명되는 실시형태의 이점은, 적절한 메모리 요건 및 상대적으로 로컬화된(localized) SW 변경을 포함한다. 그러한 구성을 설정하는 것은, 결함 검출 코드에서의 최소의 변화 및 인터페이스 컴포넌트에서의 무변화를 수반할 수도 있다. 그러나, 이 구성을 설정하는 것은, 인터페이스 컴포넌트 관여, 스와싱 제어에서의 상당한 변화, 결함 사후 프로세싱, 및 메인 UI 스와스 진척도 업데이트 및 결함 핸들링을 수반한다. 따라서, 이 구성은 상당한 개발 노력 비용이 들 수도 있고 새로운 HW 비용은 들지 않을 수도 있다. 이 시스템 구성은, Y에서의 추가적인 스테이지 이동에 기인하여 (본원에 설명되는 다른 실시형태와 비교하여) 감소된 스루풋을 가질 수도 있고, 결함 후보 밀도는 이용 가능한 메모리의 한계에 기인하여 여전히 불충분할 수도 있다. 이전의 스와스 이력의 부재에 기인하여 런 타임 정렬(run time alignment; RTA)이 심각한 문제를 가질 수도 있을 가능성이 또한 매우 높다. 예를 들면, 파괴된(broken)(이용 불가능한) RTA 이력에 기인하여 잠재적인 RTA 문제가 있을 수도 있다.
특수한 스와스 레이아웃 접근법이, 아마도, 실제 솔루션에 대해 불충분하지만, 그것은 테스트를 위한 빠르고 간단한 프록시로서 특히 유용할 수도 있다. 예를 들면, 그것은 극도로 작은 샘플링 계획을 가지고 핫 검사를 실행하기 위해 그리고 메인 UI에서 약 2 백만 개의 결함 후보를 생성하기 위해 사용될 수 있다. 그 후, RDD가 메인 UI에서 수행되어 극도로 작은 리피터 허용 오차를 사용할 수 있고(검사는 픽셀 대 설계 정렬을 사용하고 있어야 함) 그리고 이러한 방식에서 충분한 감도가 달성될 수 있는지의 여부를 결정할 수 있다.
이 방식에서, 본원에서 설명되는 시스템 및 방법의 몇몇 구성은 하나의 애플리케이션 또는 다른 애플리케이션에 대해 더욱 유용할 수도 있다. 그와 같이, 몇몇 경우에서, 본원에서 설명되는 시스템 및 방법이 본원에서 설명되는 다수의 구성에 대응하고 따라서 애플리케이션에 따라 RDD를 수행하기 위한 다수의 옵션을 제공하는 것이 유리할 수도 있다.
한 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 공유된 비일시적 컴퓨터 판독 가능 저장 매체를 포함하고, RDD 알고리즘은, 웨이퍼의 전체 검사 영역 상에서 결함을 검출하도록, 검출된 결함 모두를 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 저장하도록, 그리고 웨이퍼의 전체 검사 영역에 대한 저장된 검출된 결함 모두를 사용하여 리피터 결함인 결함을 식별하도록 구성된다. 이 방식에서, 본원에서 설명되는 실시형태는 IMC 기반의 RDD를 위해 구성될 수도 있다. 예를 들면, IMC의 공유 메모리를 사용하여 (전체 웨이퍼에 대한) 모든 결함 후보를 유지하기 위해 사용될 수 있다. 모든 스와스가 종료된 이후, 특수한 작업을 실행되어 RDD를 수행하고 리피터를 상위 레벨 SW에 보고할 수도 있다. 그러한 구성은, IMC에서의 설치된 물리적 메모리의 상당한 증가를 필요로 할 수도 있다.
따라서, 상기에서 설명되는 실시형태에서, RDD의 가장 큰 부분은 IMC에서 행해진다. 이 경우, 비록 인터페이스 컴포넌트 측에서의 몇몇 작업이 수반될 수도 있지만, 대부분의 작업은 IMC에 의해 수행될 것이다. 메인 UI는 거의 수반되지 않는다. 특히, 주 아이디어는, 웨이퍼 검사의 끝까지 IMC 메모리에 모든 결함 후보를 축적하는 것이다. 정상적인 결함 검출이 실행될 수도 있다. "결함 없음" 결과 버퍼가 인터페이스 컴포넌트에 반환될 수도 있고, 모든 결함 후보가 공유 메모리에 저장될 수도 있다.
이 실시형태의 한 가지 이점은, 프레임, 스와스, 다이 행, 다이, 웨이퍼, 등등마다의 임의의 소망되는 수의 결함 후보를 수용하도록 메모리가 쉽게 확장 가능하다는 것이다. 결함 정보 및 다른 결과를 저장하기 위해, 이 실시형태에서, 솔리드 스테이트 드라이브(solid state drive; SSD) 스토리지(플래시 메모리), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 등등과 같은 상이한 타입의 메모리가 또한 사용될 수 있다. 또한, 소망되는 경우, 결함 패치 이미지를 드랍하는 것 및 결함에 대해 유지되는 데이터 구조의 사이즈를 감소시키는 것에 의해, 결함 후보 밀도를 수 십배만큼 증가시키는 것이 가능하다.
상기에서 설명되는 실시형태에서, 결함 검출이 수행되고 모든 결함 후보가 공유 메모리에 저장된 이후에, 인터페이스 컴포넌트는 새로운 프로세스를 시작할 수도 있거나 또는 (바람직하게) (새로운 작업 타입의) 새로운 작업을 이미지 프로세싱 컴포넌트(들)에 제출할 수도 있다. IMC 코드는, 이용 가능한 결함 후보를 사용하여, 작업 간 결함 병합 및 RDD를 수행할 수도 있다. 공유 메모리 내의 데이터가 스와스-하위 스와스 번호에 의해 편제될 수 있기 때문에, 부하 밸런싱은 매우 쉬운 방식으로 수행할 수 있다: 단지 프로세싱할 스와스-하위 스와스 번호를 CPU 코어에 할당함(CPU에 다수의 코어를 포함하는 경우). 공유 메모리 관리 및 부하 밸런싱(예를 들면, 스와스 대 코어 할당)은, 인터페이스 컴포넌트뿐만 아니라 새로운 작업 타입 큐잉 및 제출(new job type queuing and submission)에 의해 수행될 수도 있다. RDD가 수행된 이후 IMC는 정상적인 결과 버퍼에 리피터 결함을 반환할 수도 있고, 그에 의해, 인터페이스 컴포넌트 측에서의 변화를 최소화할 수도 있다.
RDD는 (모든 스테이지 보정이 적용된) 다이 좌표에 기초할 수도 있다는 것을 유의한다. 이들 좌표는 현재 IMC에서는 이용 가능하지 않다. 그들은 현존하는 메커니즘을 사용하여 전송될 수 있다. 지금까지, 그들은 IMC 프로세스에 대해 불필요한 것으로 간주되었다. 이 정보를 알고리즘에서 이용 가능하게 만드는 것은, 아마도, IMC가, 스와스 좌표가 아닌, 웨이퍼에서의 결함 위치, 즉 일반적으로 툴 아키텍쳐에 대한 상당한 변화를 반환하기 시작할 것이다는 것을 의미한다. 결과적으로, 좌표 보고 시스템은 혼동을 피하기 위해 모든 검사 타입에 대해 변경될 수도 있다. 스와스 간 병합(inter-swath merging)은 현재 수행되는 바와 같이 인터페이스 컴포넌트에 의해 수행될 수도 있지만, 그러나 웨이퍼 좌표 시스템으로의 좌표 변환이 IMC에서 수행될 수도 있다.
따라서, 일반적으로, 상기에서 설명되는 IMC 기반의 실시형태는 상당한 개발 노력 비용, (메모리에 대한) 적당한 HW 비용, 및 낮은 스루풋 효과를 가질 수도 있다. 상기에서 설명되는 IMC 기반의 실시형태의 이점은 실질적으로 높은 스루풋이다.
몇몇 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는, 웨이퍼 상의 다수의 다이 행에서의 동일한 단일의 스와스에 대해 검사 서브시스템에 의해 생성되는 이미지에 결함 검출 알고리즘을 적용하는 것에 의해 단일의 스와스-다수의 다이 행 결함 검출을 수행하도록 그리고 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 하나 이상의 이미지 프로세싱 컴포넌트 내의 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 단일의 스와스-다수의 다이 행 결함 검출의 결과를 저장하도록 구성되고, RDD 알고리즘은, 다수의 다이 행에서의 동일한 단일의 스와스의 전체 검사 영역에서의 결함을 검출하도록, 검출된 결함 모두를 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 저장하도록, 그리고 다수의 다이 행에서의 동일한 단일의 스와스의 전체 검사 영역에 대한 저장된 검출된 결함 모두를 사용하여 리피터 결함인 결함을 식별하도록 구성된다. 이 방식에서, 실시형태는 본원에 추가로 설명된 두 가지 아이디어를 결합할 수도 있다: 즉 IMC 기반의 RDD와 결합되는 특수한 스와스 레이아웃.
이 접근법은, 검사의 끝까지 모든 후보를 공유 메모리에 유지할 필요가 없기 때문에, 임의의 IMC 메모리 문제를 해결한다. RTA 문제는 여전히 발생할 수도 있고 몇몇 경우에 심각할 수도 있다. 집합적으로 프로세싱될 수 있는 스와스의 수는, 유지될 수 있는 결함의 수를 손상시키지 않으면서, 스와스의 길이에 따라 변할 수도 있다(예를 들면, 더 짧은 다이 행 따라서 더 짧은 스와스의 경우, 더 긴 다이 행과 비교하여, 더 많은 스와스가 함께 프로세싱될 수도 있다). 다이 행의 길이에 따라 검사 파라미터를 변경하는 것 및 따라서 스와스를 변경하는 것은, 스루풋에 대해 스와싱을 최적화할 수 있고 검사 동안 수행되는 Y 방향 스테이지 모션의 수를 감소시킬 수도 있다. 또한, 본원에서 설명되는 다른 실시형태와 같이, 이 실시형태에서, 메모리의 양 및 타입은, 프로세싱을 위해 유지될 결함의 수에 따라 변할 수도 있다.
결함 패치를 저장하지 않는 것에 의해 더 많은 결함 및 스와스가 또한 유지될 수 있고 집합적으로 프로세싱될 수 있는데, 이것은 파괴된 RTA 이력 문제를 부분적으로 해결할 수도 있다. 예를 들면, 다이 대 다이 비교(결함 검출)를 수행하기 위해, 상이한 다이로부터 이미지가 등록(정렬)될 필요가 있다. 이 프로세스는 RTA로 칭해지며 전용 HW/SW에 의해 수행된다. 이것은, 이전의 스와스에 대한 정렬의 이력을 활용하는 꽤 복잡한 프로세스이다. 따라서, 제안된 스캐닝 스킴은, RTA가 이력을 사용하는 것을 불가능하게 만들 수도 있고 그것은 정렬 품질 저하로 나타날 수도 있다.
IMC 기반의 RDD와 결합되는 특수한 스와스 레이아웃은 상기에서 설명되는 두 가지 접근법의 조합이며, 극도의 결함 후보 밀도 및 어쩌면 본원에서 설명되는 실시형태의 최고 감도를 허용한다. 아이디어는, 모든 다이 행에 대한 모든 제1 스와스(또는 충분한 병렬 컴퓨팅이 이용 가능한 경우 모든 n 개의 스와스)에 대해 결함 후보 검출을 수행하여, 모든 결함 후보를 IMC 공유 메모리에 유지하고, 이들 결함 후보에 대해 RDD를 수행하고, 웨이퍼의 나머지에 대한 데이터를 수집하지 않으면서 결과를 인터페이스 컴포넌트 및 메인 UI에 반환하는 것이다. 스루풋 감소는 제1 접근법과 유사할 것이지만, 그러나 웨이퍼의 이 작은 부분에 대해 전체 공유 메모리를 사용할 수 있을 것이고, 이것은 결함 후보 밀도를 비례하여 증가시키는 것을 허용한다.
다른 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 공유된 비일시적 컴퓨터 판독 가능 저장 매체를 포함하고, 하나 이상의 컴퓨터 서브시스템은 가상 검사기를 포함하고, 하나 이상의 이미지 프로세싱 컴포넌트는, RDD 알고리즘에 의해 생성되는 결과 중 제1 부분만을 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 그리고 RDD에 의해 생성되는 결과 중 제2 부분만을 가상 검사기에 저장하도록 구성되고, 제1 부분은 웨이퍼 상에서 검출되는 결함에 대한 결함 좌표만을 포함하고, 제2 부분은 웨이퍼 상의 검출된 결함에 대한 결함 속성 및 패치 이미지만을 포함하고, RDD 알고리즘은 결과 중 제1 부분만을 사용하여 리피터 결함을 식별하도록 구성되고, 하나 이상의 이미지 프로세싱 컴포넌트는, 리피터 결함에 대한 결함 속성 및 패치 이미지를 가상 검사기로부터 검색하도록 그리고 리피터 결함을 식별하는 것에 의해 생성되는 정보를, 검색된 결함 속성 및 패치 이미지와 결합하는 것에 의해 검사 결과를 생성하도록 구성된다. 이 방식에서, 본원에서 설명되는 실시형태는 IMC 기반의 RDD와 결합되는 영구적 스토리지(패치 기반의 VI 또는 로컬의(IMC 상의) 스토리지를 포함함)를 위해 구성될 수도 있다.
이 접근법은 "분할된" 또는 "분산된" DB를 활용할 수도 있다. 예를 들면, 리피터 검출은 다이(레티클) 결함 좌표에만 기초하며, 따라서, IMC의 공유 메모리에 결함 좌표를 유지할 수 있고 결함 속성 및 패치 이미지를 몇몇 임시 스토리지에 저장할 수 있다(예컨대 VI 또는 로컬 하드 드라이브(hard drive; HDD)/SDD, 이 문맥에서의 "로컬"은, 비록 VI 및 로컬 스토리지 둘 모두가 HDD 또는 SDD일 수도 있지만, 이들 디바이스가 IMC에 설치되고 IMC 상에서 실행되는 SW에 이용 가능하다는 것을 의미함). 예를 들면, 도 3에서 도시되는 바와 같이, 컴퓨터 서브시스템(들)(300)은 가상 검사기(316)를 옵션 사항으로(optionally) 포함할 수도 있는데, 가상 검사기(316)는 본원에서 추가로 설명되는 바와 같이 구성될 수도 있다.
다시 말하면, IMC는 결함 검출을 실행할 수도 있다. 결함 좌표는 IMC DB에 저장될 수도 있다. 결함 이미지 및 속성은 영구적 스토리지(SSD/HDD/RAID DB, 즉, 몇몇 타입의 영구적 스토리지: 하드 드라이브, 솔리드 스테이트, 등등 상에 상주하는 DB)에 저장된다. 두 개의 DB는 동일한 키를 사용할 수도 있다. 작고 빠른 DB는 좌표만을 유지하고, 한편 크고 반영구적인 DB는 결함 이미지 및 피쳐/속성을 유지한다. 이 방식에서, RDD를 수행하기 위해, (결함에 대한) 몇몇 데이터는 추가적인 프로세싱을 위해 저장될 필요가 있다. 이 데이터 중 몇몇 부분은 RDD 그 자체에 의해 사용되고, (RDD를 충분히 빠르게 수행하도록 빠른 액세스를 위해) 쉽게 액세스 가능해야 한다. 결함 정보의 다른 부분은 RDD에 의해 사용되지 않지만 그러나 유저 및/또는 메인 UI에 보고될 것이다. 이 정보는 상당히 더 느린 액세스 속도를 갖는 상이한 위치에 저장될 수 있다(그리고 그것은 사이즈가 훨씬 더 클 수 있는데, 그 이유는 그것이 더 저렴한 스토리지 디바이스 상에 저장될 수 있기 때문이다).
웨이퍼 스캐닝이 수행된 이후, RDD에 대해 특수한 작업이 실행될 수도 있고 스토리지로부터 관련 결함 후보 데이터가 검색될 수도 있다. 예를 들면, 결함 좌표를 포함하는 DRAM 기반 DB는 리피터 분석을 위해 사용될 수도 있다. 리피터 분석은 리피터 좌표를 생성할 수도 있는데, 리피터 좌표는 제2 DB(영구적 스토리지 저장됨)로부터 이미지 및 피쳐를 추출하기 위해 사용될 수 있다. 결함 좌표는 추출된 이미지 및 피쳐와 결합되어 리피터만을 포함하는 로트 결과를 생성할 수 있다. 결함 후보의 나머지는 이 시점에서 폐기될 수 있다. 추정은, 추가적인 물리적 메모리 없이, 프레임당 최대 1900 개의 결함 후보가 유지될 수 있다는 것을 나타낸다. 임시 데이터를 유지하기 위해서는, 노드당 최대 3TB의 스토리지가 필요로 될 수도 있다. 이 방식에서, 본원에서 설명되는 실시형태는, RDD에 대한 결함 데이터 및 결함 속성 계산/ 보고를 분할하도록 구성될 수도 있다.
상기에서 설명되는 접근법은, RDD가 결함 좌표만 필요로 한다는 사실에 기초한다 - 패치도 속성도 필요하지 않음 - . 그와 같이, 결함 구조가 모든 결함 후보에 대해서 VI 또는 로컬 IMC 스토리지 상에 저장될 수 있고 결함 좌표는 공유 메모리에 저장될 수 있다. 따라서, RDD에 대해 사용되는 결함 구조의 사이즈는 경계 박스 좌표(예를 들면, 4 개의 정수) 및 다이 행, 다이 행에서의 스와스, 프레임 번호(또는 유사한 어떤 것)로 축소될 수도 있고 전체 결함은 공유 메모리 버퍼에서 8 개의 정수만을 취한다. 그와 같이, 현재 사용된 메모리 용량을 증가시키지 않으면서 더 많은 결함이 저장될 수 있다. RDD가 수행된 이후, 대응하는 패치 이미지와 결함 속성은 스토리지(실질적으로 적은 양의 데이터)로부터 업로드되고 인터페이스 컴포넌트 및 메인 UI로 표준 방식으로 전송될 수 있다(결과 버퍼, 즉, 결과를 IMC로부터 인터페이스 컴포넌트로 전송하기 위해 사용되는 메모리에 대한 약간의 변경이 여전히 이루어질 수도 있음).
따라서, 상기 설명된 실시형태에서, 동작의 제안된 시퀀스는 다음과 같을 수도 있다: 실질적으로 핫한 전체 웨이퍼 검사를 실행함; 결함을 영구적 스토리지에 그리고 결함 좌표를 공유 메모리에 저장함; 인터페이스 컴포넌트가 새로운 타입의 작업 - RDD 작업 - 을 발행하고, IMC가 모든 리피터 결함을 찾음; 스토리지로부터 대응하는 결함 레코드를 판독함; 및 결과를 인터페이스 컴포넌트 또는 메인 UI로 반환함). 스토리지는 검사 이후 즉시 비어질 수 있다. 소망되는 경우, 결함 후보를 스토리지에 저장하기 위한 이용 가능한 대역폭(기록 속도)은, 저장될 결함 후보의 수 및 소망되는 스루풋에 기초하여 (예를 들면, 스토리지 타입을 변경하는 것에 의해) 변경될 수 있다. I/O는, 아마도, 데이터 프로세싱과 병행하여 작동할 수 있다. 이들 실시형태의 이점은, 그들이 RDD에 대해 실질적으로 높은 감도를 제공해야 한다는 것 및 스루풋에 상대적으로 낮은 영향을 가져야 한다는 것을 포함한다.
영구적 스토리지 옵션을 더한 IMC는, 새로운 HW에 대한 실질적으로 적절한 요건을 사용하여, 실질적으로 약한 결함 및 소프트 리피터 결함의 RDD에 대한 가장 포괄적인 솔루션을 제공할 수도 있다. 아키텍쳐의 변경은, 모든 결함 좌표를 공유 메모리에 그리고 다른 애플리케이션, 예를 들면, IMC에서의 결함 분류, 결함 샘플링, 등등을 위해 재사용될 수도 있는 전체 결함 정보를 영구적 스토리지에 수집하는 것을 포함한다.
추가적인 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 공유된 비일시적 컴퓨터 판독 가능 저장 매체를 포함하고, 하나 이상의 이미지 프로세싱 컴포넌트는, RDD 알고리즘에 의해 생성되는 결과 중 제1 부분만을 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 저장하도록 구성되고, 제1 부분은 웨이퍼 상에서 검출되는 결함에 대한 결함 좌표만을 포함하고, RDD 알고리즘은 결과 중 제1 부분만을 사용하여 리피터 결함을 식별하도록 구성되고, 인터페이스 컴포넌트는 검사 서브시스템의 하나 이상의 HW 엘리먼트를 제어하도록, 그에 의해, 식별된 리피터 결함의 웨이퍼 상에서의 위치만을 스캔하도록 구성되고, 하나 이상의 이미지 프로세싱 컴포넌트는, 식별된 리피터 결함의 웨이퍼 상에서의 위치만의 스캔에서 생성되는 이미지를, 결과의 제1 부분과 결합하도록, 그에 의해, 인터페이스 컴포넌트로 전송되는 검사 결과를 생성하도록 구성된다.
이 방식에서, 본원에서 설명되는 실시형태는 2 패스 RDD(two pass RDD)를 위해 구성될 수도 있다. 이 접근법은 분할 DB의 절반을 활용한다. 결함 후보가 검출될 수도 있고 그들의 좌표만이 공유 메모리에 저장된다. 특히, 제1 패스에서, 어떠한 결함도 메인 UI로 반환되지 않는다. 대신, 결함 좌표 및 몇몇 여분의 정보가 공유 메모리에 저장된다. 공유 메모리는 모든 결함의 좌표를 포함한다. 이 데이터는 RDD를 위해 사용된다. 특히, 새로운 실행 파일을 사용되어 저장된 좌표를 사용하여 RDD를 수행할 수도 있다. 리피터 결함의 좌표는 공유 메모리에 저장된다. 따라서, 공유 메모리에는 그 단계에서 리피터 결함 좌표를 포함할 것이다.
결함 이미지를 획득하고 속성을 계산하기 위해, 웨이퍼는 리피터가 발견되었던 위치에서만 제2 시간 동안 스캔된다. 예를 들면, 제2 패스에서, 컴퓨터 서브시스템(들)의 하나 이상의 컴포넌트가 공유 메모리로부터 리피터 결함 좌표를 판독할 것이다. 그 다음, 하나 이상의 컴포넌트가 이들 위치를 "강제된 결함(forced defect)"으로 변환할 수도 있다. 그 다음, IMC는 결함 속성을 계산하고, 패치 이미지를 추출하고, 방해물 필터(nuisance filter)를 적용하고, 다이 리피터를 메인 UI로 반환할 수도 있다. 그 다음, 메인 UI는, 본원에서 추가로 설명되는 바와 같이 레티클 레벨 리피터 결함 분석(reticle-level repeater defect analysis)을 수행하여 리피터 ID를 할당할 수도 있다. 이 방식에서, 본원에서 설명되는 실시형태는, RDD에 대한 결함 데이터 및 결함 속성 계산/ 보고를 분할하도록 구성될 수도 있다.
상기에서 설명되는 실시형태는, HW 비용을 스루풋 감소와 절충하는 것에 의해, HW 비용을 최소화한다. 이 솔루션은 생산 가치가 있는 레시피 및 시스템의 개발에 가장 유리할 수도 있다. 또한, 2 패스 검사 구성은, 유저 사이트에 배치될 수 있는 (VI 구현을 통한) 감도의 실증(demonstration)/테스트에 대한 바람직한 솔루션일 수도 있다.
상기에서 설명되는 실시형태는 "분할 DB"(영구적 스토리지를 갖는 IMC) 접근법과 유사하지만, 그러나 후보 데이터를 저장하는 것은 스킵된다. 결함 검출 작업은 결함 좌표를 공유 메모리에 저장할 것이고 패치 추출 및 결함 속성 계산을 함께 스킵할 것이다. 웨이퍼 스와싱이 수행된 이후, 작업 관리자 SW는, 저장된 결함 후보 중에서 리피터 결함을 찾을 RDD 작업을 발행할 수도 있다. 리피터 결함 좌표는 인터페이스 컴포넌트(그리고 아마도 메인 UI)로 반환되고 "이산 위치 검사" 또는 "강제된 결함" 작업이 이들 위치에 대해 발행된다. IMC는 리피터 위치에 대한 결함 패치 이미지 및 결함 속성을 반환할 수도 있다. 시스템은 또한, RDD 작업 결과를 공유 메모리에 저장하는 것 및 제2 패스에 대한 정상적인 결함 검출 작업을 사용하는 것에 의해 단순화될 수 있다. 이 경우, IMC는 리피터 좌표를 갖는 대응하는 파일을 판독할 것이고 결함 검출 작업을 강제된 결함 작업으로 변환할 것이거나 또는 빈 결과 버퍼를 반환할 것이다.
또 다른 실시형태에서, RDD 알고리즘은, 웨이퍼 상에서의 레티클의 두 개 이상의 인쇄된 인스턴스 내의 동일한 위치에 나타나는 결함을 리피터 결함으로서 식별하도록 구성된다. 레티클의 두 개 이상의 인쇄된 인스턴스 내의 동일한 위치에서 나타나는 결함을 식별하는 것은, 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 예를 들면, RDD 알고리즘은, 결함의 레티클 좌표에 기초하여 본원에서 추가로 설명되는 바와 같이 웨이퍼 상에서의 레티클의 인쇄된 인스턴스 내의 동일한 위치에 나타나는 결함을 식별할 수도 있다. 웨이퍼 상에서의 레티클의 상이한 인쇄된 인스턴스 내의 동일한 레티클 내 좌표에서 웨이퍼 상에 두 개 이상의 결함이 동시에 검출되면, 결함은 레티클 리피터 결함인 것으로 결정될 수도 있다.
몇몇 실시형태에서, 레티클 상의 결함을 식별하는 것은, 하나 이상의 컴퓨터 서브시스템에 포함되는 메인 유저 인터페이스 컴포넌트에 의해 수행된다. 예를 들면, 본원에서 추가로 설명되는 바와 같이, 컴퓨터 서브시스템(들)의 메인 UI는 레티클 상의 결함을 식별하도록 구성될 수도 있다. 그러나, 시스템의 특정한 구성 및 의도된 용도에 따라, 컴퓨터 서브시스템(들)의 하나 이상의 다른 컴포넌트가 이러한 식별 단계를 수행하도록 구성될 수도 있다.
따라서, 상기에서 설명되는 실시형태는 RDD에 대해 현재 사용되는 방법 및 시스템에 비해 다수의 이점을 갖는다. 예를 들면, 상기에서 설명되는 새로운 접근법은 리피터 결함에 대한 증가된 감도를 허용한다. RDD에 대한 증가된 감도는 다수의 애플리케이션에 대해 중요하다. 하나의 예에서, 극 자외선(extreme ultraviolet; EUV) 리소그래피 프로세스를 반도체 제조에 도입하는 것은, 빈번한 마스크 검사를 필요로 하고(이들 마스크는, 마스크가 펠리클을 가지지 않으며 동작 동안 노광될 수도 있기 때문에, 결함에 더 취약하다) 및 동작을 마스크 검사기로부터 웨이퍼 검사기로 이동시킨다(EUV에 대한 화학 광선(actinic light)을 갖는 마스크 검사기는 없음). EUV에 대한 리피터 결함은 실질적으로 작고 및/또는 약할 것으로 예상되며, 웨이퍼 상의 여러 개의 다이 상에만 인쇄될 수도 있다. 그러한 결함을 찾기 위해, 웨이퍼 검사기는, 현재의 접근법으로는 행해질 수 없는 아주 많은 수의 후보 결함을 프로세싱해야 한다. 또한, EUV 리소그래피의 도입은 리피터 결함의 확고한 검출에 대한 필요성을 제기한다.
다른 예에서, 7nm 디자인 룰(design rule; DR)에 대한 인쇄 가능한 시스템적 결함은 실질적으로 작고(예를 들면, 약 3nm 결함), 따라서, 그러한 결함을 포착하기 위해서는, 검사가 실질적으로 핫하게 실행되어야 한다. 리피터 검출(좌표 매칭)은, 방해물(nuisance) 밀도를 관리 가능한 레벨로 감소시키기 위해 사용될 수 있는 실질적으로 강력한 필터이지만, 그러나 현재의 RDD 알고리즘이 메인 UI에서 실행되고 RDD를 실행하기 이전에, 모든 웨이퍼 결함이 수집되어야 한다는 것이 문제이다. 마스크 자격 부여에 필요한 핫 검사는, (RDD 이전에) IMC로부터 인터페이스 컴포넌트로 그리고 인터페이스 컴포넌트로부터 메인 UI로 결과를 전송할 때 툴 초킹(tool choking) 및 드랍된 결함을 야기하는 수 백만 개의 결함 후보로 나타나게 된다. RDD는, 비록 DOI(리피터)의 최종 수가 상당히 적을 수도 있지만, 결함의 최대 수 및 결함 밀도라는, 랜덤 결함 검출 검사와 동일한 제한에 종속된다. 많은 리피터 결함은 "소프트" 리피터이다는 것을 유의해야 한다 - 그들은 프로세스 변동에 기인하여 모든 레티클에 인쇄되지는 않는다. 이것은, 작업 중 RDD(in-job RDD)를 사용할 수 없고 전체 웨이퍼 결과를 분석할 수 있어야 한다는 것을 의미한다. 본원에서 설명되는 실시형태는 RDD에 대한 몇 가지 새로운 메커니즘을 제공하고, 그에 의해, 이들 제한을 극복한다.
본원에서 설명되는 실시형태는 또한, RDD를 수행하는 데 이용 가능한 실질적으로 높은 정확도(예를 들면, +/-0.5 픽셀보다 우수한 정확도)의 결함 위치에 기초하며 서브 픽셀 정확도 정렬을 가정한다. 리피터 좌표는, 실질적으로 핫 검사에서 랜덤 결함의 대부분을 억제하여 실질적으로 낮은 임계치의 사용을 허용할 실질적으로 강한 필터로서 사용될 수 있다는 것이 가정된다. 리피터는 이용 가능한 결함 검출 알고리즘 중 적어도 하나에 의해 검출 가능하다는 것이 추가로 가정된다. 물론, 결함이 노이즈 플로어에서 깊으면, 문제는 RDD로부터 정상 결함 검출 공간으로 옮겨간다. 본원에서 설명되는 실시형태는 멀티 다이 레티클 검사를 위해 또한 구성된다. 레티클이 단일의 다이 레티클인 경우, 표준 기준 다이(standard reference die; SRD) 검사를 사용되어야 하는데, 그 이유는, 이 경우 리피터 결함이 모든 다이에 인쇄될 것이고 전통적인 다이 대 다이 비교에 의해 발견될 수 없기 때문이다.
메인 UI 컴퓨터의 내부 네트워크 대역폭, 결과 저장 공간, 및 계산 능력을 증가시키는 것에 의해, 본원에서 설명되는 것과 유사한 결과가 달성될 수도 있을 것이다. 그러나, 그러한 차선책 솔루션은 본원에서 설명되는 이점 중 많은 것을 제공하지 않는다.
상기에서 그리고 본원에서 추가로 설명되는 바와 같이, 본 실시형태는, 결함 검출 감도를 향상시키는 실질적으로 고감도의 리피터 분석을 제공한다. 결함 검출을 위한 현재 사용되는 방법은, 결함이 테스트 또는 기준 이미지에 있는지를 알아내는 것이 중요하기 때문에, 이중 검출을 사용하여 결함을 검출한다. 기준 이미지는 결함이 있는 다이의 인접한 다이이다. 현재 리피터 분석 기술은 충분히 핫하게 실행될 수 없는 것에 의해 제한되는데, 이것은 감도를 제한한다. 따라서, 현재 사용되는 방법은 너무 둔감한다. 또한, 기준 이미지가 너무 결함이 많으면, 결함이 전혀 검출되지 않을 것이다.
하나의 실시형태에서, RDD 알고리즘은, 테스트 프레임으로부터 세 개 이상의 기준 프레임을 각각 감산하는 것에 의해 웨이퍼에 대해 생성되는 이미지의 각각의 테스트 프레임에 대해 세 개 이상의 차이 이미지를 생성하는 것, 세 개 이상의 차이 이미지에 적어도 하나의 임계치를 적용하는 것, 및 세 개 이상의 차이 이미지에 적어도 하나의 임계치를 적용하는 것 중 두 개 이상의 결과가 결함이 존재한다는 것을 나타내는 경우 테스트 프레임에 결함이 존재한다는 것을 결정하는 것에 의해, 결함을 검출하도록 구성된다. 이 방식에서, 각각의 프레임은, 여러 개의 기준 프레임에, 예를 들면, 현재의 두 개 대신 6 개의 기준 프레임에 비교될 수도 있다. 결함이 있다는 것을 적어도 두 개의 차이 프레임이 시사하면, 후보 프레임은 결함이 있는 것으로 간주될 수 있다.
도 4는 이 결함 검출이 어떻게 수행될 수 있는지의 실시형태를 도시한다. 이 도면에서 도시되는 프레임 F0, F1, F2, F3, F4, F5 및 F6은, 본원에서 설명되는 검사 서브시스템에 의해 웨이퍼에 대해 생성될 수도 있는 상이한 이미지 프레임을 나타낸다. 현재의 구현에서, 프레임 중 하나는 이중 검출 스킴에서 두 개의 다른 프레임에 비교될 수도 있고, 비교의 결과 둘 모두에서 결함이 검출되면, 결함이 존재하는 것으로 결정된다. 예를 들면, 프레임 F3으로부터 프레임 F2를 감산하는 것에 의해 제1 차이 이미지(도 4에서 도시되는 Diff1)가 생성될 수도 있다. 또한, 프레임 F3으로부터 프레임 F4를 감산하는 것에 의해 제2 차이 이미지(도 4에서 도시되는 Diff2)가 생성될 수도 있다. 따라서, 이들 비교에서, 프레임 F3은 테스트 프레임이고, 프레임 F2 및 F4는 기준 프레임으로서 사용된다. 이들 두 개의 차이 이미지가 결함 검출을 위해 사용되면, 결함(400)은 검출되지 않을 것이다. 특히, 도 4에서 도시되는 바와 같이, 프레임 F3 내의 결함(400)은, 프레임 F2 및 F3과 비교하여 프레임 F4의 상이한 노이즈 특성 때문에, Diff1에서는 나타나지만 Diff2에서는 나타나지 않는다. 이 방식에서, 이중 검출의 현재의 구현은 그 결함을 놓칠 것인데, 그 이유는 그것이 차이 이미지 둘 모두에서 이중으로 검출되지 않기 때문이다.
그러나, 본원에서 설명되는 실시형태에서, 프레임 F3이 테스트 프레임이면, 그것은 도 4에서 도시되는 다른 프레임의 각각에 비교될 수도 있고, 이들 비교의 각각의 결과는, 프레임 F3에서 결함이 존재하는지를 결정하기 위해 사용될 수도 있다. 예를 들면, 현재 사용되는 방법과 같이, 프레임 F3으로부터 프레임 F2를 감산하는 것에 의해 제1 차이 이미지(도 4에서 도시되는 Diff1)가 생성될 수도 있고, 프레임 F3으로부터 프레임 F4를 감산하는 것에 의해 제2 차이 이미지(도 4에서 도시되는 Diff2)가 생성될 수도 있다. 그러나, 프레임 F3으로부터 프레임 F1을 감산하는 것에 의해 생성되는 제3 차이 이미지(도 4에서 도시되는 Diff3) 및 프레임 F3으로부터 프레임 F5를 감산하는 것에 의해 생성되는 제4 차이 이미지(도 4에서 도시되는 Diff4)를 포함하는 추가적인 차이 이미지가 이 실시형태에서 생성될 수도 있다. 또한, 프레임 F3으로부터 프레임 F0을 감산하는 것에 의해 제5 차이 이미지(도 4에서 도시되는 Diff5)가 생성될 수도 있고, 프레임 F3으로부터 프레임 F6을 감산하는 것에 의해 제6 차이 이미지(도 4에서 도시되는 Diff6)가 생성될 수도 있다. 차이 이미지 중 두 개 이상에서의 결함 신호가 임계치를 초과하는 경우, 테스트 프레임에 결함이 존재하는 것으로 결정될 수도 있다. 예를 들면, 임계치는 1로 설정될 수도 있다. 이 경우, 도 4에서 도시되는 바와 같이, Diff1, Diff4, 및 Diff6은 1보다 더 큰 신호 대 노이즈 비(signal-to-noise ratio; SNR)를 가지고, 한편, Diff2, Diff3, 및 Diff5는 1보다 더 큰 SNR을 갖지 않는다. 따라서, 차이 이미지 중 두 개 이상(이 경우에서는, 세 개의 차이 이미지)가 임계치를 초과하는 SNR을 가지기 때문에, 테스트 프레임에 결함이 존재한다는 것이 결정된다.
또 다른 실시형태에서, RDD 알고리즘은, 웨이퍼에 대해 생성되는 이미지의 각각의 테스트 프레임을 다수의 기준 프레임의 평균(average)에 비교하는 것에 의해 결함을 검출하도록 구성된다. 이 방식에서, 각각의 프레임은 다수의 기준 프레임의 평균(예를 들면, 확고한 평균)에 비교될 수도 있다. 도 5는 이 결함 검출이 어떻게 수행될 수 있는지의 실시형태를 도시한다. 이 도면에서 도시되는 프레임 F0, F1, F2, F3, F4, F5 및 F6은 본원에서 설명되는 검사 서브시스템에 의해 웨이퍼에 대해 생성될 수도 있는 상이한 이미지 프레임을 나타내며 도 4에서 도시되는 것과 동일하다.
현재의 구현에서, 프레임 중 하나는 이중 검출 스킴에서 두 개의 다른 프레임에 비교될 수도 있고, 비교의 결과 둘 모두에서 결함이 검출되면, 결함이 존재하는 것으로 결정된다. 예를 들면, 프레임 F3으로부터 프레임 F2를 감산하는 것에 의해 제1 차이 이미지(도 5에서 도시되는 Diff1)가 생성될 수도 있다. 또한, 프레임 F3으로부터 프레임 F4를 감산하는 것에 의해 제2 차이 이미지(도 5에서 도시되는 Diff2)가 생성될 수도 있다. 따라서, 이들 비교에서, 프레임 F3은 테스트 프레임이고, 프레임 F2 및 F4는 기준 프레임으로서 사용된다. 이들 두 개의 차이 이미지가 결함 검출을 위해 사용되면, 결함(400)(도 4에서 도시되는 것과 동일한 결함)은 검출되지 않을 것이다. 특히, 도 5에서 도시되는 바와 같이, 프레임 F3 내의 결함(400)은, 프레임 F2 및 F3과 비교하여 프레임 F4의 상이한 노이즈 특성 때문에, Diff1에서는 나타나지만 Diff2에서는 나타나지 않는다. 이 방식에서, 이중 검출의 현재의 구현은 그 결함을 놓칠 것인데, 그 이유는 그것이 차이 이미지 둘 모두에서 이중으로 검출되지 않기 때문이다.
그러나, 본원에서 설명되는 실시형태에서, 프레임 F0, F1, F2, F4, F5 및 F6의 도 5에서 도시되는 평균(500)이 생성될 수도 있다. 이 방식에서, 평균 프레임은 모든 이용 가능한 기준 프레임(예를 들면, 도 5에서 도시되는 실시형태에서 테스트 프레임으로서 사용되고 있는 프레임 F3 이외의 모든 프레임)으로부터 생성될 수도 있다. 그 다음, 평균 프레임은 테스트 프레임 F3으로부터 감산되어 차이 이미지(502)를 생성할 수도 있다. 그 다음, 본원에서 추가로 설명되는 바와 같이 차이 이미지에 임계치가 적용되고, 그에 의해, 결함(400)을 검출할 수도 있다. 이와 같이, 이 실시형태에서, 후보 또는 테스트 프레임은 기준 프레임의 평균에 비교될 수 있고, 비교의 결과는 후보 또는 테스트 프레임에서 결함을 검출하기 위해 사용될 수 있다.
추가적인 실시형태에서, RDD 알고리즘은, 웨이퍼 상에 인쇄되는 각각의 레티클 인스턴스 내의 동일한 위치에 대응하는 웨이퍼에 대해 생성되는 이미지의 다수의 프레임의 평균치(mean)를 생성하는 것 및 웨이퍼 상에 인쇄되는 다수의 레티클 인스턴스 내의 상이한 위치에 있는 웨이퍼 상의 다이로부터의 기준 프레임으로부터 평균치를 감산하는 것에 의해 결함을 검출하도록 구성된다. 이 방식에서, 하드 리피터 결함(웨이퍼 상의 모든 레티클 상에서 발생함)의 경우, 각각의 레티클 내의 동일한 위치의 여러 개의 이미지 프레임의 평균치가 계산될 수 있고, 레티클 내의 상이한 위치에 있는 다이로부터의 기준 프레임으로부터 감산될 수 있다. 예를 들면, 도 6에서 도시되는 바와 같이, 이 경우에서는 멀티 다이 레티클인 레티클의 상이한 인스턴스가 웨이퍼 상에 인쇄될 수 있다. 레티클의 그들 인쇄된 인스턴스는 도 6에서 레티클 1, 레티클 2, 레티클 3 및 레티클 4로 표시되는데, 그들의 각각은 웨이퍼 상의 동일한 레티클의 상이한 인쇄된 인스턴스이다. 도 6에 추가로 도시되는 바와 같이, 인쇄된 레티클 인스턴스의 각각은 다수의 다이(600)를 포함하는데, 이들 모두는 일반적으로 동일하다. 이 경우, 각각의 레티클은 세 개의 다이를 포함하는데, 그들의 각각은 레티클의 각각의 인스턴스에 대해 웨이퍼 상에 인쇄된다.
레티클의 이들 인쇄된 인스턴스에 대해 평균(602)(또는 평균치)이 생성될 수 있다. 예를 들면, 평균(602)은 도 6에서 도시되는 바와 같이 레티클 1 내지 4 모두로부터 생성될 수 있다. 평균 레티클은 웨이퍼 상의 레티클의 인쇄된 인스턴스 모두로부터 결정될 수도 있다. 그러나, 평균 레티클은 웨이퍼 상의 레티클의 인쇄된 인스턴스 모두보다 더 적은 것으로부터 결정될 수도 있다. 그 다음, 레티클의 평균 내의 프레임은 많은 상이한 대응하는 기준 프레임으로부터 감산될 수 있다. 이 방식에서, 평균 레티클 내의 테스트 프레임이 도 4의 테스트 프레임 3을 대체할 수 있고, 그 다음, 도 4와 관련하여 본원에서 설명되는 단계는 평균 내의 테스트 프레임에 대해 수행될 수 있다. 차이 이미지 중 적어도 두 개가 1보다 더 큰 SNR을 갖는 경우, 테스트 프레임은 결함을 포함하는 것으로 간주될 수 있다. 평균 레티클 내의 테스트 프레임 모두는 동일한 방식으로 결함 검출을 위해 사용될 수 있다.
하나의 실시형태에서, RDD 알고리즘은, 웨이퍼 상에 인쇄되는 각각의 레티클 인스턴스 내의 동일한 위치에 대응하는 웨이퍼에 대해 생성되는 이미지의 다수의 프레임의 평균치를 생성하는 것 및 평균치를, 웨이퍼 상에 인쇄되는 다수의 레티클 인스턴스 내의 상이한 위치에 있는 다른 다이로부터의 기준 프레임의 평균치로부터 감산하는 것에 의해 결함을 검출하도록 구성된다. 이 방식에서, 하드 리피터 결함(웨이퍼 상의 모든 레티클 상에서 발생함)의 경우, 각각의 레티클 내의 동일한 위치의 여러 개의 이미지 프레임의 평균치가 계산될 수 있고, 레티클 내의 상이한 위치에 있는 다른 다이로부터의 기준 프레임의 평균치로부터 감산될 수 있다. 이 실시형태에서, 평균치는 상기 실시형태에서 설명되는 바와 같이 생성될 수도 있다. 그 다음, 레티클의 평균 내의 프레임은 상이한 대응하는 기준 프레임의 평균치 또는 중앙값으로부터 감산될 수 있다. 이 방식에서, 평균 레티클 내의 테스트 프레임이 도 5의 테스트 프레임 3을 대체할 수 있고, 그 다음, 도 5와 관련하여 본원에서 설명되는 단계는 평균 내의 테스트 프레임에 대해 수행될 수 있다. 차이 이미지가 1보다 더 큰 SNR을 갖는 경우, 그것은 결함을 포함하는 것으로 간주될 수 있다. 평균 레티클 내의 테스트 프레임 모두는 동일한 방식으로 결함 검출을 위해 사용될 수 있다.
다른 실시형태에서, RDD 알고리즘은, 웨이퍼 상에 인쇄되는 레티클의 다수의 인스턴스에서의 검출된 결함의 위치에 대응하는 이미지 프레임을 추가하는 것, 추가된 이미지 프레임을 임계치에 비교하는 것, 및 추가된 이미지 프레임이 임계치를 초과하는 경우 결함이 리피터 결함이다는 것을 결정하는 것에 의해, 리피터 결함인 결함을 식별하도록 구성된다. 예를 들면, 소프트 리피터 결함(이것은 웨이퍼 상에 인쇄되는 몇몇 레티클 인스턴스에서만 발생함)의 경우, 후보 프레임(여러 개의 레티클 내의 동일한 위치)의 조합이 추가될 수 있는데, 예를 들면, 하나의 시나리오에서, 레티클 1, 4 및 5가 추가되고, 다른 시나리오에서, 레티클 1, 3 및 6이 추가되고, 및 등등일 수 있다. 레티클을 추가하는 것은, 각각의(또는 2 개보다 더 많은) 레티클의 미가공(raw) 프레임이 추가되는 프레임 단위 방식(frame-wise manner)으로 수행될 수도 있다. 후보 프레임을 추가하는 것은, 후보 프레임에 대한 미가공 픽셀 출력을 추가하는 것을 포함할 수도 있다. 하나의 조합이 SNR이 충분히 높은, 예를 들면, 검출 임계치보다 더 높은 픽셀을 갖는 경우, 리피터 결함이 있다는 것이 결론내려질 수 있다. 예를 들면, 도 6에서 도시되는 바와 같이, 레티클의 상이한 인스턴스, 예를 들면, 레티클 1, 2, 3 및 4가 웨이퍼 상에 인쇄될 수도 있다. 그 다음, 이들 인쇄된 인스턴스 중 상이한 것이 결함 검출을 위해 추가될 수 있다.
몇몇 실시형태에서, RDD 알고리즘은, a) 결함을 검출하도록 그리고 웨이퍼 상에 인쇄되는 레티클의 제1 수의 인스턴스 내의 동일한 위치에서 나타나는 결함을 리피터 결함으로서 식별하는 것에 의해 제1 스와스에서만 리피터 결함인 결함을 식별하도록 그리고 b) 후속하여 결함을 검출하도록 그리고 웨이퍼 상에 인쇄되는 레티클의 제2 수의 인스턴스 내의 동일한 위치에서 나타나는 결함을 리피터 결함으로서 식별하는 것에 의해 다른 스와스에서 리피터 결함인 결함을 식별하도록 구성되고, 제2 수는 제1 수보다 더 높다. 이 방식에서, 실질적으로 핫하게 실행하는 것을 필요로 하는 상대적으로 낮은 신호 리피터 결함의 경우, 2 패스 리피터 분석이 수행될 수 있다. 제1 패스는 결함의 수를 합리적인 수로 감소시키기 위해 하나의 단일의 스와스에 기초하고, 제2 패스는 전체 웨이퍼에 대해 패스 1을 통과한 모든 스와스에 대해 동작한다(모든 스와스에 대해 더 높은 리피터 임계치를 가지고 리피터 분석을 수행한다).
하나의 그러한 예에서, 초기에, 일 백만 개의 결함이 스와스당 검출될 수도 있지만, 그러나 그들 대부분은 동일한 레티클 좌표를 갖지 않는다. 결함의 수는 이 스와스에 대해서만 레티클 리피터 분석을 수행하는 것에 의해 감소될 수 있고, 동일한 레티클 좌표에서(본원에서 추가로 설명되는 동일한 리피터 반경 또는 "허용 오차" 내에서)에서 적어도 두 번 발생하지 않는 결함 모두는 필터링된다. 결국, 실질적으로 더 적은 수의 결함(예를 들면, 단지 10,000개의 결함)이 스와스당 남게 되는데, 이것은 필요로 되는 스토리지 공간을 크게 감소시킨다.
그러한 리피터 분석이 모든 스와스에 대해 수행된 이후, 보고된 리피터의 수를 더 감소시키기 위해, 더 높은 리피터 임계치(예를 들면, 동일한 레티클 좌표를 갖는 20 개의 리피터)를 가지고 전체 웨이퍼 리피터 분석이 수행될 수 있다. 예를 들면, 도 7에서 도시되는 바와 같이, 다수의 다이(700)가 웨이퍼(702) 상에 형성될 수도 있다. 검사 서브시스템(도 7에 도시되지 않음)이 웨이퍼에 걸쳐 스캔하고, 그에 의해, 웨이퍼에 대한 이미지를 생성함에 따라, 이미지의 스와스(704)가 웨이퍼에 대해 생성된다. 일반적으로, 이미지 데이터의 스와스는, 웨이퍼 상의 그들의 대응하는 위치와 중첩되어 도 7에서 도시된다. 이 방식에서, 비록 스와스가 웨이퍼 상의 몇몇 영역에 대한 검출기에 의해 생성되는 이미지일 뿐이지만, 스와스는, 비록 그들이 실제로 웨이퍼 상에 있지 않더라도, 웨이퍼 상의 스와스로서 종종 칭해진다. 임의의 경우에, 웨이퍼 상의 검사될 영역은, 전체 검사 영역에 대해 이미지가 생성될 때까지, 일반적으로 스와스 단위로 스캔된다. 그러나, 이미지 데이터의 스와스 모두가 획득되기 이전에, 이미지 데이터의 스와스는 프로세싱될 수 있다.
예를 들면, 도 7에 도시되고 상기에서 추가로 설명되는 바와 같이, 스와스(704a)는 웨이퍼(702) 상의 제1 다이 행(700a)에서 획득될 수 있다. 스와스가 스캐닝되고 있을 때 또는 이 스와스가 획득된 이후 그리고 다른 스와스가 획득되기 이전에, 단계(706)에서 도시되는 바와 같이, IMC는 스와스 기반의 리피터 분석을 실행할 수도 있고, 동일한 레티클 내 위치에서 스와스당 적어도 두 번 발생하는 모든 결함을 유지할 수도 있다. 그 다음, 이 단계는 도 7의 타원(708)에 의해 도시되는 바와 같이 웨이퍼 상의 다른 스와스 모두에 대해 수행될 수 있다. 모든 스와스(또는 소망되는 만큼의 많은 스와스)가 스와스 기반의 리피터 분석을 위해 프로세싱된 이후, 단계(710)에서 도시되는 바와 같이, 스와스 기반의 리피터 분석으로부터 남게 되는 결함 모두에 대해 리피터 분석이 수행될 수 있다.
하나의 그러한 실시형태에서, RDD 알고리즘은, 제1 스와스에서 웨이퍼 상에 인쇄되는 레티클의 모든 인스턴스에서 그리고 제1 스와스에서 웨이퍼 상에 인쇄되는 레티클의 각각의 다이 인스턴스 내의 동일한 위치에서 발생하는 시스템 노이즈(systematic noise)를 필터링하는 것에 의해 제1 스와스에서 노이즈를 감소시키도록 구성된다. 예를 들면, 제1 패스 리피터 분석에서의 추가적인 노이즈 감소는, 모든 레티클에서 그리고 모든 다이 내의 동일한 위치에서 발생하는 시스템 노이즈를 필터링하는 것에 의해 달성될 수 있다. 하나의 그러한 예에서, 노이즈가 정확히 동일한 구조체, 예를 들면, 소정의 다각형의 가장자리에서 또는 모든 다이 내의 동일한 위치에서 항상 발생하면, 그것은 시스템 노이즈로 칭해진다. 대조적으로, 리피터 결함은, 다이당 한 번 또는 다이당 다수 회가 아니라, 레티클당 한 번만 발생한다.
하나의 실시형태에서, RDD 알고리즘은, 웨이퍼 상에 인쇄되는 레티클 인스턴스의 이미지 프레임을, 상이한 웨이퍼로부터 생성되는 표준 이미지 프레임에 비교하는 것에 의해, 결함을 검출하도록 구성된다. 예를 들면, 후보 웨이퍼 상의 모든 레티클의 이미지 프레임은, 깨끗한 웨이퍼 상에 인쇄되는 표준 레티클에 비교될 수 있다. 깨끗한 웨이퍼 상에 인쇄되는 표준 레티클의 이미지는 임의의 적절한 방식으로 획득될 수 있다. 표준 레티클 이미지는 임의의 적절한 방식으로 깨끗한 웨이퍼로부터 유래하는 것으로 결정될 수 있다. 예를 들면, 표준 레티클 이미지는, 실질적으로 결함이 없는 레티클 이미지를 발견하는 것에 의해 획득될 수 있다. 몇몇 경우에, 표준 레티클 이미지는, 공지된 양호한 프로세스(프로세스에 대한 프로세스 윈도우 내에서 기능하는 것으로 알려진 프로세스)를 사용하여 본원에서 설명되는 실시형태에 의해 검사될 것과 동일한 타입의 웨이퍼 상에 레티클을 인쇄하는 것에 의해 획득될 수도 있다. 그 다음, 웨이퍼 상의 레티클의 다양한 인스턴스는, 표준 결함 검출 프로세스에서와 같이 결함에 대해 검사될 수 있다. 그 다음, 결함이 없는 것으로 밝혀지는 레티클의 인스턴스가 표준 레티클로서 사용될 수 있다. 웨이퍼 상에 인쇄되는 레티클 인스턴스의 이미지 프레임은, 본원에서 설명되는 바와 같이 표준 이미지 프레임에 (예를 들면, 다른 것으로부터 하나를 감산하는 것에 의해) 비교될 수 있고, 결함 검출 임계치가 비교의 결과(예를 들면, 차이 이미지)에 적용될 수 있고, 그에 의해, 웨이퍼 상에서 결함을 검출할 수 있다.
다른 실시형태에서, RDD 알고리즘은, 웨이퍼 상에 인쇄되는 레티클 인스턴스의 이미지 프레임을, 상이한 웨이퍼로부터 생성되는 중앙값 이미지 프레임(median image frame)에 비교하는 것에 의해 결함을 검출하도록 구성된다. 예를 들면, 후보 웨이퍼 상의 모든 레티클의 이미지 프레임은 깨끗한 웨이퍼 상에 인쇄되는 중앙값 레티클(median reticle)에 비교될 수 있다. 깨끗한 웨이퍼는, 공지된 양호한 프로세스(프로세스에 대한 프로세스 윈도우 내에서 기능하는 것으로 알려진 프로세스) 및 결함이 없는 버전의 레티클을 사용하여 인쇄되는 본원에서 설명되는 실시형태에 의해 검사되는 것과 동일한 타입의 웨이퍼일 수도 있다. 중앙값 이미지 프레임은 본원에서 추가로 설명되는 바와 같이 상이한 웨이퍼로부터 생성될 수도 있다. 이미지 프레임은 본원에서 추가로 설명되는 바와 같이 중앙값 이미지 프레임에 비교될 수도 있다. 또한, 이들 비교의 결과는 웨이퍼 상의 결함을 검출하기 위해 본원에서 추가로 설명되는 바와 같이 사용될 수도 있다.
추가적인 실시형태에서, RDD 알고리즘은, 웨이퍼 상에 인쇄되는 레티클 인스턴스의 이미지 프레임을 렌더링된 설계에 비교하는 것에 의해, 결함을 검출하도록 구성된다. 예를 들면, 후보 웨이퍼 상의 모든 레티클의 이미지 프레임은 렌더링된 설계에 비교될 수 있다. 렌더링된 설계는, 웨이퍼 상에 인쇄되는 레티클이 검사 서브시스템에 의해 생성되는 이미지에서 어떻게 나타날 것인지를 예시하는 레티클의 시뮬레이팅된 이미지일 수도 있다. 그러므로, 렌더링된 설계는, 리소그래피 프로세스 및 검사 서브시스템 이미징 프로세스의 모델에 대한 입력으로서, 웨이퍼에 대한 설계를 사용하여 생성될 수도 있다. 렌더링된 설계는 또한, Verma 등등에게 2010년 3월 30일자로 발행된 미국 특허 제7,689,966호, Zhang 등등에 의한 2017년 5월 25일자로 공개된 미국 특허 출원 공개 공보 제2017/0148226호, Zhang 등등에 의한 2017년 7월 6일자로 공개된 미국 특허 출원 공개 공보 제2017/0193680호, Bhaskar 등등에 의한 2017년 7월 6일자로 공개된 미국 특허 출원 공개 공보 제2017/0194126호, Bhaskar 등등에 의한 2017년 7월 13일자로 공개된 미국 특허 출원 공개 공보 제 2017/0200260호, 및 Bhaskar 등등에 의한 2017년 7월 13일자로 공개된 미국 특허 출원 공개 공보 제2017/0200265호, Zhang 등등에 의한 2017년 5월 23일자로 출원된 미국 특허 출원 제15/603,249호, Zhang 등등에 의한 2017년 9월 1일자로 출원된 미국 특허 출원 제15/694,719호, 및 He 등등에 의한 2017년 9월 6일자로 출원된 미국 특허 출원 제15/697,426호에서 설명되는 바와 같이 생성될 수도 있는데, 이들 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이들 특허 공개 공보 및 특허 출원에서 설명되는 바와 같이 구성될 수도 있다. 이미지 프레임은 본원에서 추가로 설명되는 바와 같이 렌더링된 설계에 비교될 수도 있고, 비교의 결과는 본원에서 추가로 설명되는 바와 같이 결함을 검출하기 위해 사용될 수도 있다.
또 다른 실시형태에서, 핫 임계치는 로컬의 다수의 다이 적응 임계치(local multiple die adaptive threshold)를 포함한다. 예를 들면, 실질적으로 핫하게 실행하기 위해, 로컬의 멀티 다이 적응 임계 알고리즘(single swath-multiple die row defect detection)이 사용될 수 있다. 로컬의 멀티 다이 적응 임계 알고리즘은, KLA-Tencor로부터 상업적으로 이용 가능한 몇몇 검사 시스템 상에서 이용 가능한 멀티 다이 자동 임계치화(multi-die automatic thresholding; MDAT) 알고리즘 및 nanoMDAT 알고리즘을 포함하는 본 기술 분야에 공지되어 있는 임의의 적절한 그러한 알고리즘을 포함할 수도 있다.
하나의 실시형태에서, 하나 이상의 이미지 프로세싱 컴포넌트는, 검출된 결함에 대한 정보를, 하나 이상의 이미지 프로세싱 컴포넌트의 노드마다의 공유 메모리에 저장하도록 구성된다. 예를 들면, 매우 핫하게 실행하기 위해, 노드 내 리피터 분석(in node repeater analysis)이 사용될 수 있는데, 이것은, 검출된 결함을 보고하지 않으면서 결함에 대한 정보가 내부적으로 프로세싱되고 리피터만이 결국에는 보고된다는 것을 의미한다. 이 구성에서, 결함은 IMC 노드마다의 (공유된) 메모리에 저장될 수 있다. 1 억 개보다 더 많은 결함을 저장하도록 허용되어야 하는, 노드마다의 소정의 스토리지 공간이 예약될 수 있다.
하나의 그러한 실시형태에서, 도 9에서 도시되는 바와 같이, 하나 이상의 컴퓨터 서브시스템은, 단계(900)에서 도시되는 바와 같이, 핫 임계치를 갖는 레시피를 셋업할 수도 있다. 핫 임계치를 갖는 레시피를 셋업하는 것은 본 기술 분야에 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있다. 그 다음, IMC는, 단계(902)에서 도시되는 바와 같이, 웨이퍼 상의 결함을 검출할 수도 있고 결함을 IMC 노드의 공유 메모리에서 유지할 수도 있다. 이 단계는 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 단계(904)에서 도시되는 바와 같이, IMC는, 그 다음, 노드 내 리피터 분석을 행할 수도 있는데, 노드 내 리피터 분석은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다.
이러한 하나의 실시형태에서, 검출된 결함에 대해 공유 메모리 내에 저장되는 정보는, 웨이퍼 상의 하나 이상의 제1 다이 행에서 검출되는 결함에만 대한 정보를 포함한다. 제1 다이 행은, 먼저 스캔되는 다이 행이다. 이 방식에서, 제1 다이 행의 결함은 공유 메모리에 저장될 수도 있다. 예를 들면, 도 8에서 도시되는 바와 같이, IMC는, 단계(800)에서 도시되는 바와 같이, 메모리 내 리피터 분석(in memory repeater analysis)을 위해 결함을 공유 메모리에 저장할 수도 있다. 메모리 내 리피터 분석을 위해 공유 메모리에 저장되는 결함은 웨이퍼 1에 대한 테스트 1에서 검출되는 결함(802)을 포함할 수도 있다. 공유 메모리에 저장되는 결함은 또한, 웨이퍼 상의 단일의 다이 행(804)에서 또는 웨이퍼 상의 다수의 다이 행(804 및 806)에서 검출되는 결함만을 포함할 수도 있다. 비록 단일의 다이 행이 웨이퍼 상의 특정한 다이 행으로 도시되지만, 단일의 다이 행은 웨이퍼 상의 임의의 단일의 다이 행을 포함할 수도 있다. 또한, 웨이퍼 상의 다수의 다이 행은 웨이퍼 상의 다이 행 모두 또는 웨이퍼 상의 다이 행의 일부만(예를 들면, 웨이퍼 상의 두 개 이상의 다이 행)을 포함할 수도 있다.
도 9에서 도시되는 실시형태는 본원에서 설명되는 임의의 다른 단계(들)를 포함할 수도 있다. 예를 들면, 단계(906)에서 도시되는 바와 같이, 약한 및/또는 하드 리피터에 대해, IMC는 향상된 추출을 위한 기준으로서 설계 렌더링된 패치와 이미지 프레임을 비교할 수도 있다. 이 단계는 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 하나의 그러한 실시형태에서, 도 8에서 도시되는 바와 같이, 웨이퍼(1)에 대해 패치 이미지(808)가 획득될 수도 있다. 패치 이미지에 대응하는 디자인 부분에 대해 설계 클립(design clip)(810)이 획득될 수도 있다. 이 방식에서, 그 설계 클립 내에 있는 임의의 설계 피쳐는, 패치 이미지가 획득되었던 웨이퍼의 부분 상에 형성되는 설계 피쳐에 대응해야 한다. 그 다음, 설계 클립은 렌더링될 수도 있고, 그에 의해, 렌더링된 설계(812)를 생성할 수도 있는데, 렌더링된 설계(812)는, 설계 클립이 웨이퍼 상에 형성되고 그 다음 검사 서브시스템에 의해 이미징될 방법을 시뮬레이팅한다. 그러한 시뮬레이션은 본 기술 분야에 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있다. 이 방식에서, 렌더링된 설계는, 설계 클립 내의 설계 피쳐가 형성된 웨이퍼의 부분의 검사 서브시스템에 의해 생성되는 이미지와 동등해야 한다. 이와 같이, 렌더링된 설계는 설계 클립에 대응하는 웨이퍼의 부분의 결함이 없는 이미지와 동등하다. 따라서, 렌더링된 설계는, 테스트 이미지에서 결함을 검출하기 위해, 테스트 이미지, 예를 들면, 패치 이미지(808)에 대한 비교를 위한 기준으로 사용될 수 있다. 예를 들면, 렌더링된 설계(812)은, 단계(814)에서와 같이, 패치 이미지로부터 렌더링된 설계를 감산하는 것에 의해, 그에 의해, 차이 이미지(816)를 생성하는 것에 의해, 패치 이미지(808)에 비교될 수 있다. 이들 단계는 약한 및/또는 하드 리피터 결함의 향상된 추출(820)을 위해 노드 내 리피터 분석(818)을 위해 수행될 수도 있다.
몇몇 그러한 실시형태에서, RDD 알고리즘은, 리피터 결함과 동일한 다이 상대 위치(same die relative location)에서 하나 이상의 제1 다이 행의 인접 다이 내에서 검출되는 결함을 식별하는 것 및 비 리피터 결함(non-repeater defect)과 동일한 다이 상대 위치에서 하나 이상의 제1 다이 행의 인접한 다이 내에서 검출되지 않는 결함을 식별하는 것에 의해, 리피터 결함인 결함을 식별하도록 구성된다. 이 방식에서, 동일한(또는 허용 오차 이내로 가까운) 다이 상대 위치에서 동일한 다이 행 상의 인접한 다이로부터의 결함은 비교될 것이고 리피터 및 고유의 결함이 마킹될 것이다. 이들 단계는 본원에서 더 설명되는 바와 같이 수행될 수도 있다.
하나의 그러한 실시형태에서, RDD 알고리즘은, 리피터 결함과 동일한 다이 상대 위치에서 웨이퍼 상의 다른 다이 행의 인접한 다이 내에서 검출되는 결함을 식별하는 것 및 비 리피터 결함과 동일한 다이 상대 위치에서 다른 다이 행의 인접한 다이 내에서 검출되지 않는 결함을 식별하는 것에 의해, 리피터 결함인 결함을 식별하도록 구성된다. 예를 들면, 후속하는 다이 행 애의 결함이 비교될 것이고, 리피터 및 고유 결함이 마킹될 것이다. 예를 들면, 후속하는 다이 행 내의 결함은 그들의 다이 좌표의 관점에서 비교될 수도 있다. 다이 좌표가 소정의 수의 결함에 대해 동일하면, 그들 결함은 리피터 결함으로 지정될 수 있다. 이들 단계는 본원에서 더 설명되는 바와 같이 수행될 수도 있다.
하나의 그러한 실시형태에서, RDD 알고리즘은, 비 리피터 결함과 동일한 다이 상대 위치에서 웨이퍼에 대해 생성되는 이미지의 부분을 추출하는 것 및 로컬 결함 검출 알고리즘을 이미지의 추출된 부분에 적용하고, 그에 의해, 비 리피터 결함과 동일한 다이 상대 위치에서 검출되지 않은 결함이 반복하는지를 결정하는 것에 의해, 리피터 결함인 결함을 식별하도록 구성된다. 예를 들면, 고유 결함의 경우, 추가적인 (로컬) 결함 검출 알고리즘이 적용되어, 다른 다이/다이 행에 대한 동일한 위치로부터 약한 결함 신호를 추출할 수도 있다. 하나의 그러한 실시형태에서, 도 9에서 도시되는 바와 같이, IMC는, 모든 리피터 및 고유 결함에 대해, 다른 다이/다른 다이 행에 대해 로컬 검색이 행해져서 낮은 신호 결함을 추출하는 단계(908)를 수행할 수도 있다.
이 단계에서, 도 8에서 도시되는 바와 같이, 웨이퍼 1 상의 다이 행(804) 내에서 검출되는 결함(802) 중 하나의 결함 위치는, 단계(822)에서 도시되는 바와 같이, 로컬 검색을 위한 결함 위치로서 사용될 수도 있다. 이 단계는, 단계(826)에서 도시되는 바와 같이, 모든 리피터 및 고유한 결함에 대해, 로컬 검색이 다른 다이 및/또는 다이 행에 대해 수행되는 노드 내 리피터 분석(824)을 위해 수행될 수도 있다. 이 방식에서, 상대적으로 낮은 신호 결함이 추출될 수 있다. 또한, 결함 위치에 대응하는 다수의(또는 모든) 위치를 검사하는 것에 의해, IMC는 x %의 결함이 없는 위치(828) 및 (100-x) %의 결함 위치(830)를 결정할 수도 있다. 이들 비율은 결함에 관한 정보(그들이 소프트 리피터인가?, 그들이 하드 리피터인가?, 그들이 약한 소프트 리피터인가? 등등)를 결정하기 위해 사용될 수 있다.
도 9의 단계(910)에서 도시되는 바와 같이, IMC는 다른 테스트/검사를 수행할 수도 있고 테스트간 및 웨이퍼간 리피터 분석을 위해 위치를 비교할 수도 있다. 예를 들면, 도 8의 단계(832)에서 도시되는 바와 같이, 웨이퍼 1에 대해 수행되는 테스트 1에 의해 생성되는 이미지를 사용하여 향상된 추출(820)에 의해 검출되는 결함은, 웨이퍼 m에 대한 테스트 n에 의해 검출되는 결함 및/또는 이미지에서의 위치를 비교하기 위해 사용될 수 있다. 본원에서 설명되는 임의의 다른 방식으로 발견되는 결함도 또한 그러한 비교를 위해 사용될 수도 있다. 그 다음, 그들 비교는, 테스트간 및/또는 웨이퍼간 리피터 분석(836)을 위해 수행되는, 노드 내 리피터 분석 단계(834)를 위해 사용될 수도 있다. 이 방식에서, 본원에서 설명되는 실시형태는, 동일한 웨이퍼에 대해 수행되는 테스트마다(from test to test) 및/또는 웨이퍼마다(from wafer to wafer) 동일한 위치에서 결함이 반복되는지를 결정하기 위해 사용될 수 있다.
본원에서 설명되는 실시형태에서, 웨이퍼 검사의 종료까지 모든 결함 후보를 IMC 메모리에 축적할 수 있을 것이다. 정상적인 결함 검출이 실행될 수도 있고, "결함 없음" 결과 버퍼가 인터페이스 컴포넌트로 반환될 수도 있고, 모든 결함 후보가 공유 메모리에 저장될 수도 있다. 예를 들면, 현재, 검출되는 모든 결함이 보고된다. 그러나, 여기서는, 리피터 분석이 수행되기 이전에 어떠한 결함도 보고하지 않는 것이 더욱 효율적인데, 이것은 결함 좌표를 저장하기 위한 실질적으로 효율적인 방식이다. 또한, 모든 결함 후보를 VI 상에 저장하는 핫 검사가 실행될 수도 있다. VI 상에서, 리피터를 찾기 위해, 결함 좌표를 사용하며 결함 위치, 속성, 및 패치를 메인 UI에 반환하는 검사가 실행될 수도 있다. 더구나, 본원에서 추가로 설명되는 바와 같이, 리피터 좌표만 저장될 수도 있지만 어떠한 패치 이미지도 저장되지 않을 수도 있다.
다른 실시형태에서, RDD 알고리즘은, 웨이퍼에 대해 생성되는 이미지를, 서브 픽셀 정확도를 갖는 웨이퍼에 대한 설계에 정렬하도록 구성된다. 예를 들면, 설계 기반의 서브 픽셀 정확도 정렬은, 실질적으로 효율적인 방해물 필터링을 허용하는 실질적으로 더 작은 리피터 반경을 사용하는 것을 허용한다. 웨이퍼에 대해 생성되는 이미지를 서브 픽셀 정확도를 갖는 설계에 정렬하는 것은, Kulkarni 등등에게 2010년 3월 9일자로 발행된 미국 특허 제7,676,077호, Kulkarni 등등에게 2011년 10월 18일자로 발행된 미국 특허 제8,041,103호; Kulkarni 등등에게 2012년 3월 20일자로 발행된 미국 특허 제8,139,843호; 및 Ramachandran 등등에게 2015년 9월 15일자로 발행된 미국 특허 제9,134,254호, 및 Bhattacharyya 등등에 의한 2016년 6월 30일자로 공개된 미국 특허 출원 공개 공보 제2016/0188784호에서 설명되는 바와 같이 수행될 수도 있는데, 이들 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이들 특허 및 공개 공보에서 설명되는 바와 같이 구성될 수도 있다.
또 다른 실시형태에서, RDD 알고리즘은, 리피터 결함의 속성(attribute), 리피터 결함에 대응하는 이미지의 성질(property), 또는 이들의 조합에 기초하여 수행되는 머신 러닝 기술을 사용하여, 리피터 결함 중 어떤 것이 주목하는 결함인지 그리고 리피터 결함 중 어떤 것이 방해물인지를 결정하도록 구성된다. 예를 들면, 현존하는 결함 속성 또는 이미지 기반 성질 중 어느 하나를 사용하여 방해물로부터 주목하는 결함(DOI)을 분리하는 머신 러닝 타입 기술을 사용하여 DOI 및 방해물을 분리하는 것에 의해 추가적인 방해물 감소가 달성될 수 있다. 그러한 머신 러닝 타입 기술의 예는, Zhang 등등에 의한 2017년 5월 25일자로 공개된 미국 특허 출원 공개 공보 제2017/0148226호, Zhang 등등에 의한 2017년 7월 6일자로 공개된 미국 특허 출원 공개 공보 제2017/0193680호, Bhaskar 등등에 의한 2017년 7월 6일자로 공개된 미국 특허 출원 공개 공보 제2017/0194126호, Bhaskar 등등에 의한 2017년 7월 13일자로 공개된 미국 특허 출원 공개 공보 제 2017/0200260호, 및 Bhaskar 등등에 의한 2017년 7월 13일자로 공개된 미국 특허 출원 공개 공보 제2017/0200265호, 및 Zhang 등등에 의한 2017년 5월 23일자로 출원된 미국 특허 출원 제15/603,249호, Zhang 등등에 의한 2017년 9월 1일자로 출원된 미국 특허 출원 제15/694,719호, 및 He 등등에 의한 2017년 9월 6일자로 출원된 미국 특허 출원 제15/697,426호에서 설명되는 바와 같이 생성될 수도 있는데, 이들 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이들 특허 공개 공보 및 특허 출원에서 설명되는 바와 같이 구성될 수도 있다.
추가적인 실시형태에서, RDD 알고리즘은, RDD 알고리즘에 의해 프로세싱되는 이미지의 두 부분에서 공통의 정렬 타겟을 두 개의 상이한 작업으로서 각각 식별하는 것, 공통 정렬 타겟을 사용하여 두 개의 상이한 작업을 서로에 대해 정렬하는 것, 정렬의 결과에 기초하여 정렬 오프셋을 결정하는 것, 및 정렬 오프셋을 다른 작업에 적용하고, 그에 의해, 다른 작업을 두 개의 상이한 작업에 정렬하는 것에 의해, 웨이퍼에 대해 생성되는 이미지를 서로에 대해 정렬하도록 구성된다. 하나의 그러한 실시형태에서, 두 개의 상이한 작업은 웨이퍼 상의 상이한 다이 행 내에 위치된다. 예를 들면, 지적 재산권 제약에 기인하여 설계 파일을 정렬에 사용할 수 없거나 또는 설계 파일 내에 충분히 적절한 정렬 타겟이 존재하지 않는 경우, 하나의 작업은 하나의 전체 다이 행, 즉, 웨이퍼의 좌측에서부터 우측까지의 범위에 이르는 단일의 스와스로 연장될 수 있다. 이 방식에서, 동일한 다이 좌표를 갖는 이미지 프레임 모두는 하나의 단일의 스와스 내에서 서로에 대해 잘 정렬될 수 있다. 현재, 하나의 작업은 프레임의 최대 수(예를 들면, 12 프레임)까지 포함할 수도 있다. 따라서, 작업을 확장하는 것은, 하나의 다이 행 내의 프레임 모두가 서로에 정렬되도록, 작업에 대해 더 많은 프레임을 사용하는 것을 포함할 수도 있다. 예를 들면, 하나의 스와스에 25 개의 다이가 있다는 것을 의미하는, 25 개의 프레임이 있으면, 이들 프레임 모두는 서로에 대해 아주 잘 정렬될 것이다. 또한, 상이한 다이 행의 두 개의 작업은, 두 개의 작업 파일에서 공통 정렬 오브젝트를 찾는 것, 그들을 서로 정렬하는 것, 및 계산된 오프셋을 작업 내의 모든 사이트에 적용하는 것에 의해, 서로에 대해 정렬될 수 있다. 이들 단계는 임의의 적절한 방식으로 수행될 수도 있다.
따라서, 본원에서 설명되는 실시형태는, 현재 사용되는 방법 및 시스템에 비해 다수의 이점을 갖는다. 예를 들면, 본원에서 설명되는 실시형태는 현재 사용되는 방법 및 시스템보다 더 높은 감도를 제공한다. 하나의 그러한 예에서, 리피터 검출의 감도는, 다양한 기준 프레임 조합 및 노이즈 플로어로 훨씬 더 많은 것을 실행하는 것을 허용하는 결함 저장 모델을 사용하는 것에 의해 향상된다. 또한, 본원에서 설명되는 실시형태는, 시스템 또는 방법에 의해 핸들링될 수 있는 결함의 총 수의 제한에 기인하여 전체 웨이퍼에 대한 리피터 분석을 수행할 때 제한되는 감도를 갖지 않는다. 본원에서 설명되는 실시형태는 또한, 노이즈가 많은 웨이퍼 상에서 상대적으로 낮은 신호를 사용하여 결함을 발견하는 것을 허용한다. 더구나, 검사 동안 본원에서 설명되는 실시형태를 사용하는 것은, 키 DOI에 대한 감도를 향상시킬 것이다. 다른 예에서, 본원에서 설명되는 실시형태는 현재 사용되는 방법 및 시스템보다 훨씬 더 핫한 것을 실행할 수 있다. 추가적인 예에서, 본원에서 설명되는 실시형태는 단지 하나의 기준으로 제한되지 않는 비교를 가능하게 한다. 또 다른 예에서, 본원에서 설명되는 실시형태는 현재 사용되는 방법 및 시스템보다 더 낮은 방해물 레이트를 제공한다.
본원에서 설명되는 시스템의 실시형태의 각각은, 본원에서 설명되는 시스템의 임의의 다른 실시형태와 결합될 수도 있다.
다른 실시형태는, 레티클 상의 결함을 검출하기 위한 컴퓨터 구현 방법에 관한 것이다. 방법은 검사 서브시스템에 의해 웨이퍼에 대해 생성되는 이미지를 획득하는 것을 포함한다. 레티클은 리소그래피 프로세스에서 웨이퍼 상에 피쳐를 인쇄하기 위해 사용된다. 획득은 하나 이상의 컴퓨터 서브시스템에 포함되는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행된다. 하나 이상의 컴퓨터 서브시스템은, 웨이퍼 및 레티클에 대해 생성되는 정보를 유저에게 제공하도록 그리고 유저로부터 지시를 수신하도록 구성되는 메인 유저 인터페이스를 포함한다. 하나 이상의 컴퓨터 서브시스템은 또한, 하나 이상의 이미지 프로세싱 컴포넌트와 메인 유저 인터페이스 컴포넌트 사이의 인터페이스를 제공하도록 그리고 검사 서브시스템의 하나 이상의 HW 엘리먼트를 제어하도록 구성되는 인터페이스 컴포넌트를 포함한다. 방법은 또한, 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득되는 이미지에 RDD 알고리즘을 적용하는 것에 의해 RDD를 수행하는 것을 포함한다. RDD 알고리즘은, 핫 임계치를 사용하여 웨이퍼 상의 결함을 검출하도록 그리고 리피터 결함인 결함을 식별하도록 구성된다. RDD는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행된다. 또한, 방법은, 리피터 결함에만 대한 정보를 포함하는 검사 결과를 하나 이상의 이미지 프로세싱 컴포넌트로부터 인터페이스 컴포넌트로 전송하는 것을 포함한다. 방법은 웨이퍼 상에서 검출되는 리피터 결함에 기초하여 레티클 상의 결함을 식별하는 것을 더 포함한다. 레티클 상의 결함을 식별하는 것은, 하나 이상의 컴퓨터 서브시스템에 의해 수행된다.
방법의 단계의 각각은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 방법은 또한, 본원에서 설명되는 검사 서브시스템 및/또는 컴퓨터 서브시스템(들) 또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 포함할 수도 있다. 방법의 단계는, 본원에서 추가로 설명되는 바와 같은 그리고 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있는 하나 이상의 컴퓨터 서브시스템의 다양한 컴포넌트(예를 들면, 하나 이상의 이미지 프로세싱 컴포넌트, 메인 유저 인터페이스 컴포넌트, 및 인터페이스 컴포넌트)에 의해 수행된다. 또한, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 실시형태 중 임의의 것에 의해 수행될 수도 있다.
추가적인 실시형태는, 레티클 상의 결함을 검출하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체에 관한 것이다. 하나의 그러한 실시형태는 도 10에서 도시된다. 특히, 도 10에서 도시되는 바와 같이, 비일시적 컴퓨터 판독 가능 매체(1000)는 컴퓨터 시스템(1004) 상에서 실행 가능한 프로그램 명령어(1002)를 포함한다. 컴퓨터 구현 방법은, 본원에서 설명되는 임의의 방법(들)의 임의의 단계(들)를 포함할 수도 있다.
본원에서 설명되는 것과 같은 방법을 구현하는 프로그램 명령어(1002)는 컴퓨터 판독 가능 매체(1000) 상에 저장될 수도 있다. 컴퓨터 판독 가능 매체는, 자기 또는 광학 디스크, 자기 테이프, 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 비일시적 컴퓨터 판독 가능 매체와 같은 저장 매체일 수도 있다.
프로그램 명령어는, 다른 것들 중에서도, 프로시져 기반의 기술, 컴포넌트 기반의 기술, 및/또는 객체 지향 기술을 비롯한 다양한 방식 중 임의의 것에서 구현될 수도 있다. 예를 들면, 프로그램 명령어는, 소망에 따라, 액티브X(ActiveX) 컨트롤, C++ 오브젝트, 자바빈(JavaBeans), 마이크로소프트 파운데이션 클래스(Microsoft Foundation Classes; "MFC"), SSE(Streaming SIMD Extension; 스트리밍 SIMD 확장) 또는 다른 기술 또는 방법론을 사용하여 구현될 수도 있다.
컴퓨터 시스템(1004)은, 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있다.
본원에서 설명되는 방법 모두는, 방법 실시형태의 하나 이상의 단계의 결과를 컴퓨터 판독가능 저장 매체에 저장하는 것을 포함할 수도 있다. 결과는 본원에서 설명되는 결과 중 임의의 것을 포함할 수도 있고 기술 분야에서 공지되어 있는 임의의 방식으로 저장될 수도 있다. 저장 매체는 본원에서 설명되는 임의의 저장 매체 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 저장 매체를 포함할 수도 있다. 결과가 저장된 이후, 결과는 저장 매체에서 액세스될 수 있고, 본원에서 설명되는 방법 또는 시스템 실시형태 중 임의의 것에 의해 사용될 수도 있고, 유저에 대한 디스플레이를 위해 포맷팅될 수도 있고, 다른 SW 모듈, 방법, 또는 시스템에 의해 사용될 수도 있고, 등등일 수도 있다. 예를 들면, 레티클 상에서 검출되는 결함은, 하나 이상의 레티클 결함을 제거 또는 교정하고, 그에 의해, 그러한 레티클 결함이 다른 웨이퍼 상에 인쇄되는 것을 방지하는 것이 목표인 레티클 교정 또는 클리닝 프로세스를 제어 및/또는 변경하기 위해 사용될 수도 있다. 하나의 그러한 예에서, 레티클 상에서 검출되는 결함에 대한 정보는, 본원에서 설명된 하나 이상의 컴퓨터 서브시스템, 다른 시스템(예를 들면, 레티클 교정 시스템), 또는 다른 방법(예를 들면, 레티클 교정 방법)에 의해 사용되어, 레티클 상에서 레티클 교정 또는 클리닝 프로세스가 수행되어야 하는 곳을 결정할 수도 있다. 레티클 상에서 검출되는 결함에 대한 정보는 또한, 그러한 프로세스의 하나 이상의 파라미터 예컨대 프로세스가 수행될 영역, 프로세스가 수행되는 시간의 길이, 프로세스에서 어떤 화학 물질 또는 가스가 사용되어야 하는지, 등등을 결정하기 위해 사용될 수도 있다. 이 방식에서, 변경된 레티클은 리소그래피 프로세스에서 다른 웨이퍼 상의 레티클 상에 다이를 인쇄하기 위해 사용될 수 있다. 변경된 레티클은 또한, 리소그래피 프로세스에 재도입된 이후, 다른 시간에 본원에서 설명되는 실시형태를 사용하여 재검사될 수도 있다.
본 설명의 고려에서, 본 발명의 다양한 양태의 추가적인 수정예 및 대안적인 실시형태가 기술 분야의 숙련된 자에게 명백할 것이다. 예를 들면, 레티클 상의 결함을 검출하기 위한 시스템 및 방법이 제공된다. 따라서, 본 설명은, 단지 예증적인 것으로만 해석되어야 하며, 본 발명을 실행하는 일반적인 방식을 기술 분야의 숙련된 자에게 교시하는 목적을 위한 것이다. 본원에서 도시되고 설명되는 본 발명의 형태는 현 시점에서의 바람직한 실시형태로서 간주되어야 한다는 것이 이해되어야 한다. 엘리먼트 및 재료는 본원에서 예시되고 설명되는 것을 대체할 수도 있고, 부품 및 프로세스는 반대로 될 수도 있고, 본 발명의 소정의 피쳐는 독립적으로 활용될 수도 있는데, 이들 모두는, 본 발명의 본 설명의 이익을 가진 이후, 기술 분야의 숙련된 자에게 명백해질 것이다. 하기의 청구범위에서 설명되는 바와 같은 본 발명의 취지와 범위를 벗어나지 않으면서, 본원에서 설명되는 엘리먼트에서 변경이 이루어질 수도 있다.

Claims (35)

  1. 레티클 상의 결함을 검출하도록 구성되는 시스템으로서,
    웨이퍼를 스캔하는 것에 의해 상기 웨이퍼에 대한 이미지를 생성하도록 구성되는 검사 서브시스템 - 리소그래피 프로세스에서 상기 웨이퍼 상에 피쳐를 인쇄하기 위해 레티클이 사용됨 - ; 및
    하나 이상의 컴퓨터 서브시스템을 포함하되, 상기 하나 이상의 컴퓨터 서브시스템은:
    상기 웨이퍼에 대한 상기 검사 서브시스템에 의해 생성되는 상기 이미지를 획득하도록 구성되는 하나 이상의 이미지 프로세싱 컴포넌트;
    상기 웨이퍼 및 상기 레티클에 대해 생성되는 정보를 유저에게 제공하도록 그리고 상기 유저로부터 지시를 수신하도록 구성되는 메인 유저 인터페이스 컴포넌트; 및
    상기 하나 이상의 이미지 프로세싱 컴포넌트와 상기 메인 유저 인터페이스 컴포넌트 사이의 인터페이스를 제공하도록 그리고 상기 검사 서브시스템의 하나 이상의 하드웨어 엘리먼트를 제어하도록 구성되는 인터페이스 컴포넌트를 포함하고;
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 리피터 결함 검출 알고리즘(repeater defect detection algorithm)을 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득되는 상기 이미지에 적용하는 것에 의해 리피터 결함 검출을 수행하도록 구성되고, 상기 리피터 결함 검출 알고리즘은, 핫 임계치(hot threshold)를 사용하여 상기 웨이퍼 상에서 결함을 검출하도록 그리고 리피터 결함(repeater defect)인 상기 결함을 식별하도록 구성되고;
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 리피터 결함에만 대한 정보를 포함하는 검사 결과를 상기 인터페이스 컴포넌트에 전송하도록 구성되고; 그리고
    상기 하나 이상의 컴퓨터 서브시스템은, 상기 웨이퍼 상에서 검출되는 상기 리피터 결함에 기초하여 상기 레티클 상의 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  2. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 결함 중 상이한 것에 대한 다이 내 결함 좌표(within die defect coordinate)를 서로 비교하는 것에 의해 상기 리피터 결함인 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  3. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 검출된 결함 모두에 대한 정보를 저장하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  4. 제1항에 있어서,
    상기 리피터 결함 검출을 수행하는 것은, 상기 웨이퍼에 대한 단일의 스와스(swath)에 대해서만 수행되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  5. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한 가상 검사기(virtual inspector)로서 구성되고, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 이미지가 상기 검사 서브시스템으로부터 획득된 이후 그리고 상기 리피터 결함 검출이 수행되기 이전에, 상기 가상 검사기를 상기 검사 서브시스템으로부터 분리하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  6. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 웨이퍼 상의 다수의 다이 행(die row)에서의 동일한 단일의 스와스에 대해 상기 검사 서브시스템에 의해 생성되는 상기 이미지에 결함 검출 알고리즘을 적용하는 것에 의해 단일의 스와스-다수의 다이 행 결함 검출(single swath-multiple die row defect detection)을 수행하도록 그리고 상기 단일의 스와스-다수의 다이 행 결함 검출의 결과를 상기 인터페이스 컴포넌트에 전송하도록 구성되고, 상기 인터페이스 컴포넌트 또는 상기 메인 유저 인터페이스 컴포넌트는 상기 단일의 스와스-다수의 다이 행 결함 검출의 상기 결과에 대해 리피터 결함 검출을 수행하는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  7. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 공유된 비일시적 컴퓨터 판독 가능 저장 매체를 포함하고, 상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼의 전체 검사 영역 상에서 상기 결함을 검출하도록, 상기 검출된 결함 모두를 상기 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 저장하도록, 그리고 상기 웨이퍼의 상기 전체 검사 영역에 대한 상기 저장된 검출된 결함 모두를 사용하여 상기 리피터 결함인 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  8. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 웨이퍼 상의 다수의 다이 행에서의 동일한 단일의 스와스에 대해 상기 검사 서브시스템에 의해 생성되는 상기 이미지에 결함 검출 알고리즘을 적용하는 것에 의해 단일의 스와스-다수의 다이 행 결함 검출을 수행하도록 그리고 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 상기 하나 이상의 이미지 프로세싱 컴포넌트 내의 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 상기 단일의 스와스-다수의 다이 행 결함 검출의 결과를 저장하도록 구성되고, 상기 리피터 결함 검출 알고리즘은 또한, 상기 다수의 다이 행에서의 동일한 단일의 스와스의 상기 전체 검사 영역에서의 상기 결함을 검출하도록, 상기 검출된 결함 모두를 상기 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 저장하도록, 그리고 상기 다수의 다이 행에서의 동일한 단일의 스와스의 상기 전체 검사 영역에 대한 상기 저장된 검출된 결함 모두를 사용하여 상기 리피터 결함인 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  9. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 공유된 비일시적 컴퓨터 판독 가능 저장 매체를 포함하고, 상기 하나 이상의 컴퓨터 서브시스템은 가상 검사기를 더 포함하고, 상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 리피터 결함 검출 알고리즘에 의해 생성되는 결과 중 제1 부분만을 상기 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 그리고 상기 리피터 결함 검출 알고리즘에 의해 생성되는 상기 결과 중 제2 부분만을 상기 가상 검사기에 저장하도록 구성되고, 상기 제1 부분은 상기 웨이퍼 상에서 검출되는 상기 결함에 대한 결함 좌표만을 포함하고, 상기 제2 부분은 상기 웨이퍼 상의 상기 검출된 결함에 대한 결함 속성(attribute) 및 패치 이미지만을 포함하고, 상기 리피터 결함 검출 알고리즘은 또한 상기 결과 중 상기 제1 부분만을 사용하여 상기 리피터 결함을 식별하도록 구성되고, 상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 리피터 결함에 대한 상기 결함 속성 및 패치 이미지를 상기 가상 검사기로부터 검색하도록 그리고 상기 리피터 결함을 식별하는 것에 의해 생성되는 정보를, 상기 검색된 결함 속성 및 패치 이미지와 결합하는 것에 의해 상기 검사 결과를 생성하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  10. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행되는 다수의 프로세스가 액세스할 수 있는 공유된 비일시적 컴퓨터 판독 가능 저장 매체를 포함하고, 상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 리피터 결함 검출 알고리즘에 의해 생성되는 결과 중 제1 부분만을 상기 공유된 비일시적 컴퓨터 판독 가능 저장 매체에 저장하도록 구성되고, 상기 제1 부분은 상기 웨이퍼 상에서 검출되는 상기 결함에 대한 결함 좌표만을 포함하고, 상기 리피터 결함 검출 알고리즘은 또한 상기 결과 중 상기 제1 부분만을 사용하여 상기 리피터 결함을 식별하도록 구성되고, 상기 인터페이스 컴포넌트는 또한 상기 검사 서브시스템의 상기 하나 이상의 하드웨어 엘리먼트를 제어하는 것에 의해 상기 식별된 리피터 결함의 상기 웨이퍼 상에서의 위치만을 스캔하도록 구성되고, 상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 식별된 리피터 결함의 상기 웨이퍼 상에서의 상기 위치만의 상기 스캔에서 생성되는 이미지를, 상기 결과의 상기 제1 부분과 결합하는 것에 의해, 상기 인터페이스 컴포넌트로 전송되는 상기 검사 결과를 생성하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  11. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼 상에서의 상기 레티클의 두 개 이상의 인쇄된 인스턴스 내의 동일한 위치에 나타나는 상기 결함을 상기 리피터 결함으로서 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  12. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 웨이퍼 상의 상기 레티클의 두 개 이상의 인쇄된 인스턴스에서 상기 리피터 결함 중 어떤 것이 반복하는지를 결정하는 것 및 상기 웨이퍼 상의 상기 레티클의 상기 두 개 이상의 인쇄된 인스턴스에서 반복되는 상기 리피터 결함을 상기 레티클 상의 상기 결함으로서 식별하는 것에 의해, 상기 레티클 상의 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  13. 제1항에 있어서,
    상기 레티클 상의 상기 결함을 식별하는 것은, 상기 하나 이상의 컴퓨터 서브시스템에 포함되는 상기 메인 유저 인터페이스 컴포넌트에 의해 수행되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  14. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 테스트 프레임으로부터 세 개 이상의 기준 프레임을 각각 감산하는 것에 의해 상기 웨이퍼에 대해 생성되는 상기 이미지의 각각의 테스트 프레임에 대해 세 개 이상의 차이 이미지를 생성하는 것, 상기 세 개 이상의 차이 이미지에 적어도 하나의 임계치를 적용하는 것, 및 상기 세 개 이상의 차이 이미지에 상기 적어도 하나의 임계치를 적용하는 것 중 두 개 이상의 결과가 결함이 존재한다는 것을 나타내는 경우 상기 테스트 프레임에 결함이 존재한다는 것을 결정하는 것에 의해, 상기 결함을 검출하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  15. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼에 대해 생성되는 상기 이미지의 각각의 테스트 프레임을 다수의 기준 프레임의 평균(average)에 비교하는 것에 의해 상기 결함을 검출하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  16. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼 상에 인쇄되는 각각의 레티클 인스턴스 내의 동일한 위치에 대응하는 상기 웨이퍼에 대해 생성되는 상기 이미지의 다수의 프레임의 평균치(mean)를 생성하는 것 및 상기 웨이퍼 상에 인쇄되는 다수의 레티클 인스턴스 내의 상이한 위치에 있는 상기 웨이퍼 상의 다이로부터의 기준 프레임으로부터 상기 평균치를 감산하는 것에 의해 상기 결함을 검출하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  17. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼 상에 인쇄되는 각각의 레티클 인스턴스 내의 동일한 위치에 대응하는 상기 웨이퍼에 대해 생성되는 상기 이미지의 다수의 프레임의 평균치를 생성하는 것 및 상기 평균치를, 상기 웨이퍼 상에 인쇄되는 다수의 레티클 인스턴스 내의 상이한 위치에 있는 다른 다이로부터의 기준 프레임의 평균치로부터 감산하는 것에 의해 상기 결함을 검출하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  18. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼 상에 인쇄되는 상기 레티클의 다수의 인스턴스에서의 검출된 결함의 위치에 대응하는 이미지 프레임을 추가하는 것, 상기 추가된 이미지 프레임을 임계치에 비교하는 것, 및 상기 추가된 이미지 프레임이 상기 임계치를 초과하는 경우 상기 결함이 리피터 결함이다는 것을 결정하는 것에 의해, 상기 리피터 결함인 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  19. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, a) 상기 결함을 검출하도록 그리고 상기 웨이퍼 상에 인쇄되는 상기 레티클의 제1 수의 인스턴스 내의 동일한 위치에서 나타나는 상기 결함을 상기 리피터 결함으로서 식별하는 것에 의해 제1 스와스에서만 상기 리피터 결함인 상기 결함을 식별하도록 그리고 b) 후속하여 상기 결함을 검출하도록 그리고 상기 웨이퍼 상에 인쇄되는 상기 레티클의 제2 수의 인스턴스 내의 동일한 위치에서 나타나는 상기 결함을 상기 리피터 결함으로서 식별하는 것에 의해 다른 스와스에서 상기 리피터 결함인 상기 결함을 식별하도록 구성되고, 상기 제2 수는 상기 제1 수보다 더 높은 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  20. 제19항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 제1 스와스에서 상기 웨이퍼 상에 인쇄되는 상기 레티클의 모든 인스턴스에서 그리고 상기 제1 스와스에서 상기 웨이퍼 상에 인쇄되는 상기 레티클의 각각의 다이 인스턴스 내의 동일한 위치에서 발생하는 시스템 노이즈(systematic noise)를 필터링하는 것에 의해 상기 제1 스와스에서 노이즈를 감소시키도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  21. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼 상에 인쇄되는 레티클 인스턴스의 이미지 프레임을, 상이한 웨이퍼로부터 생성되는 표준 이미지 프레임에 비교하는 것에 의해, 상기 결함을 검출하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  22. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼 상에 인쇄되는 레티클 인스턴스의 이미지 프레임을, 상이한 웨이퍼로부터 생성되는 중앙값 이미지 프레임(median image frame)에 비교하는 것에 의해 상기 결함을 검출하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  23. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼 상에 인쇄되는 레티클 인스턴스의 이미지 프레임을 렌더링된 설계에 비교하는 것에 의해, 상기 결함을 검출하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  24. 제1항에 있어서,
    상기 핫 임계치는 로컬의 다수의 다이 적응 임계치(local multiple die adaptive threshold)를 포함하는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  25. 제1항에 있어서,
    상기 하나 이상의 이미지 프로세싱 컴포넌트는 또한, 상기 검출된 결함에 대한 정보를, 상기 하나 이상의 이미지 프로세싱 컴포넌트의 노드마다의 공유 메모리에 저장하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  26. 제25항에 있어서,
    상기 검출된 결함에 대해 상기 공유 메모리 내에 저장되는 상기 정보는, 상기 웨이퍼 상의 하나 이상의 제1 다이 행에서 검출되는 결함에만 대한 정보를 포함하는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  27. 제26항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 리피터 결함과 동일한 다이 상대 위치(same die relative location)에서 상기 하나 이상의 제1 다이 행의 인접 다이 내에서 검출되는 결함을 식별하는 것 및 비 리피터 결함(non-repeater defect)과 동일한 다이 상대 위치에서 상기 하나 이상의 제1 다이 행의 상기 인접한 다이 내에서 검출되지 않는 결함을 식별하는 것에 의해, 상기 리피터 결함인 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  28. 제27항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 리피터 결함과 동일한 다이 상대 위치에서 상기 웨이퍼 상의 다른 다이 행의 인접한 다이 내에서 검출되는 결함을 식별하는 것 및 비 리피터 결함과 동일한 다이 상대 위치에서 상기 다른 다이 행의 상기 인접한 다이 내에서 검출되지 않는 결함을 식별하는 것에 의해, 상기 리피터 결함인 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  29. 제28항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 비 리피터 결함과 동일한 다이 상대 위치에서 상기 웨이퍼에 대해 생성되는 상기 이미지의 부분을 추출하는 것 및 로컬 결함 검출 알고리즘을 상기 이미지의 상기 추출된 부분에 적용하는 것에 의해 상기 비 리피터 결함과 동일한 다이 상대 위치에서 검출되지 않은 결함이 반복하는지를 결정하는 것에 의해, 상기 리피터 결함인 상기 결함을 식별하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  30. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 웨이퍼에 대해 생성되는 상기 이미지를, 서브 픽셀 정확도를 갖는 상기 웨이퍼에 대한 설계에 정렬하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  31. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 리피터 결함의 속성(attribute), 상기 리피터 결함에 대응하는 상기 이미지의 성질(property), 또는 이들의 조합에 기초하여 수행되는 머신 러닝 기술을 사용하여, 상기 리피터 결함 중 어떤 것이 주목하는 결함인지 그리고 상기 리피터 결함 중 어떤 것이 방해물(nuisance)인지를 결정하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  32. 제1항에 있어서,
    상기 리피터 결함 검출 알고리즘은 또한, 상기 리피터 결함 검출 알고리즘에 의해 프로세싱되는 상기 이미지의 두 부분에서 공통의 정렬 타겟을 두 개의 상이한 작업으로서 각각 식별하는 것, 상기 공통 정렬 타겟을 사용하여 상기 두 개의 상이한 작업을 서로에 대해 정렬하는 것, 상기 정렬의 결과에 기초하여 정렬 오프셋을 결정하는 것, 및 상기 정렬 오프셋을 상기 다른 작업에 적용하는 것에 의해 상기 다른 작업을 상기 두 개의 상이한 작업에 정렬하는 것에 의해, 상기 웨이퍼에 대해 생성되는 상기 이미지를 서로에 대해 정렬하도록 구성되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  33. 제32항에 있어서,
    상기 두 개의 상이한 작업은 상기 웨이퍼 상의 상이한 다이 행 내에 위치되는 것인, 레티클 상의 결함을 검출하도록 구성되는 시스템.
  34. 레티클 상의 결함을 검출하기 위한 컴퓨터 구현 방법을 수행하기 위한 하나 이상의 컴퓨터 서브시스템 상에서 실행되는 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체로서, 상기 컴퓨터 구현 방법은:
    웨이퍼에 대해 생성되는 이미지를 검사 서브시스템에 의해 획득하는 단계 - 리소그래피 프로세스에서 상기 웨이퍼 상에 피쳐를 인쇄하기 위해 레티클이 사용되고, 상기 획득하는 단계는 상기 하나 이상의 컴퓨터 서브시스템에 포함되는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행됨 - ;
    상기 하나 이상의 컴퓨터 서브시스템은, 상기 웨이퍼 및 상기 레티클에 대해 생성되는 정보를 유저에게 제공하도록 그리고 상기 유저로부터 지시를 수신하도록 구성되는 메인 유저 인터페이스를 포함함; 및
    상기 하나 이상의 컴퓨터 서브시스템은, 상기 하나 이상의 이미지 프로세싱 컴포넌트와 상기 메인 유저 인터페이스 컴포넌트 사이의 인터페이스를 제공하도록 그리고 상기 검사 서브시스템의 하나 이상의 하드웨어 엘리먼트를 제어하도록 구성되는 인터페이스 컴포넌트를 더 포함함;
    리피터 결함 검출 알고리즘을, 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득되는 상기 이미지에 적용하는 것에 의해 리피터 결함 검출을 수행하는 단계 - 상기 리피터 결함 검출 알고리즘은, 핫 임계치를 사용하여 상기 웨이퍼 상에서 결함을 검출하도록 그리고 리피터 결함인 상기 결함을 식별하도록 구성되고, 상기 리피터 결함 검출은 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행됨 - ;
    상기 리피터 결함에만 대한 정보를 포함하는 검사 결과를 상기 하나 이상의 이미지 프로세싱 컴포넌트로부터 상기 인터페이스 컴포넌트로 전송하는 단계; 및
    상기 웨이퍼 상에 검출되는 상기 리피터 결함에 기초하여 상기 레티클 상의 결함을 식별하는 단계 - 상기 레티클 상의 상기 결함을 식별하는 단계는 상기 하나 이상의 컴퓨터 서브시스템에 의해 수행됨 - 를 포함하는, 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체.
  35. 레티클 상의 결함을 검출하기 위한 컴퓨터 구현 방법으로서,
    웨이퍼에 대해 생성되는 이미지를 검사 서브시스템에 의해 획득하는 단계 - 리소그래피 프로세스에서 상기 웨이퍼 상에 피쳐를 인쇄하기 위해 레티클이 사용되고, 상기 획득하는 단계는 하나 이상의 컴퓨터 서브시스템에 포함되는 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행됨 - ;
    상기 하나 이상의 컴퓨터 서브시스템은, 상기 웨이퍼 및 상기 레티클에 대해 생성되는 정보를 유저에게 제공하도록 그리고 상기 유저로부터 지시를 수신하도록 구성되는 메인 유저 인터페이스를 포함함; 및
    상기 하나 이상의 컴퓨터 서브시스템은, 상기 하나 이상의 이미지 프로세싱 컴포넌트와 상기 메인 유저 인터페이스 컴포넌트 사이의 인터페이스를 제공하도록 그리고 상기 검사 서브시스템의 하나 이상의 하드웨어 엘리먼트를 제어하도록 구성되는 인터페이스 컴포넌트를 더 포함함;
    리피터 결함 검출 알고리즘을, 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 획득되는 상기 이미지에 적용하는 것에 의해 리피터 결함 검출을 수행하는 단계 - 상기 리피터 결함 검출 알고리즘은, 핫 임계치를 사용하여 상기 웨이퍼 상에서 결함을 검출하도록 그리고 리피터 결함인 상기 결함을 식별하도록 구성되고, 상기 리피터 결함 검출은 상기 하나 이상의 이미지 프로세싱 컴포넌트에 의해 수행됨 - ;
    상기 리피터 결함에만 대한 정보를 포함하는 검사 결과를 상기 하나 이상의 이미지 프로세싱 컴포넌트로부터 상기 인터페이스 컴포넌트로 전송하는 단계; 및
    상기 웨이퍼 상에 검출되는 상기 리피터 결함에 기초하여 상기 레티클 상의 결함을 식별하는 단계 - 상기 레티클 상의 상기 결함을 식별하는 단계는 상기 하나 이상의 컴퓨터 서브시스템에 의해 수행됨 - 를 포함하는, 레티클 상의 결함을 검출하기 위한 컴퓨터 구현 방법.
KR1020197016303A 2016-11-10 2017-11-08 고감도 리피터 결함 검출 KR102295269B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201662420409P 2016-11-10 2016-11-10
US62/420,409 2016-11-10
US201762443810P 2017-01-09 2017-01-09
US62/443,810 2017-01-09
US201762455948P 2017-02-07 2017-02-07
US62/455,948 2017-02-07
US15/804,980 2017-11-06
US15/804,980 US10395358B2 (en) 2016-11-10 2017-11-06 High sensitivity repeater defect detection
PCT/US2017/060589 WO2018089459A1 (en) 2016-11-10 2017-11-08 High sensitivity repeater defect detection

Publications (2)

Publication Number Publication Date
KR20190069597A true KR20190069597A (ko) 2019-06-19
KR102295269B1 KR102295269B1 (ko) 2021-08-27

Family

ID=62064714

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197016303A KR102295269B1 (ko) 2016-11-10 2017-11-08 고감도 리피터 결함 검출

Country Status (7)

Country Link
US (1) US10395358B2 (ko)
KR (1) KR102295269B1 (ko)
CN (1) CN109964115B (ko)
IL (1) IL265905B (ko)
SG (1) SG11201903715XA (ko)
TW (1) TWI726169B (ko)
WO (1) WO2018089459A1 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6549644B2 (ja) * 2017-06-27 2019-07-24 ファナック株式会社 機械学習装置、ロボット制御システム及び機械学習方法
US10748271B2 (en) * 2018-04-25 2020-08-18 Applied Materials Israel Ltd. Method of defect classification and system thereof
JP6675433B2 (ja) * 2018-04-25 2020-04-01 信越化学工業株式会社 欠陥分類方法、フォトマスクブランクの選別方法、およびマスクブランクの製造方法
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US11151711B2 (en) * 2018-06-06 2021-10-19 Kla-Tencor Corporation Cross layer common-unique analysis for nuisance filtering
CN112955926A (zh) * 2018-10-23 2021-06-11 Asml荷兰有限公司 用于自适应对准的方法和装置
JP2022043365A (ja) 2018-11-19 2022-03-16 株式会社日立ハイテク 検査装置、検査方法、欠陥検出プログラム
US11138722B2 (en) * 2018-12-21 2021-10-05 Kla-Tencor Corporation Differential imaging for single-path optical wafer inspection
US10957034B2 (en) * 2019-01-17 2021-03-23 Applied Materials Israel Ltd. Method of examination of a specimen and system thereof
US11276161B2 (en) * 2019-02-26 2022-03-15 KLA Corp. Reference image generation for semiconductor applications
US11120546B2 (en) 2019-09-24 2021-09-14 Kla Corporation Unsupervised learning-based reference selection for enhanced defect inspection sensitivity
US11551344B2 (en) * 2019-12-09 2023-01-10 University Of Central Florida Research Foundation, Inc. Methods of artificial intelligence-assisted infrastructure assessment using mixed reality systems
US11562934B2 (en) 2020-01-21 2023-01-24 Samsung Electronics Co., Ltd. Manufacturing method of semiconductor device
US11328411B2 (en) * 2020-05-04 2022-05-10 KLA Corp. Print check repeater defect detection
US11150200B1 (en) 2020-06-15 2021-10-19 Mitutoyo Corporation Workpiece inspection and defect detection system indicating number of defect images for training
US11430105B2 (en) 2020-06-15 2022-08-30 Mitutoyo Corporation Workpiece inspection and defect detection system including monitoring of workpiece images
US11410417B2 (en) * 2020-08-17 2022-08-09 Google Llc Modular system for automatic hard disk processing and verification
US11810284B2 (en) 2020-08-21 2023-11-07 Kla Corporation Unsupervised learning for repeater-defect detection
JP2022103692A (ja) * 2020-12-28 2022-07-08 京セラドキュメントソリューションズ株式会社 画像処理装置、画像処理方法、および画像処理プログラム
US11614480B2 (en) * 2021-06-08 2023-03-28 Kla Corporation System and method for Z-PAT defect-guided statistical outlier detection of semiconductor reliability failures
EP4148499A1 (en) * 2021-09-09 2023-03-15 ASML Netherlands B.V. Patterning device defect detection systems and methods
US11756186B2 (en) 2021-09-15 2023-09-12 Mitutoyo Corporation Workpiece inspection and defect detection system utilizing color channels
TWI832180B (zh) * 2022-03-23 2024-02-11 鴻海精密工業股份有限公司 瑕疵檢測方法及系統
US11922619B2 (en) 2022-03-31 2024-03-05 Kla Corporation Context-based defect inspection
US20230314336A1 (en) 2022-03-31 2023-10-05 Kla Corporation Multi-mode optical inspection

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080100844A1 (en) * 2006-10-31 2008-05-01 Negevtech, Ltd. Defect detection through image comparison using relative measures
KR20080080130A (ko) * 2005-11-18 2008-09-02 케이엘에이-텐코 테크놀로지스 코퍼레이션 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및시스템
KR20120125273A (ko) * 2010-01-11 2012-11-14 케이엘에이-텐코 코포레이션 검사 유도 오버레이 메트롤러지
KR20150086302A (ko) * 2013-01-30 2015-07-27 가부시키가이샤 히다치 하이테크놀로지즈 결함 관찰 방법 및 결함 관찰 장치
KR20150110563A (ko) * 2013-01-07 2015-10-02 케이엘에이-텐코 코포레이션 설계 데이터 공간에서 검사 시스템 출력의 위치 결정
US20160061745A1 (en) * 2014-08-27 2016-03-03 Kla-Tencor Corporation Repeater Detection
KR20160119170A (ko) * 2014-02-06 2016-10-12 케이엘에이-텐코 코포레이션 표준 기준 이미지로부터 결정된 속성에 기초한 결함 검출 및 분류

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4351522B2 (ja) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ パターン欠陥検査装置およびパターン欠陥検査方法
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
DE102009045008A1 (de) * 2008-10-15 2010-04-29 Carl Zeiss Smt Ag EUV-Lithographievorrichtung und Verfahren zum Bearbeiten einer Maske
US9601393B2 (en) * 2009-02-06 2017-03-21 Kla-Tencor Corp. Selecting one or more parameters for inspection of a wafer
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
JP5771561B2 (ja) 2012-05-30 2015-09-02 株式会社日立ハイテクノロジーズ 欠陥検査方法および欠陥検査装置
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
JP6025489B2 (ja) * 2012-10-11 2016-11-16 株式会社ニューフレアテクノロジー 検査装置および検査装置システム
US10192303B2 (en) * 2012-11-12 2019-01-29 Kla Tencor Corporation Method and system for mixed mode wafer inspection
US9053527B2 (en) * 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9509112B2 (en) * 2013-06-11 2016-11-29 Kla-Tencor Corporation CW DUV laser with improved stability
US9183624B2 (en) * 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
US9518935B2 (en) * 2013-07-29 2016-12-13 Kla-Tencor Corporation Monitoring changes in photomask defectivity
CN104465433B (zh) * 2013-09-23 2017-05-17 中芯国际集成电路制造(上海)有限公司 重复性缺陷的分析方法
CN104022050A (zh) * 2014-04-22 2014-09-03 上海华力微电子有限公司 一种晶圆批次中重复位置缺陷的检测方法
US9262821B2 (en) * 2014-05-12 2016-02-16 Kla-Tencor Corp. Inspection recipe setup from reference image variation
CN104103541B (zh) * 2014-08-01 2019-07-09 上海华力微电子有限公司 一种对缺陷进行选择性检测的方法
US9766186B2 (en) * 2014-08-27 2017-09-19 Kla-Tencor Corp. Array mode repeater detection
US9830421B2 (en) 2014-12-31 2017-11-28 Kla-Tencor Corp. Alignment of inspection to design using built in targets
US10140698B2 (en) * 2015-08-10 2018-11-27 Kla-Tencor Corporation Polygon-based geometry classification for semiconductor mask inspection
US9735069B2 (en) * 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
WO2017108444A1 (en) * 2015-12-24 2017-06-29 Asml Netherlands B.V. Method and apparatus for inspection
US9916965B2 (en) 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US10648924B2 (en) 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10360477B2 (en) 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080080130A (ko) * 2005-11-18 2008-09-02 케이엘에이-텐코 테크놀로지스 코퍼레이션 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및시스템
JP2016106228A (ja) * 2005-11-18 2016-06-16 ケーエルエー−テンカー コーポレイション 検査データと組み合わせて設計データを使用するための方法
US20080100844A1 (en) * 2006-10-31 2008-05-01 Negevtech, Ltd. Defect detection through image comparison using relative measures
KR20120125273A (ko) * 2010-01-11 2012-11-14 케이엘에이-텐코 코포레이션 검사 유도 오버레이 메트롤러지
KR20150110563A (ko) * 2013-01-07 2015-10-02 케이엘에이-텐코 코포레이션 설계 데이터 공간에서 검사 시스템 출력의 위치 결정
KR20150086302A (ko) * 2013-01-30 2015-07-27 가부시키가이샤 히다치 하이테크놀로지즈 결함 관찰 방법 및 결함 관찰 장치
KR20160119170A (ko) * 2014-02-06 2016-10-12 케이엘에이-텐코 코포레이션 표준 기준 이미지로부터 결정된 속성에 기초한 결함 검출 및 분류
US20160061745A1 (en) * 2014-08-27 2016-03-03 Kla-Tencor Corporation Repeater Detection

Also Published As

Publication number Publication date
US20180130199A1 (en) 2018-05-10
US10395358B2 (en) 2019-08-27
CN109964115A (zh) 2019-07-02
TW201830134A (zh) 2018-08-16
SG11201903715XA (en) 2019-05-30
IL265905B (en) 2021-02-28
WO2018089459A1 (en) 2018-05-17
CN109964115B (zh) 2021-04-13
IL265905A (en) 2019-06-30
TWI726169B (zh) 2021-05-01
KR102295269B1 (ko) 2021-08-27

Similar Documents

Publication Publication Date Title
KR102295269B1 (ko) 고감도 리피터 결함 검출
KR102300550B1 (ko) 검사를 위한 고해상도 전체 다이 이미지 데이터의 사용
KR102379872B1 (ko) 결함 특유적 정보를 이용한 웨이퍼 상의 결함 검출
KR102019534B1 (ko) 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
KR102303670B1 (ko) 프로세스 윈도우 특성묘사를 위한 가상 검사 시스템
KR102326402B1 (ko) 포커스 용적 측정 방법을 이용한 웨이퍼 검사
US11204332B2 (en) Repeater defect detection
KR20170033875A (ko) 다중 모드들을 갖는 가상 검사 시스템
KR102079420B1 (ko) 통합된 멀티 패스 검사
CN115485628B (zh) 图样检查的重复缺陷检测
US10557802B2 (en) Capture of repeater defects on a semiconductor wafer
KR20190142418A (ko) 리피터 분석을 위한 높은 정확도의 상대적 결함 위치
US20240177294A1 (en) Print check repeater defect detection

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant