KR20180010315A - 물리 기상 증착 프로세스를 통해 유전체 막들을 증착하기 위한 방법들 - Google Patents

물리 기상 증착 프로세스를 통해 유전체 막들을 증착하기 위한 방법들 Download PDF

Info

Publication number
KR20180010315A
KR20180010315A KR1020187001462A KR20187001462A KR20180010315A KR 20180010315 A KR20180010315 A KR 20180010315A KR 1020187001462 A KR1020187001462 A KR 1020187001462A KR 20187001462 A KR20187001462 A KR 20187001462A KR 20180010315 A KR20180010315 A KR 20180010315A
Authority
KR
South Korea
Prior art keywords
vapor deposition
physical vapor
process chamber
deposition process
processing
Prior art date
Application number
KR1020187001462A
Other languages
English (en)
Other versions
KR102513422B1 (ko
Inventor
웨이민 쳉
탄 엑스. 응구옌
야나 쳉
용 카오
다니엘 리 딜
스리니바스 구길라
롱준 왕
시안민 탕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180010315A publication Critical patent/KR20180010315A/ko
Application granted granted Critical
Publication of KR102513422B1 publication Critical patent/KR102513422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • H01L21/203
    • H01L21/205

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

일부 실시예들에서, 물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법은: (a) 물리 기상 증착 프로세스를 통해 기판의 제1 표면의 정상에 제1 두께로 유전체 층을 증착하는 단계; (b) 물리 기상 증착 프로세스 챔버의 프로세싱 구역에 제1 플라즈마 형성 가스를 제공하는 단계 ― 제1 플라즈마 형성 가스는 수소를 포함하지만 탄소는 포함하지 않음 ―; (c) 물리 기상 증착 프로세스 챔버의 프로세싱 구역 내에서 제1 플라즈마 형성 가스로부터 제1 플라즈마를 형성하기 위해 제1 양의 바이어스 전력을 기판 지지부에 제공하는 단계; (d) 유전체 층을 제1 플라즈마에 노출시키는 단계; 및 (e) 유전체 막을 최종 두께로 증착하기 위해 (a) 내지 (d)를 반복하는 단계를 포함한다.

Description

물리 기상 증착 프로세스를 통해 유전체 막들을 증착하기 위한 방법들
[0001] 본 개시내용의 실시예들은 일반적으로, 물리 기상 증착 프로세스를 통해 유전체 막들을 증착하기 위한 방법들에 관한 것이다.
[0002] 유전체 막들은 다양한 반도체 제조 애플리케이션들에서 사용된다. 통상적으로, 유전체 막들은 화학 기상 증착(CVD; chemical vapor deposition) 프로세스 또는 물리 기상 증착(PVD; physical vapor deposition) 프로세스를 통해 형성될 수 있다. CVD 프로세스를 통해 증착되는 유전체 막들은, PVD 프로세스를 통해 증착되는 유전체 막들과 비교하여, 개선된 전기적 특성들, 이를테면, 파괴 전압(Vbd) 및 누설 전류를 제공할 수 있지만, PVD 프로세스는 CVD 프로세스와 비교하여 더 높은 증착 레이트들 및 더 적은 재료 사용량을 통한 개선된 스루풋의 이익들을 제공한다.
[0003] 따라서, 본 발명자들은, 물리 기상 증착 프로세스들을 통해 유전체 막들을 증착하기 위한 개선된 방법들을 제공한다.
[0004] 본 개시내용의 실시예들은, 물리 기상 증착 프로세스들을 통해 유전체 막들을 증착하기 위한 방법들을 포함한다. 일부 실시예들에서, 물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법은: (a) 물리 기상 증착 프로세스를 통해 기판의 제1 표면의 정상에 제1 두께로 유전체 층을 증착하는 단계; (b) 물리 기상 증착 프로세스 챔버의 프로세싱 구역에 제1 플라즈마 형성 가스를 제공하는 단계 ― 제1 플라즈마 형성 가스는 수소를 포함하지만 탄소는 포함하지 않음 ―; (c) 물리 기상 증착 프로세스 챔버의 프로세싱 구역 내에서 제1 플라즈마 형성 가스로부터 제1 플라즈마를 형성하기 위해 제1 양(amount)의 바이어스 전력을 기판 지지부에 제공하는 단계; (d) 유전체 층을 제1 플라즈마에 노출시키는 단계; 및 (e) 유전체 층을 증착하기 위해 (a) 내지 (d)를 반복하는 단계를 포함한다.
[0005] 일부 실시예들에서, 물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법은: (a) 물리 기상 증착 프로세스를 통해 기판의 제1 표면의 정상에 대략 5 옹스트롬 내지 대략 60 옹스트롬의 제1 두께로 유전체 층을 증착하는 단계; (b) 물리 기상 증착 프로세스 챔버의 프로세싱 구역에 제1 플라즈마 형성 가스를 제공하는 단계 ― 제1 플라즈마 형성 가스는 수소를 포함하지만 탄소는 포함하지 않으며, 불활성 가스를 더 포함함 ―; (c) 물리 기상 증착 프로세스 챔버의 프로세싱 구역 내에서 플라즈마 형성 가스로부터 제1 플라즈마를 형성하기 위해 제1 양의 바이어스 전력을 기판 지지부에 제공하는 단계; (d) 유전체 층을 대략 10초 내지 대략 30초 동안 제1 플라즈마에 노출시키는 단계; 및 (e) 유전체 층을 최종 두께로 증착하기 위해 (a) 내지 (d)를 반복하는 단계를 포함한다.
[0006] 일부 실시예들에서, 실행될 때, 물리 기상 증착 프로세스 챔버로 하여금, 물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법을 수행하게 하는 명령들이 저장된 컴퓨터 판독가능 매체가 본원에서 제공된다. 방법은 본원에서 개시되는 실시예들 중 임의의 실시예를 포함할 수 있다.
[0007] 본 개시내용의 다른 그리고 추가의 실시예들은 아래에서 설명된다.
[0008] 앞서 간략히 요약되고 하기에서 보다 상세히 논의되는 본 개시내용의 실시예들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 일부 실시예들에 따른 프로세스 챔버의 개략적 단면도를 도시한다.
[0010] 도 2는 본 개시내용의 일부 실시예들에 따른, 기판을 프로세싱하는 방법의 흐름도를 도시한다.
[0011] 도 3a-3e는 본 개시내용의 일부 실시예들에 따른, 기판을 프로세싱하는 스테이지들을 도시한다.
[0012] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 도면들은 실척대로 그려지지 않았으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 피처(feature)들은 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있다.
[0013] 본 개시내용은 물리 기상 증착(PVD) 프로세스들을 통해 유전체 막들을 증착하는 방법들에 관한 것이다. 적어도 일부 실시예들에서, 본원에서 설명되는 본 발명의 방법들은 유리하게, PVD 프로세스를 통해 증착되는 유전체 층의 전기적 특성들, 이를테면, 파괴 전압 또는 누설 전류 중 하나 또는 그 초과를 개선한다.
[0014] 도 1은 본 개시내용의 일부 실시예들에 따른, 예시적 물리 기상 증착(PVD) 프로세싱 시스템(100)의 간략화된 단면도를 도시한다. 도 2는 도 1에서 설명된 타입의 물리 기상 증착 프로세스 시스템에 배치된 기판의 정상에 유전체 층을 증착하기 위한 방법(200)의 흐름도를 도시한다. 방법(200)은 도 3a-3e에서 도시된 바와 같이 기판을 프로세싱하는 스테이지들과 관련하여 아래에서 설명된다. 본원에서 설명되는 방법(200)을 수행하기에 적절한 PVD 챔버들의 예들은, CIRRUS™, AVENIR™ 및 IMPULSE PVD 프로세싱 챔버들을 포함하며, 이들 모두는 캘리포니아, 산타 클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능하다.
[0015] 도 1에 도시된 프로세스 챔버(104)는 기판 지지부(106), 선택적 백킹 플레이트 어셈블리(160)를 갖는 타겟 어셈블리(114) 및 백킹 플레이트 어셈블리(160)의, 기판 지지부를 향하는 측 상에 배치된 소스 재료(113)를 포함한다. 프로세스 챔버(104)는 RF 에너지를 타겟 어셈블리(114)에 제공하기 위한 RF 전력원(182)을 더 포함한다. 예시적인 PVD 프로세싱 시스템(100)에 관한 추가의 세부사항들은 아래에서 논의된다.
[0016] 방법(200)은, 202에서, 물리 기상 증착 프로세스를 통해 기판의 제1 표면의 정상에 제1 두께로 유전체 층을 증착함으로써 시작된다. 도 3a는 제1 표면(302)을 갖는 기판(300)을 도시한다. 기판(300)은 원형 웨이퍼, 정사각형, 직사각형 등과 같은 임의의 적절한 기하학적 구조를 갖는 임의의 적절한 기판일 수 있다. 기판(300)은 실리콘(Si), 실리콘 옥사이드(SiO2), 실리콘 니트라이드(SiN), 유리, 다른 유전체 재료들 등 중 하나 또는 그 초과와 같은 임의의 적절한 재료들을 포함할 수 있고, 기판(300)의 정상에 배치되는 다른 재료들의 하나 또는 그 초과의 층들을 가질 수 있다. 기판(300)은 블랭크 기판(예컨대, 상부에 어떠한 피처들도 배치되지 않음)일 수 있거나, 또는 기판(300)은 비아들 또는 트렌치들과 같은, 기판에 또는 기판 상에 형성된 피처들, 또는 예컨대 TSV(through silicon via) 애플리케이션들 등에 대한 높은 종횡비 피처들을 가질 수 있다.
[0017] 도 3b는 기판(300)의 제1 표면(302)의 정상에 증착된 유전체 층(304)을 도시한다. 유전체 층은 반도체 제조 프로세스에서 사용되는 임의의 적절한 유전체 층이다. 예컨대, 일부 실시예들에서, 유전체 층은 탄탈 옥사이드(TaO), 알루미늄 옥시니트라이드(AlOxNy), 하프늄 옥사이드(HfOx), 티타늄 옥시니트라이드(TiOxNy), 실리콘 니트라이드(SiN) 등 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 유전체 층(304)은 대략 5 옹스트롬 내지 대략 60 옹스트롬의 제1 두께로 증착된다.
[0018] 일부 실시예들에서, 유전체 층(304)은, 물리 기상 증착 프로세스 챔버(예컨대, 프로세스 챔버(104))의 프로세싱 구역(120)에 제2 플라즈마 형성 가스를 제공함으로써 형성된다. 플라즈마-형성 가스는 하나 또는 그 초과의 불활성 가스들, 이를테면, 희가스, 또는 다른 불활성 가스들을 포함할 수 있다. 예컨대, 적절한 플라즈마 형성 가스들의 비-제한적인 예들은 아르곤(Ar), 헬륨(He), 크세논(Xe), 네온(Ne), 수소(H2), 질소(N2), 산소(O2) 등 중 하나 또는 그 초과를 포함한다. 프로세스 챔버(104)의 프로세싱 구역(120) 내에 제2 플라즈마를 형성하기 위해, 기판에 대향하여 배치된 타겟 어셈블리(114)에 제2 양의 RF 전력이 제공된다. RF 전력은 프로세싱 구역(120) 내에 플라즈마를 형성하기에 적절한 양의 RF 전력이고, 챔버 사이즈, 기하학적 구조 등에 따라 변화될 수 있다. 예컨대, 일부 실시예들에서, 제1 양의 RF 전력은 대략 500 내지 대략 20000 와트이다. 제2 플라즈마는 유전체 층을 기판 상에 증착하기 위해 타겟 어셈블리(114)로부터 소스 재료(113)를 스퍼터링하는 데 사용된다. 일부 실시예들에서, 소스 재료(113)는 금속들, 금속 합금들 등 중 하나 또는 그 초과를 포함할 수 있다. 예컨대, 소스 재료(113)는 티타늄(Ti), 탄탈(Ta), 구리(Cu), 코발트(Co), 텅스텐(W), 알루미늄(Al) 등 중 하나 또는 그 초과를 포함할 수 있다. 일부 실시예들에서, 유전체 층을 증착하기 위한 일반적 프로세스 조건들은, 대략 25 내지 대략 400℃의, 유전체 층의 증착 동안의 물리 기상 증착 프로세스 챔버 내의 온도를 포함하고, 유전체 층의 증착 동안의 물리 기상 증착 프로세스 챔버 내의 압력은 대략 3 mTorr 내지 대략 40 mTorr이다.
[0019] 그 다음, 204에서, 물리 기상 증착 프로세스 챔버의 프로세싱 구역에 제1 플라즈마 형성 가스가 제공된다. 제1 플라즈마 형성 가스는 수소를 포함하지만 탄소는 포함하지 않는다. 일부 실시예들에서, 제1 플라즈마 형성 가스는 수소(H2) 또는 암모니아(NH3) 중 하나 또는 그 초과이다. 본 발명자들은, CH4와 같은 탄화수소 함유 가스들이, 증착된 유전체 층의 개선된 전기적 특성들을 제공하지 않는다는 것을 관찰하였다. 제1 플라즈마 형성 가스는, 물리 기상 증착 프로세스 챔버 내에서 플라즈마를 스트라이킹(striking)하기에 적절한 가스, 예컨대 아르곤, 헬륨, 질소 등 중 하나 또는 그 초과와 같은 불활성 가스를 더 포함한다.
[0020] 그 다음, 206에서, 물리 기상 증착 프로세스 챔버의 프로세싱 구역(120) 내에서, 도 3c에 도시된 바와 같이, 제1 플라즈마(306)를 형성하기 위해 제1 양의 바이어스 전력이 기판 지지부에 제공된다. 도 1에 도시된 바와 같이, 프로세싱 구역(120) 내에 플라즈마를 형성하기 위해, RF 바이어스 전력원(134)이 기판 지지부(106)에 커플링될 수 있다. RF 전력은 프로세싱 구역(120) 내에 플라즈마를 형성하기에 적절한 양의 RF 전력이고, 챔버 사이즈, 기하학적 구조 등에 따라 변화될 수 있다. 예컨대, RF 바이어스 전력원(134)에 의해 공급되는 RF 에너지(즉, 제1 양의 바이어스 전력)는 대략 13.5 MHz 내지 대략 60 MHz의 주파수 범위일 수 있다. 일부 실시예들에서, RF 바이어스 전력은 대략 50 와트 내지 대략 1500 와트의 범위, 예컨대 대략 200 와트로 공급될 수 있다. 위에서 설명된 제2 플라즈마와 달리, 제1 플라즈마(306)는 타겟 어셈블리(114)로부터 소스 재료(113)를 스퍼터링하지 않는다.
[0021] 그 다음, 208에서, 그리고 도 3c에 도시된 바와 같이, 유전체 층(304)은 제1 플라즈마(306)에 노출된다. 일부 실시예들에서, 기판은 대략 10초 내지 대략 30초 동안 수소 플라즈마에 노출된다. 일부 실시예들에서, 유전체 층을 증착하기 위한 일반적 프로세스 조건들은, 대략 25 내지 대략 400℃, 예컨대 대략 375℃의, 제1 플라즈마(306)에 대한 노출 동안의 물리 기상 증착 프로세스 챔버 내의 온도, 및 적어도 대략 5 mTorr, 예컨대 대략 5 mTorr 내지 대략 40 mTorr의, 제1 플라즈마(306)에 대한 노출 동안의 물리 기상 증착 프로세스 챔버 내의 압력을 포함한다.
[0022] 이론에 구애되기를 원하지 않으면서, 본 발명자들은, 제1 플라즈마 내의 이원자 수소 분자들이, 유전체 층(304)의 표면(308)에서 개방 결합(open bond)들에 부착되는(adhere) 단원자 수소 분자들로 분리되어, 유전체 층의 전기적 특성들의 개선을 유발한다는 것을 관찰하였다.
[0023] 그 다음, 210에서, 유전체 층을 최종 두께로 증착하기 위해 202-208이 반복된다. 예컨대, 도 3d-3e에서 도시된 바와 같이, 202-208에 따라 유전체 층(304)이 형성된 후에, 제2 유전체 층(310)이 유전체 층(304) 상에 제2 두께로 증착되고, 제2 유전체 층(310)의 전기적 특성들을 개선하기 위해 제1 플라즈마(306)에 노출된다. 제2 유전체 층(310)은 유전체 층(304)과 동일한 재료이다. 일부 실시예들에서, 제2 두께는 대략 5 옹스트롬 내지 대략 60 옹스트롬이다. 일부 실시예들에서, 제2 두께는 제1 두께와 동일하거나 또는 실질적으로 동일하다.
[0024] 유전체 층(304), 제2 유전체 층(310), 및 위의 시퀀스를 추가로 반복함으로써 증착되는 임의의 후속적으로 증착되는 유전체 층들은, 최종 두께를 갖는 유전체 층을 제공한다. 본원에서 사용되는 바와 같은 "최종 두께"라는 용어는 방법(200)의 완료 다음의 유전체 층의 두께(예컨대, 본원에서 설명된 방법(200)에 따라 증착되는 후속적으로 증착되는 유전체 층들의 두께들의 합)를 나타낸다. 최종 두께는 애플리케이션에 의해 변화될 수 있지만, 일부 실시예들에서, 최종 두께는 대략 500 내지 대략 600 옹스트롬이다. 유전체 층의 두께에 대한 추가의 변화는, 추가의 처리, 증착, 에칭, 폴리싱 등과 같은 후속 프로세싱으로 인해 발생할 수 있다.
[0025] 본 발명자들은, 더 짧은 시간 기간들 동안 제1 플라즈마에 대한 기판 노출의 빈도를 증가시키는 것이, 더 긴 시간 기간들 동안의 더 적은 빈도의 노출과 비교하여, 전기적 특성들의 더 큰 개선을 제공한다는 것을 관찰하였다. 예컨대, 일부 실시예들에서, 대략 10초 동안의 제1 플라즈마에 대한 유전체 층의 30회의 노출들은 각각, 대략 9.92의 파괴 전압 및 대략 1.2E-09의 누설 전류를 갖는 유전체 층을 형성하는 반면, 30초에서의 10회의 노출들은 각각, 대략 9.13의 파괴 전압 및 대략 1.6E-09의 누설 전류를 갖는 유전체 층을 형성한다. 일부 실시예들에서, 본 발명자들은, 대략 5 내지 대략 10 옹스트롬의 제1 두께를 갖는 유전체 층을, 유전체 층이 최종 두께에 도달할 때까지, 각각 대략 10초 동안 제1 플라즈마에 노출시키는 것은, 유전체 층의 파괴 전압 및 누설 전류 특성들을 유리하게 개선한다는 것을 관찰하였다.
[0026] CVD 프로세스를 통해 증착되는 유전체 막들은, PVD 프로세스와 비교하여, 개선된 전기적 특성들, 이를테면, 파괴 전압(Vbd) 및 누설 전류를 제공하지만, PVD 프로세스는 CVD 프로세스와 비교하여 더 높은 증착 레이트들 및 더 적은 재료 사용량을 통한 개선된 스루풋의 이익들을 제공한다. 본 발명자들은, 방법(200) 및 본원에서 설명된 실시예들을 사용하여 유전체 층을 증착하는 것이, CVD 프로세스들에 비해 스루풋 및 감소된 재료 사용량의 이득들을 유지하면서, PVD 프로세스를 통해 증착된 유전체 막의 전기적 특성들을 개선한다는 것을 관찰하였다. 예컨대, 본 발명자들은, PVD 프로세스를 통해 증착되고 방법(200)에서 설명된 바와 같은 수소 플라즈마 처리를 겪은 실리콘 니트라이드의 유전체 막은 파괴 전압(Vbd)이 9.9가 되는 반면, PVD 프로세스를 통해 증착되고 방법(200)에서 설명된 바와 같은 수소 플라즈마 처리를 겪지 않은 실리콘 니트라이드 층은 파괴 전압(Vbd)이 4.1이 되는 것을 관찰하였다. 유사하게, 본 발명자들은, PVD 프로세스를 통해 증착되고 방법(200)에서 설명된 바와 같은 수소 플라즈마 처리를 겪은 실리콘 니트라이드의 유전체 막은 누설 전류가 1.2E-9가 되는 반면, PVD 프로세스를 통해 증착되고 방법(200)에서 설명된 바와 같은 수소 플라즈마 처리를 겪지 않은 실리콘 니트라이드 층은 누설 전류가 4.3E-6이 되는 것을 관찰하였다.
[0027] 게다가, 본 발명자들은, PVD 프로세스를 통해 증착되고 방법(200)에서 설명된 바와 같은 수소 플라즈마 처리를 겪은 유전체 층이 CVD 프로세스를 통해 증착된 유전체 막과 유사한 또는 그보다 더 양호한 전기적 특성들을 제공한다는 것을 관찰하였다. 예컨대, 본 발명자들은, PVD 프로세스를 통해 증착되고 방법(200)에서 설명된 바와 같은 수소 플라즈마 처리를 겪은 실리콘 니트라이드의 유전체 막은 파괴 전압(Vbd)이 9.9가 되는 반면, CVD 프로세스를 통해 증착된 실리콘 니트라이드 층은 대략 5.6 내지 대략 7.6의 파괴 전압을 제공한다는 것을 관찰하였다. 유사하게, 본 발명자들은, PVD 프로세스를 통해 증착되고 방법(200)에서 설명된 바와 같은 수소 플라즈마 처리를 겪은 실리콘 니트라이드의 유전체 막은 누설 전류가 1.2E-9가 되는 반면, CVD 프로세스를 통해 증착된 실리콘 니트라이드 층은 대략 3E-09 내지 대략 8E-09의 누설 전류를 제공한다는 것을 관찰하였다.
[0028] 도 1을 다시 참조하면, 선택적으로 타겟 어셈블리(114)에 커플링된 제2 에너지원(183)은 플라즈마를 타겟 어셈블리(114)를 향해 지향시키기 위해 DC 전력을 타겟 어셈블리(114)에 제공할 수 있다. 일부 실시예들에서, DC 전력은 대략 200 W 내지 대략 20 킬로와트(kW)의 범위일 수 있지만, 인가되는 DC 전력의 양은 챔버 기하학적 구조(예컨대, 타겟 사이즈 등)에 따라 변화될 수 있다. 일부 실시예들에서, DC 전력은 또한, RF 전력에 대해 위에서 설명된 방식과 동일한 방식으로 타겟의 수명에 걸쳐 조정될 수 있다. DC 전력은 기판 상에서의 스퍼터링된 금속 원자들의 증착 레이트를 제어하기 위해 조정될 수 있다. 예컨대, DC 전력을 증가시키는 것은, 소스 재료(113)와의 플라즈마의 증가된 상호작용 및 타겟 어셈블리(114)로부터의 금속 원자들의 증가된 스퍼터링을 유발할 수 있다.
[0029] PVD 프로세싱 시스템(100)은 프로세스 챔버(104)의 정상에 제거가능하게 배치된 챔버 덮개(102)를 포함한다. 챔버 덮개(102)는 타겟 어셈블리(114) 및 접지 어셈블리(103)를 포함할 수 있다. 프로세스 챔버(104)는, 기판(108)을 수용하기 위한 기판 지지부(106)를 포함한다. 기판 지지부(106)는, 프로세스 챔버(104)의 챔버 벽일 수 있는 하부 접지된 인클로저 벽(110) 내에 위치될 수 있다. 하부 접지된 인클로저 벽(110)은, 챔버 덮개(102) 위에 배치된 RF 전력원(182)으로의 RF 리턴 경로가 제공되도록, 챔버 덮개(102)의 접지 어셈블리(103)에 전기적으로 커플링될 수 있다. RF 전력원(182)은 아래에서 논의되는 바와 같이 타겟 어셈블리(114)에 RF 에너지를 제공할 수 있다. 대안적으로 또는 조합되어, DC 전력원이 유사하게 타겟 어셈블리(114)에 커플링될 수 있다.
[0030] PVD 프로세싱 시스템(100)은, 타겟 어셈블리(114)의 후면측과 대향하고 그리고 타겟 어셈블리(114)의 주변 에지를 따라 타겟 어셈블리(114)에 전기적으로 커플링된 소스 분배 플레이트(158)를 포함할 수 있다. PVD 프로세싱 시스템(100)은 타겟 어셈블리(114)의 후면측과 소스 분배 플레이트(158) 간에 배치된 캐비티(170)를 포함할 수 있다. 캐비티(170)는 아래에서 논의되는 바와 같은 마그네트론 어셈블리(196)를 적어도 부분적으로 하우징(house)할 수 있다. 캐비티(170)는, 전도성 지지 링(164)의 내측 표면, 소스 분배 플레이트(158)의, 타겟을 향하는 표면, 및 타겟 어셈블리(114)(또는 백킹 플레이트 어셈블리(160))의, 소스 분배 플레이트를 향하는 표면(예컨대, 후면측)에 의해 적어도 부분적으로 정의된다.
[0031] PVD 프로세싱 시스템(100)은 마그네트론 어셈블리를 더 포함한다. 마그네트론 어셈블리는 프로세스 챔버(104) 내에서의 플라즈마 프로세싱을 돕기 위해 타겟 어셈블리(114) 근처에 회전 자기장을 제공한다. 마그네트론 어셈블리는 캐비티(170) 내에 배치된 회전가능 자석 어셈블리(148)를 포함한다. 회전가능 자석 어셈블리(148)는 프로세스 챔버(104)의 중심축(186)을 중심으로 회전한다.
[0032] 일부 실시예들에서, 마그네트론 어셈블리는 모터(176), 모터 샤프트(174), 기어 어셈블리(178), 및 회전가능 자석 어셈블리(148)를 포함한다. 회전가능 자석 어셈블리(148)는 복수의 자석들(150)을 포함하고, 아래에서 설명되는 바와 같이, 중심축(186)을 중심으로 복수의 자석들(150)을 회전시키도록 구성된다. 모터(176)는 전기 모터, 공압(pneumatic) 또는 유압(hydraulic) 드라이브, 또는 적절한 토크를 제공할 수 있는 임의의 다른 프로세스-호환가능 메커니즘일 수 있다. 회전가능 자석 어셈블리(148)가 회전될 수 있는 방법을 예시하기 위해 하나의 예시적 실시예가 본원에서 설명되지만, 다른 구성들이 또한 사용될 수 있다.
[0033] 사용 시에, 마그네트론 어셈블리는 캐비티(170) 내의 회전가능 자석 어셈블리(148)를 회전시킨다. 예컨대, 일부 실시예들에서, 회전가능 자석 어셈블리(148)를 회전시키기 위해, 모터(176), 모터 샤프트(174), 및 기어 어셈블리(178)가 제공될 수 있다. 일부 실시예들에서, 전극(154)은 프로세스 챔버(104)의 중심축(186)과 정렬되고, 마그네트론의 모터 샤프트(174)는 중심으로부터 벗어난 개구(off-center opening)를 통해 접지 플레이트(156) 내에 배치될 수 있다. 접지 플레이트(156)로부터 돌출된 모터 샤프트(174)의 단부는 모터(176)에 커플링된다. 모터 샤프트(174)는 추가로, 중심으로부터 벗어난 개구를 통해 소스 분배 플레이트(158) 내에 배치되고 기어 어셈블리(178)에 커플링된다.
[0034] 기어 어셈블리(178)는, 임의의 적절한 수단에 의해, 이를테면, 소스 분배 플레이트(158)의 최하부 표면에 커플링됨으로써 지지될 수 있다. 기어 어셈블리(178)는, 적어도 기어 어셈블리(178)의 상부 표면을 유전체 재료로 제조하는 것에 의해, 또는 기어 어셈블리(178)와 소스 분배 플레이트(158) 간에 절연체 층(도시되지 않음)을 개재(interposing)하는 것 등에 의해, 또는 모터 샤프트(174)를 적절한 유전체 재료로 구성하는 것에 의해, 소스 분배 플레이트(158)로부터 절연될 수 있다. 기어 어셈블리(178)는 추가로, 모터(176)에 의해 제공되는 회전 운동을 회전가능 자석 어셈블리(148)에 전달하기 위해 회전가능 자석 어셈블리(148)에 커플링된다. 기어 어셈블리(178)는 풀리(pulley)들, 기어들, 또는 모터(176)에 의해 제공되는 회전 운동을 전달하는 다른 적절한 수단의 사용을 통해 회전가능 자석 어셈블리(148)에 커플링될 수 있다.
[0035] 기판 지지부(106)는, 타겟 어셈블리(114)의 주 표면을 향하는 재료-수용 표면을 갖고, 스퍼터 코팅될 기판(108)을 타겟 어셈블리(114)의 주 표면과 대향하는 평면 포지션(planar position)에서 지지한다. 기판 지지부(106)는 프로세스 챔버(104)의 프로세싱 구역(120)에서 기판(108)을 지지할 수 있다. 프로세싱 구역(120)은, 프로세싱 동안의 기판 지지부(106) 위의(예컨대, 프로세싱 포지션에 있을 때의 기판 지지부(106)와 타겟 어셈블리(114) 간의) 구역으로서 정의된다.
[0036] 일부 실시예들에서, 기판 지지부(106)는, 기판(108)이 프로세스 챔버(104)의 하부 부분의 로드 락 밸브(도시되지 않음)를 통해 기판 지지부(106) 상으로 이송되고 그 후에 증착 또는 프로세싱 포지션으로 상승되는 것을 가능하게 하기 위해, 수직으로 이동가능할 수 있다. 기판 지지부(106)의 수직 이동을 가능하게 하면서 프로세스 챔버(104) 외부의 대기로부터 프로세스 챔버(104)의 내측 볼륨의 분리를 유지하기 위해, 최하부 챔버 벽(124)에 연결된 벨로우즈(122)가 제공될 수 있다. 가스 소스(126)로부터 질량 유량계(mass flow controller)(128)를 통해 프로세스 챔버(104)의 하부 부분 내로 하나 또는 그 초과의 가스들이 공급될 수 있다. 가스 소스(126)는, 프로세스 챔버(104)에 커플링된 하나 또는 그 초과의 가스 라인들을 통해, 위에서 설명된 방법(200)에서 사용되는 가스들을 제공하는 가스 박스일 수 있다. 예컨대, 제1 가스 라인은, 수소(H2)를 프로세스 챔버(104)에 제공하기 위해, 가스 소스(126)로부터 프로세스 챔버(104)에 제공될 수 있다. 제2 가스 라인은 산소(O2), 질소(N2), 또는 아르곤(Ar) 중 하나 또는 그 초과를 프로세스 챔버(104)에 제공하기 위해 가스 소스(126)로부터 프로세스 챔버(104)에 제공될 수 있다. 제3 가스 라인은 백사이드 가스(backside gas)(이를테면, 아르곤 및 수소 또는 다른 적절한 백사이드 가스의 혼합물)를 기판 지지부(106)에 제공하기 위해, 가스 소스(126)로부터 프로세스 챔버(104)에 제공될 수 있다. 프로세스 챔버(104)의 내부를 배기(exhausting)하기 위해 그리고 프로세스 챔버(104) 내부에서 적절한 압력을 유지하는 것을 가능하게 하기 위해, 배기 포트(130)가 제공되고 밸브(132)를 통해 펌프(도시되지 않음)에 커플링될 수 있다.
[0037] 프로세스 챔버(104)는, 프로세스 챔버(104)의 프로세싱 볼륨 또는 중앙 구역을 둘러싸기 위해 그리고 프로세싱으로부터의 손상 및/또는 오염으로부터 다른 챔버 컴포넌트들을 보호하기 위해 프로세스 키트 실드 또는 실드(138)를 더 포함한다. 일부 실시예들에서, 실드(138)는, 프로세스 챔버(104)의 상부 접지된 인클로저 벽(116)의 렛지(ledge)(140)에 연결될 수 있다. 도 1에 예시된 바와 같이, 챔버 덮개(102)는 상부 접지된 인클로저 벽(116)의 렛지(140) 상에 놓일 수 있다. 하부 접지된 인클로저 벽(110)과 유사하게, 상부 접지된 인클로저 벽(116)은, 챔버 덮개(102)의 접지 어셈블리(103)와 하부 접지된 인클로저 벽(116) 간에 RF 리턴 경로의 일부를 제공할 수 있다. 그러나, 이를테면, 접지된 실드(138)를 통한, 다른 RF 리턴 경로들이 가능하다.
[0038] 실드(138)는 하방향으로 연장되고, 일반적으로 프로세싱 구역(120)을 둘러싸는 일반적으로 일정한 직경을 갖는 일반적으로 튜브형 부분을 포함할 수 있다. 실드(138)는, 상부 접지된 인클로저 벽(116) 및 하부 접지된 인클로저 벽(110)의 벽들을 따라, 기판 지지부(106)의 최상부 표면 아래로 하방향으로 연장되고, 기판 지지부(106)의 최상부 표면에 도달할 때까지 상방향으로 리턴한다(예컨대, 실드(138)의 최하부에서 u-형상 부분을 형성함). 커버 링(146)은, 기판 지지부(106)가 하부의 로딩 포지션에 있을 때에는 실드(138)의 상방향으로 연장되는 내측 부분의 최상부 상에 놓이지만, 기판 지지부가 상부의 증착 포지션에 있을 때에는 기판 지지부(106)의 외측 주변부 상에 놓여서, 기판 지지부(106)를 스퍼터 증착으로부터 보호한다. 추가의 증착 링(도시되지 않음)은 기판 지지부(106)의 에지들을 기판(108)의 에지 둘레의 증착으로부터 보호하는 데 사용될 수 있다.
[0039] 일부 실시예들에서, 기판 지지부(106)와 타겟 어셈블리(114) 간에 자기장을 선택적으로 제공하기 위해 프로세스 챔버(104) 주위에 자석(152)이 배치될 수 있다. 예컨대, 도 1에 도시된 바와 같이, 자석(152)은, 프로세싱 포지션에 있는 경우에, 기판 지지부(106) 바로 위의 구역에서 인클로저 벽(110)의 외측 주위에 배치될 수 있다. 일부 실시예들에서, 자석(152)은, 부가적으로 또는 대안적으로, 다른 위치들에, 이를테면, 상부 접지된 인클로저 벽(116) 근처에 배치될 수 있다. 자석(152)은 전자석일 수 있고, 전자석에 의해 생성되는 자기장의 크기를 제어하기 위해 전력원(도시되지 않음)에 커플링될 수 있다.
[0040] 챔버 덮개(102)는 일반적으로, 타겟 어셈블리(114) 주위에 배치된 접지 어셈블리(103)를 포함한다. 접지 어셈블리(103)는, 타겟 어셈블리(114)의 후면측에 대해 일반적으로 평행하고 그리고 그 후면측과 대향할 수 있는 제1 표면(157)을 갖는 접지 플레이트(156)를 포함할 수 있다. 접지 실드(112)는 접지 플레이트(156)의 제1 표면(157)으로부터 연장될 수 있고 타겟 어셈블리(114)를 둘러쌀 수 있다. 접지 어셈블리(103)는 접지 어셈블리(103) 내에서 타겟 어셈블리(114)를 지지하기 위해 지지 부재(175)를 포함할 수 있다.
[0041] 일부 실시예들에서, 지지 부재(175)는, 지지 부재(175)의 외측 주변 에지 근처에서 접지 실드(112)의 하부 단부에 커플링될 수 있고, 시일 링(181) 및 타겟 어셈블리(114)를 지지하기 위해 방사상 내측으로 연장된다. 시일 링(181)은 링, 또는 적절한 단면을 갖는 다른 환형 형상일 수 있다. 시일 링(181)은, 시일 링(181)의 제1 측 상에서의 타겟 어셈블리(114), 이를테면, 백킹 플레이트 어셈블리(160)와의 인터페이싱을 그리고 시일 링(181)의 제2 측 상에서의 지지 부재(175)와의 인터페이싱을 가능하게 하기 위해, 2개의 대향하는 평면형의 그리고 일반적으로 평행한 표면들을 포함할 수 있다. 시일 링(181)은 세라믹과 같은 유전체 재료로 제조될 수 있다. 시일 링(181)은 접지 어셈블리(103)로부터 타겟 어셈블리(114)를 절연시킬 수 있다.
[0042] 지지 부재(175)는 타겟 어셈블리(114)를 수용하기 위해 중앙 개구를 갖는 일반적으로 평면형의 부재일 수 있다. 일부 실시예들에서, 지지 부재(175)는 형상이 원형 또는 디스크-형일 수 있지만, 형상은 챔버 덮개의 대응하는 형상 및/또는 PVD 프로세싱 시스템(100)에서 프로세싱될 기판의 형상에 따라 변화될 수 있다.
[0043] 타겟 어셈블리(114)는 스퍼터링 동안 기판(108)과 같은 기판 상에 증착될 금속, 금속 옥사이드, 금속 합금 등과 같은 소스 재료(113)를 포함할 수 있다. 일부 실시예들에서, 타겟 어셈블리(114)는 소스 재료(113)를 지지하기 위한 임의의 백킹 플레이트 없이, 소스 재료(113)로부터 실질적으로 제조될 수 있다. 일부 실시예들에서, 타겟 어셈블리(114)는 소스 재료(113)를 지지하기 위해 백킹 플레이트 어셈블리(160)를 포함할 수 있다. 소스 재료(113)는, 도 1에 예시된 바와 같이, 백킹 플레이트 어셈블리(160)의, 기판 지지부를 향하는 측 상에 배치될 수 있다. 백킹 플레이트 어셈블리(160)는, RF 및 DC 전력이 백킹 플레이트 어셈블리(160)를 통해 소스 재료(113)에 커플링될 수 있도록, 전도성 재료, 예컨대 구리-아연, 구리-크롬, 또는 타겟과 동일한 재료를 포함할 수 있다. 대안적으로, 백킹 플레이트 어셈블리(160)는 비-전도성일 수 있고, 전기 피드스루(electrical feedthrough)들 등과 같은 전도성 엘리먼트들(도시되지 않음)을 포함할 수 있다.
[0044] 일부 실시예들에서, 백킹 플레이트 어셈블리(160)는 제1 백킹 플레이트(161) 및 제2 백킹 플레이트(162)를 포함한다. 제1 백킹 플레이트(161) 및 제2 백킹 플레이트(162)는 디스크 형상, 직사각형, 정사각형, 또는 PVD 프로세싱 시스템(100)에 의해 수용될 수 있는 임의의 다른 형상일 수 있다. 제1 백킹 플레이트(161)의 전면 측은, 존재하는 경우, 소스 재료의 전면 표면이 기판(108)에 대향하게, 소스 재료(113)를 지지하도록 구성된다. 소스 재료(113)는 임의의 적절한 방식으로 제1 백킹 플레이트(161)에 커플링될 수 있다. 예컨대, 일부 실시예들에서, 소스 재료(113)는 제1 백킹 플레이트(161)에 확산 결합될(diffusion bonded) 수 있다.
[0045] 채널들(169)의 복수의 세트들이 제1 및 제2 백킹 플레이트들(161, 162) 간에 배치될 수 있다. 제1 및 제2 백킹 플레이트들(161, 162)은 채널들(169)의 복수의 세트들에 제공되는 냉각제의 누설을 방지하기 위해, 실질적으로 수밀 밀봉(예컨대, 제1 및 제2 백킹 플레이트들 간의 유체 밀봉)을 형성하기 위해 함께 커플링될 수 있다. 일부 실시예들에서, 타겟 어셈블리(114)는 프로세스 챔버(104) 내의 타겟 어셈블리(114)를 지지하기 위한 중앙 지지 부재(192)를 더 포함할 수 있다.
[0046] 일부 실시예들에서, 전도성 지지 링(164)은, 소스 분배 플레이트로부터 타겟 어셈블리(114)의 주변 에지로 RF 에너지를 전파하기 위해 소스 분배 플레이트(158)와 타겟 어셈블리(114)의 후면측 간에 배치될 수 있다. 전도성 지지 링(164)은 원통형일 수 있고, 제1 단부(166)는 소스 분배 플레이트(158)의 주변 에지 근처에서 소스 분배 플레이트(158)의, 타겟을 향하는 표면에 커플링되고, 제2 단부(168)는 타겟 어셈블리(114)의 주변 에지 근처에서 타겟 어셈블리(114)의, 소스 분배 플레이트를 향하는 표면에 커플링된다. 일부 실시예들에서, 제2 단부(168)는 백킹 플레이트 어셈블리(160)의 주변 에지 근처에서 백킹 플레이트 어셈블리(160)의, 소스 분배 플레이트를 향하는 표면에 커플링된다.
[0047] 소스 분배 플레이트(158), 전도성 지지 링(164), 및 타겟 어셈블리(114)(및/또는 백킹 플레이트 어셈블리(160))의 외측 표면들과 접지 플레이트(156) 간에 절연 갭(180)이 제공된다. 절연 갭(180)은, 공기, 또는 일부 다른 적절한 유전체 재료, 이를테면, 세라믹, 플라스틱 등으로 충전될 수 있다. 접지 플레이트(156)와 소스 분배 플레이트(158) 간의 거리는 접지 플레이트(156)와 소스 분배 플레이트(158) 간의 유전체 재료에 따라 좌우된다. 유전체 재료가 대부분 공기인 경우, 접지 플레이트(156)와 소스 분배 플레이트(158) 간의 거리는 대략 15 mm 내지 대략 40 mm일 수 있다.
[0048] 접지 어셈블리(103) 및 타겟 어셈블리(114)는, 타겟 어셈블리(114)의 후면측, 예컨대 소스 분배 플레이트(158)의, 타겟을 향하지 않는 측과 접지 플레이트(156)의 제1 표면(157) 간에 배치된 절연체들(도시되지 않음) 중 하나 또는 그 초과에 의해 그리고 시일 링(181)에 의해 전기적으로 분리될 수 있다.
[0049] PVD 프로세싱 시스템(100)은 전극(154)(예컨대, RF 피드 구조(feed structure))에 연결된 RF 전력원(182)을 갖는다. 전극(154)은 접지 플레이트(156)를 통과할 수 있고, 소스 분배 플레이트(158)에 커플링된다. RF 전력원(182)은, RF 발생기, 및 예컨대, 동작 동안에 RF 발생기로 다시 반사되는, 반사되는 RF 에너지를 최소화하기 위한 정합 회로를 포함할 수 있다. 예컨대, RF 전력원(182)에 의해 공급되는 RF 에너지는, 대략 13.56 MHz 내지 대략 162 MHz 또는 그 초과의 주파수의 범위일 수 있다. 예컨대, 13.56 MHz, 27.12 MHz, 40.68 MHz, 60 MHz, 또는 162 MHz와 같은 비-제한적인 주파수들이 사용될 수 있다.
[0050] 일부 실시예들에서, PVD 프로세싱 시스템(100)은 프로세싱 동안 추가의 에너지를 타겟 어셈블리(114)에 제공하기 위해 제2 에너지원(183)을 포함할 수 있다. 일부 실시예들에서, 제2 에너지원(183)은, 예컨대 타겟 재료의 스퍼터링 레이트(그리고 그에 따라, 기판 상의 증착 레이트)를 향상시키도록 DC 에너지를 제공하기 위한 DC 전력원 또는 펄스형 DC 전력원일 수 있다. 일부 실시예들에서, 제2 에너지원(183)은, 예컨대 RF 전력원(182)에 의해 제공되는 RF 에너지의 제1 주파수와 상이한 제2 주파수로 RF 에너지를 제공하기 위한, RF 전력원(182)과 유사한 제2 RF 전력원일 수 있다. 제2 에너지원(183)이 DC 전력원인 실시예들에서, 제2 에너지원은, 전극(154) 또는 일부 다른 전도성 부재(예컨대, 아래에서 논의되는 소스 분배 플레이트(158))와 같이 타겟 어셈블리(114)에 DC 에너지를 전기적으로 커플링시키기에 적절한 임의의 위치에서 타겟 어셈블리(114)에 커플링될 수 있다. 제2 에너지원(183)이 제2 RF 전력원인 실시예들에서, 제2 에너지원은 전극(154)을 통해 타겟 어셈블리(114)에 커플링될 수 있다.
[0051] 전극(154)은 원통형 또는 다르게는 막대(rod)-형일 수 있고, 프로세스 챔버(104)의 중심축(186)과 정렬될 수 있다(예컨대, 전극(154)은 중심축(186)과 일치하는 타겟의 중심축과 일치하는 포인트에서 타겟 어셈블리에 커플링될 수 있음). 프로세스 챔버(104)의 중심축(186)과 정렬된 전극(154)은 축대칭적인(axisymmetrical) 방식으로 RF 전력원(182)으로부터 타겟 어셈블리(114)로 RF 에너지를 인가하는 것을 가능하게 한다(예컨대, 전극(154)은 PVD 챔버의 중심축과 정렬된 "단일 포인트"에서 타겟에 RF 에너지를 커플링시킬 수 있음). 전극(154)의 중앙 포지션은 기판 증착 프로세스들에서 증착 비대칭성을 제거하거나 또는 감소시키는 것을 돕는다. 전극(154)은 임의의 적절한 직경을 가질 수 있다. 예컨대, 다른 직경들이 사용될 수 있지만, 일부 실시예들에서, 전극(154)의 직경은 대략 0.5 내지 대략 2 인치일 수 있다. 전극(154)은 일반적으로, PVD 챔버의 구성에 따라 임의의 적절한 길이를 가질 수 있다. 일부 실시예들에서, 전극은 대략 0.5 내지 대략 12 인치의 길이를 가질 수 있다. 전극(154)은 알루미늄, 구리, 은 등과 같은 임의의 적절한 전도성 재료로 제조될 수 있다. 대안적으로, 일부 실시예들에서, 전극(154)은 튜브형일 수 있다. 일부 실시예들에서, 튜브형 전극(154)의 직경은 예컨대, 마그네트론을 위한 중심 샤프트를 제공하는 것을 가능하게 하기에 적절할 수 있다.
[0052] 전극(154)은 접지 플레이트(156)를 통과할 수 있고, 소스 분배 플레이트(158)에 커플링된다. 접지 플레이트(156)는 알루미늄, 구리 등과 같은 임의의 적절한 전도성 재료를 포함할 수 있다. 하나 또는 그 초과의 절연체들(도시되지 않음) 간의 개방 공간(open space)들은 소스 분배 플레이트(158)의 표면을 따르는 RF 파 전파를 가능하게 한다. 일부 실시예들에서, 하나 또는 그 초과의 절연체들은 PVD 프로세싱 시스템의 중심축(186)에 대해 대칭적으로 포지셔닝될 수 있다. 이러한 포지셔닝은, 소스 분배 플레이트(158)의 표면을 따르는, 그리고 궁극적으로는, 소스 분배 플레이트(158)에 커플링된 타겟 어셈블리(114)로의 대칭적인 RF 파 전파를 가능하게 할 수 있다. 적어도 부분적으로 전극(154)의 중앙 포지션으로 인해, 종래의 PVD 챔버들과 비교하여 더 대칭적이고 균일한 방식으로 RF 에너지가 제공될 수 있다.
[0053] PVD 프로세싱 시스템(100)은 기판(108) 상의 전압을 조정하기 위해 기판 지지부(106)에 커플링된, 자동 커패시턴스 튜너(136)와 같은 기판 지지 임피던스 회로를 더 포함한다. 예컨대, 자동 커패시턴스 튜너(136)는 기판(108) 상의 전압 및 그에 따라 기판 전류(예컨대, 기판 레벨에서의 이온 에너지)를 제어하는 데 사용될 수 있다.
[0054] 제어기(194)는 PVD 프로세싱 시스템(100)의 다양한 컴포넌트들에 제공되고 커플링되어 그들의 동작을 제어할 수 있다. 제어기(194)는 CPU(central processing unit)(118), 메모리(172), 및 지원 회로들(173)을 포함한다. 제어기(194)는 PVD 프로세싱 시스템(100)을 직접적으로, 또는 특정 프로세스 챔버 및/또는 지원 시스템 컴포넌트들과 연관된 컴퓨터들(또는 제어기들)을 통해 제어할 수 있다. 제어기(194)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 세팅들에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(194)의 메모리 또는 컴퓨터 판독가능 매체(172)는 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 광학 저장 매체들(예컨대, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 임의의 다른 형태의 디지털 저장소(로컬 또는 원격)와 같은, 용이하게 이용가능한 메모리 중 하나 또는 그 초과일 수 있다. 종래의 방식으로 프로세서를 지원하기 위해 지원 회로들(173)이 CPU(118)에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함한다. 본원에서 설명된 바와 같은 본 발명의 방법들, 이를테면, 방법(200)은, 본원에서 설명된 방식으로 PVD 프로세싱 시스템(100)의 동작을 제어하기 위해 실행 또는 인보크될(invoked) 수 있는 소프트웨어 루틴으로서 메모리(264)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(118)에 의해 제어되는 하드웨어로부터 원거리에 위치되는 제2 CPU(도시되지 않음)에 저장되고 그리고/또는 제2 CPU에 의해 실행될 수 있다.
[0055] 전술한 바가 본 개시내용의 특정 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법으로서,
    (a) 물리 기상 증착 프로세스를 통해 상기 기판의 제1 표면의 정상에 제1 두께로 유전체 층을 증착하는 단계;
    (b) 상기 물리 기상 증착 프로세스 챔버의 프로세싱 구역에 제1 플라즈마 형성 가스를 제공하는 단계 ― 상기 제1 플라즈마 형성 가스는 수소를 포함하지만 탄소는 포함하지 않음 ―;
    (c) 상기 물리 기상 증착 프로세스 챔버의 프로세싱 구역 내에서 상기 제1 플라즈마 형성 가스로부터 제1 플라즈마를 형성하기 위해 제1 양(amount)의 바이어스 전력을 기판 지지부에 제공하는 단계;
    (d) 상기 유전체 층을 상기 제1 플라즈마에 노출시키는 단계; 및
    (e) 상기 유전체 층을 최종 두께로 증착하기 위해 (a) 내지 (d)를 반복하는 단계를 포함하는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 유전체 층은 탄탈 옥사이드(TaO), 알루미늄 옥시니트라이드(AlOxNy), 실리콘 카르복시니트라이드(SiOxCyNz), 하프늄 옥사이드(HfOx), 또는 티타늄 옥시니트라이드(TiOxNy) 중 하나 또는 그 초과를 포함하는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  3. 제1 항에 있어서,
    상기 제1 플라즈마 형성 가스는 수소(H2) 또는 암모니아(NH3) 중 하나 또는 그 초과를 포함하는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  4. 제1 항에 있어서,
    상기 제1 두께는 대략 5 옹스트롬 내지 대략 60 옹스트롬인,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  5. 제4 항에 있어서,
    각각의 후속적으로 증착되는 유전체 층의 두께는 대략 5 옹스트롬 내지 대략 60 옹스트롬인,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  6. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 유전체 층은 대략 10초 내지 대략 30초 동안 상기 제1 플라즈마에 노출되는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  7. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 제1 플라즈마 형성 가스는 불활성 가스를 더 포함하는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  8. 제7 항에 있어서,
    상기 불활성 가스는 아르곤(Ar) 또는 질소(N2) 중 하나 또는 그 초과인,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  9. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 물리 기상 증착 프로세스 챔버 내의 온도는 대략 25 내지 대략 400℃인,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  10. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 유전체 층을 증착하는 동안의 상기 물리 기상 증착 프로세스 챔버 내의 압력은 대략 3 mTorr 내지 대략 40 mTorr이고, 그리고 상기 유전체 층을 상기 제1 플라즈마에 노출시키는 동안의 상기 물리 기상 증착 프로세스 챔버 내의 압력은 대략 5 mTorr 내지 대략 40 mTorr인,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  11. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 제1 양의 바이어스 전력은 대략 50 내지 대략 1500 와트인,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  12. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 유전체 층을 증착하는 단계는,
    상기 물리 기상 증착 프로세스 챔버의 프로세싱 구역에 제2 플라즈마 형성 가스를 제공하는 단계;
    상기 물리 기상 증착 프로세스 챔버의 프로세싱 구역 내에 제2 플라즈마를 형성하기 위해, 상기 기판에 대향하여 배치된 타겟 어셈블리에 제2 양의 RF 전력을 제공하는 단계; 및
    상기 유전체 층을 상기 기판 상에 증착하기 위해 상기 타겟 어셈블리로부터 소스 재료를 스퍼터링하는 단계를 더 포함하는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  13. 제1 항에 있어서,
    상기 제1 두께는 대략 5 옹스트롬 내지 대략 60 옹스트롬이고, 상기 제1 플라즈마 형성 가스는 불활성 가스를 더 포함하고, 그리고 상기 유전체 층은 대략 10초 내지 대략 30초 동안 상기 제1 플라즈마에 노출되는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  14. 제13 항에 있어서,
    상기 제1 플라즈마 형성 가스는 수소(H2) 또는 암모니아(NH3) 중 하나 또는 그 초과를 포함하는,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
  15. 제13 항 또는 제14 항에 있어서,
    각각의 후속적으로 증착되는 유전체 층의 두께는 대략 5 옹스트롬 내지 대략 60 옹스트롬인,
    물리 기상 증착 프로세스 챔버 내의 기판 지지부의 정상에 배치된 기판을 프로세싱하는 방법.
KR1020187001462A 2015-06-19 2016-06-15 물리 기상 증착 프로세스를 통해 유전체 막들을 증착하기 위한 방법들 KR102513422B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/744,688 US9633839B2 (en) 2015-06-19 2015-06-19 Methods for depositing dielectric films via physical vapor deposition processes
US14/744,688 2015-06-19
PCT/US2016/037599 WO2016205349A1 (en) 2015-06-19 2016-06-15 Methods for depositing dielectric films via physical vapor deposition processes

Publications (2)

Publication Number Publication Date
KR20180010315A true KR20180010315A (ko) 2018-01-30
KR102513422B1 KR102513422B1 (ko) 2023-03-22

Family

ID=57546101

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187001462A KR102513422B1 (ko) 2015-06-19 2016-06-15 물리 기상 증착 프로세스를 통해 유전체 막들을 증착하기 위한 방법들

Country Status (5)

Country Link
US (1) US9633839B2 (ko)
KR (1) KR102513422B1 (ko)
CN (1) CN108064411A (ko)
TW (1) TW201708611A (ko)
WO (1) WO2016205349A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102350441B1 (ko) * 2015-06-05 2022-01-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버
US11313034B2 (en) 2016-11-18 2022-04-26 Applied Materials, Inc. Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition
US10570506B2 (en) * 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理***的冷凝器***
CN110085591A (zh) * 2018-01-25 2019-08-02 联华电子股份有限公司 制作半导体结构的方法
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006505954A (ja) * 2002-11-08 2006-02-16 アヴィザ テクノロジー インコーポレイテッド 高k誘電体の窒化物形成
CN101459111A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离区形成方法及介质层形成方法
KR20090119661A (ko) * 2008-05-16 2009-11-19 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 활성화하는 보호 층
US20100330812A1 (en) * 2009-06-24 2010-12-30 Fujitsu Semiconductor Limited Method for manufacturing semiconductor device
US20110256673A1 (en) * 2010-04-16 2011-10-20 Semiconductor Energy Laboratory Co., Ltd. Deposition method and method for manufacturing semiconductor device
KR20140063781A (ko) * 2011-09-09 2014-05-27 어플라이드 머티어리얼스, 인코포레이티드 유전체 재료들의 증착 레이트 및 성장 운동의 향상을 위한 다중 주파수 스퍼터링

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
TW588432B (en) * 2002-06-26 2004-05-21 Taiwan Semiconductor Mfg Manufacturing process for surface modification of dielectric layer
US6770570B2 (en) * 2002-11-15 2004-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
CN100541736C (zh) * 2003-11-11 2009-09-16 东京毅力科创株式会社 基板处理方法
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
CN102576677B (zh) * 2009-09-24 2015-07-22 株式会社半导体能源研究所 半导体元件及其制造方法
US8841211B2 (en) * 2010-06-09 2014-09-23 Applied Materials, Inc. Methods for forming interconnect structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006505954A (ja) * 2002-11-08 2006-02-16 アヴィザ テクノロジー インコーポレイテッド 高k誘電体の窒化物形成
CN101459111A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离区形成方法及介质层形成方法
KR20090119661A (ko) * 2008-05-16 2009-11-19 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 활성화하는 보호 층
US20100330812A1 (en) * 2009-06-24 2010-12-30 Fujitsu Semiconductor Limited Method for manufacturing semiconductor device
US20110256673A1 (en) * 2010-04-16 2011-10-20 Semiconductor Energy Laboratory Co., Ltd. Deposition method and method for manufacturing semiconductor device
KR20140063781A (ko) * 2011-09-09 2014-05-27 어플라이드 머티어리얼스, 인코포레이티드 유전체 재료들의 증착 레이트 및 성장 운동의 향상을 위한 다중 주파수 스퍼터링

Also Published As

Publication number Publication date
US20160372319A1 (en) 2016-12-22
US9633839B2 (en) 2017-04-25
KR102513422B1 (ko) 2023-03-22
WO2016205349A1 (en) 2016-12-22
TW201708611A (zh) 2017-03-01
CN108064411A (zh) 2018-05-22

Similar Documents

Publication Publication Date Title
KR102513422B1 (ko) 물리 기상 증착 프로세스를 통해 유전체 막들을 증착하기 위한 방법들
KR101760846B1 (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
US10266940B2 (en) Auto capacitance tuner current compensation to control one or more film properties through target life
US9499901B2 (en) High density TiN RF/DC PVD deposition with stress tuning
TWI499682B (zh) 電漿處理腔室以及沉積薄膜的方法
US9605341B2 (en) Physical vapor deposition RF plasma shield deposit control
US9249500B2 (en) PVD RF DC open/closed loop selectable magnetron
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
TW201830483A (zh) 透過物理氣相沉積沉積非晶矽層或碳氧化矽層的方法
KR102527758B1 (ko) 물리 기상 증착 챔버 내의 입자 감소
US9315891B2 (en) Methods for processing a substrate using multiple substrate support positions
US10242873B2 (en) RF power compensation to control film stress, density, resistivity, and/or uniformity through target life
US9611539B2 (en) Crystalline orientation and overhang control in collision based RF plasmas
US20140216922A1 (en) Rf delivery system with dual matching networks with capacitive tuning and power switching
WO2016123348A1 (en) Counter based time compensation to reduce process shifting in reactive magnetron sputtering reactor
US20140262764A1 (en) Methods and apparatus for reducing sputtering of a grounded shield in a process chamber
US9461137B1 (en) Tungsten silicide nitride films and methods of formation

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant