KR20170046476A - Support unit, Apparatus and method for treating a substrate - Google Patents

Support unit, Apparatus and method for treating a substrate Download PDF

Info

Publication number
KR20170046476A
KR20170046476A KR1020150146875A KR20150146875A KR20170046476A KR 20170046476 A KR20170046476 A KR 20170046476A KR 1020150146875 A KR1020150146875 A KR 1020150146875A KR 20150146875 A KR20150146875 A KR 20150146875A KR 20170046476 A KR20170046476 A KR 20170046476A
Authority
KR
South Korea
Prior art keywords
plate
substrate
cooling
electrode
support
Prior art date
Application number
KR1020150146875A
Other languages
Korean (ko)
Other versions
KR101970981B1 (en
Inventor
원정민
남희상
김장현
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020150146875A priority Critical patent/KR101970981B1/en
Publication of KR20170046476A publication Critical patent/KR20170046476A/en
Application granted granted Critical
Publication of KR101970981B1 publication Critical patent/KR101970981B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

The present invention provides a substrate processing apparatus and a substrate processing method. A substrate processing apparatus according to the present invention includes: a chamber having a processing space therein; A support unit located in the processing space and supporting the substrate; A gas supply unit for supplying a process gas into the chamber, the support unit comprising: a plate assembly; And a cooling tube provided in the plate assembly and having a cooling channel, the cooling tube being grounded.

Description

BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a support unit, a substrate processing apparatus,

The present invention relates to a support unit, a substrate processing apparatus including the same, and a substrate processing method.

Plasma is an ionized gas state that is generated by a very high temperature, a strong electric field, or RF electromagnetic fields, and consists of ions, electrons, and radicals. The semiconductor device fabrication process employs a plasma to perform an etching process. The etching process is performed by colliding the ion particles contained in the plasma with the substrate. The etching process is performed inside the chamber. A process gas is supplied into the chamber, and high-frequency power is applied to the chamber to excite the process gas into a plasma state.

1 shows a general support unit in a substrate processing apparatus. A first dielectric plate 1 for supporting the substrate w and a conductive plate 2 and a second dielectric plate 3 are sequentially provided on the lower surface of the first dielectric plate 1. The first dielectric plate 1 may be provided with a lift pin (not shown) for supporting the substrate. A cooling flow path (4) through which a cooling fluid flows is formed in the second dielectric plate (3). When the cooling fluid flows, electrostatic force is generated by the friction between the cooling fluid and the outer wall of the cooling flow passage. Charges on the outer wall of the cooling passage 4 cause electromagnetic induction phenomena to occur on the electric charges existing in the plates 1, 2 and 3. For example, referring to FIG. 1, positive charges are distributed on the upper portion of the second dielectric plate 3 due to negative charges generated on the outer wall of the cooling channel. A negative charge is distributed in the lower part of the electrode plate 2, and a positive charge is distributed in the upper part of the electrode plate 2. Further, a negative charge is distributed to the lower portion of the first dielectric plate 1 due to the electromagnetic induction phenomenon, and a positive charge is distributed to the upper portion. A negative charge is distributed to a lower portion of the substrate made of a silicon material, and as a result, the substrate is chucked to the first dielectric plate.

On the other hand, when the substrate is to be unloaded from the first dielectric plate 1, the substrate w must not be chucked to the first dielectric plate 1. However, as described above, there is a problem that the substrate is chucked by the unintentional chucking force, and the substrate is damaged in the process of unloading the substrate.

The present invention is to provide a support unit, a substrate processing apparatus, and a processing method that can prevent a substrate from being damaged by preventing chucking phenomenon of the substrate that is not related to the process.

The objects of the present invention are not limited thereto, and other objects not mentioned can be clearly understood by those skilled in the art from the following description.

The present invention provides a substrate processing apparatus.

According to an embodiment of the present invention, there is provided a plasma processing apparatus comprising: a chamber having a processing space therein; A support unit located in the processing space and supporting the substrate; A gas supply unit for supplying a process gas into the chamber, the support unit comprising: a plate assembly; And a cooling tube provided in the plate assembly and having a cooling channel, the cooling tube being grounded.

According to one embodiment, the plate assembly includes: a support plate on which a substrate is placed; An electrode plate provided below the support plate; And a lower plate provided below the electrode plate, wherein the cooling pipe is provided inside the lower plate.

According to one embodiment, the support plate and the lower plate are provided with an insulator, and the electrode plate is provided with a conductive material.

According to one embodiment, the cooling tube includes a body of conductive material, and the body is grounded.

According to one embodiment, the cooling pipe is provided as a coating layer of a conductive material for coating the outer wall of the cooling channel, and the coating layer is grounded.

According to one embodiment, a high frequency power source is electrically connected to the electrode plate.

According to one embodiment, an electrostatic electrode is provided within the support plate for electrostatically attracting the substrate to the support plate.

The present invention provides a support unit.

According to an embodiment of the present invention, there is provided a plate assembly comprising: a plate assembly; And a cooling pipe provided in the plate assembly and having a cooling channel, wherein the cooling pipe is grounded.

According to one embodiment, the plate assembly includes: a support plate on which a substrate is placed; An electrode plate provided below the support plate; And a lower plate provided below the electrode plate, wherein the cooling pipe is provided inside the lower plate.

According to one embodiment, the support plate and the lower plate are provided with an insulator, and the electrode plate is provided with a conductive material.

According to one embodiment, the cooling tube includes a body of conductive material, and the body is grounded.

According to one embodiment, the cooling pipe is provided as a coating layer of a conductive material for coating the outer wall of the cooling channel, and the coating layer is grounded.

According to one embodiment, a high frequency power source is electrically connected to the electrode plate.

According to one embodiment, an electrostatic electrode is provided within the support plate for electrostatically attracting the substrate to the support plate.

The present invention provides a substrate processing method.

According to an embodiment of the present invention, there is provided a plasma processing apparatus for processing a substrate by placing a substrate in a supporting unit to which a high-frequency power is applied, supplying a gas onto the substrate in a plasma state to control a temperature of the substrate, And discharges the charge generated by the flowing cooling fluid to the outside through a cooling pipe through which the cooling fluid flows.

According to one embodiment, the support unit has a lower plate provided with an insulator, the cooling tube is provided with a conductive material in the lower plate, and the cooling tube is grounded.

According to one embodiment, the support unit has a support plate provided with an insulator, and the support plate has an electrostatic electrode to which a DC power is applied.

The present invention can prevent the chucking phenomenon of the substrate irrelevant to the process, thereby preventing the substrate from being damaged.

The effects of the present invention are not limited to the above-mentioned effects, and the effects not mentioned can be clearly understood by those skilled in the art from the present specification and attached drawings.

1 is a view showing a general supporting unit in a substrate processing apparatus.
2 is a view showing a substrate processing apparatus according to the present invention.
FIG. 3 is a plan view showing the lower plate of FIG. 2. FIG.
4 is a cross-sectional view showing one embodiment of A-A 'in FIG.
5 is a cross-sectional view showing another embodiment of A-A 'in FIG.
Figure 6 is a view showing the state of charge in the support unit of Figure 2;

Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments of the present invention can be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Thus, the shape of the elements in the figures has been exaggerated to emphasize a clearer description.

In an embodiment of the present invention, a substrate processing apparatus for etching a substrate using plasma will be described. However, the present invention is not limited thereto, and is applicable to various kinds of apparatuses that perform a process using a support unit provided with a cooling pipe.

2 is a view showing a substrate processing apparatus according to an embodiment of the present invention.

Referring to Fig. 2, the substrate processing apparatus 10 processes the substrate W using plasma. The substrate processing apparatus 10 includes a chamber 100, a support unit 200, a shower head unit 300, a gas supply unit 400, a plasma source, a liner unit 500, a baffle unit 600, (700).

The chamber 100 provides a processing space in which a substrate processing process is performed. The chamber 100 has an internal processing space. The chamber 100 is provided in a closed configuration. The chamber 100 is made of a metal material. For example, the chamber 100 may be made of aluminum. The chamber 100 may be grounded. On the bottom surface of the chamber 100, an exhaust hole 102 is formed. The exhaust hole 102 is connected to the exhaust line 151. The exhaust line 151 is connected to a pump (not shown). The reaction byproducts generated in the process and the gas staying in the inner space of the chamber 100 may be discharged to the outside through the exhaust line 151. The inside of the chamber 100 is decompressed to a predetermined pressure by the exhaust process.

A heater 150 is provided on the wall of the chamber 100. The heater (150) heats the walls of the chamber (100). The heater 150 is electrically connected to a heating power source (not shown). The heater 150 generates heat by resisting a current applied from a heating power source (not shown). The heat generated in the heater 150 is transferred to the inner space. The heat generated in the heater 150 keeps the processing space at a predetermined temperature. The heater 150 is provided as a coil-shaped hot wire. A plurality of heaters 150 may be provided on the wall of the chamber 100.

The support unit 200 is located inside the chamber 100. The support unit 200 supports the substrate W. [ The supporting unit 200 includes an electrostatic chuck for attracting the substrate W using an electrostatic force. Alternatively, the support unit 200 may support the substrate W in various manners, such as mechanical clamping. Hereinafter, the case where the support unit 200 is an electrostatic chuck will be described.

The support unit 200 includes a plate assembly 205, a heater 230, a base plate 260, a ring member 280, a plasma conditioning member 270, and a cooling pipe 290.

The plate assembly 205 includes a support plate 210, an electrode plate 220, and a lower plate 240.

The substrate W is placed on the support plate 210. The support plate 210 is provided in a disc shape. The support plate 210 may be provided as a dielectric substance. The upper surface of the support plate 210 has a smaller radius than the substrate W. [ When the substrate W is placed on the support plate 210, the edge region of the substrate W is located outside the support plate 210. The support plate 210 receives an external power source and applies an electrostatic force to the substrate W. The support plate 210 is provided with an electrostatic electrode 211. The electrostatic electrode 211 is electrically connected to the electrostatic power source 213. The electrostatic power source 213 includes a DC power source. A switch 212 is provided between the electrostatic electrode 211 and the electrostatic power source 213. The electrostatic electrode 211 may be electrically connected to the electrostatic power source 213 by turning on / off the switch 212. [ When the switch 212 is turned on, a direct current is applied to the electrostatic electrode 211. An electrostatic force is applied between the electrostatic electrode 211 and the substrate W by the current applied to the electrostatic electrode 211. [ The substrate W is attracted to the support plate 210 by an electrostatic force.

A heater 230 is provided inside the support plate 210. The heater 230 is electrically connected to the heating power source 233. The heater 230 generates heat by resisting the electric current applied from the heating power supply 233. The generated heat is transferred to the substrate W through the support plate 210. The substrate W is maintained at a predetermined temperature by the heat generated in the heater 230. The heater 230 is provided as a coil-shaped hot wire. A plurality of heaters 230 are provided in the region of the support plate 210.

The electrode plate 220 is provided below the support plate 210. The upper surface of the electrode plate 220 is in contact with the lower surface of the support plate 210. The electrode plate 220 is provided in a disc shape. The electrode plate 220 is made of a conductive material. For example, the electrode plate 220 may be made of aluminum. The upper central region of the electrode plate 220 has an area corresponding to the bottom surface of the support plate 210.

The electrode plate 220 may include a metal plate. According to one example, the electrode plate 220 may be entirely provided with a metal plate. The electrode plate 220 may be electrically connected to the lower power source 227. The lower power source 227 may be provided as a high frequency power source for generating high frequency power. The high frequency power source can be provided by an RF power source. The RF power can be provided by a high bias power RF power supply. The electrode plate 220 receives high-frequency power from the lower power source 227. This allows the electrode plate 220 to function as an electrode.

A lower plate 240 is provided below the electrode plate 220. The lower plate 240 may be provided in a circular plate shape. The lower plate 240 may be provided with an area corresponding to the electrode plate 220. The lower plate 240 may be provided with an insulator. For example, the lower plate 240 may be provided with a dielectric.

FIG. 3 is a plan view showing the lower plate of FIG. 2. FIG.

The cooling pipe 290 has a cooling channel 292. The cooling pipe 290 is provided inside the lower plate 240. Referring to FIG. 4, the cooling pipe 290 may be formed in a spiral shape. The cooling channel 292 cools the plate assembly 205. The cooling channel 292 cools the lower plate 240. The cooling fluid is supplied to the cooling flow path 292. In one example, the cooling fluid may be cooling water.

4 is a cross-sectional view showing one embodiment of A-A 'in FIG. Referring to FIG. 4, the cooling tube 290 may include a body 294 of conductive material. The body 294 is provided with a conductive material. The body 294 may be grounded.

5 is a cross-sectional view showing another embodiment of A-A 'in FIG. Referring to FIG. 5, the cooling pipe 1290 may be provided as a coating layer 296 coating the outer wall of the cooling channel 292. The coating layer 296 is provided with a conductive material. The coating layer 296 may be grounded. The coating layer may be coated by physical vapor deposition such as chemical vapor deposition or sputtering.

The base plate 260 is positioned below the lower plate 240. The base plate 260 may be made of aluminum. The base plate 260 is provided in a circular shape when viewed from above. A lift pin module (not shown) may be disposed in the inner space of the base plate 260 to move the substrate W from the carrying member to the support plate 210.

The ring member 280 is disposed in the edge region of the support unit 200. The ring member 280 has a ring shape. The ring member 280 is provided so as to surround the upper portion of the support plate 210. For example, the ring member 280 may be provided as a focus ring. The ring member 280 includes an inner side portion 282 and an outer side portion 281. The inner side portion 282 is located inside the ring member 280. The medial portion 282 is provided lower than the lateral portion 281. The upper surface of the inner side portion 282 is provided at the same height as the upper surface of the support plate 210. The inner side portion 282 supports the edge region of the substrate W positioned outside the support plate 210. The outer portion 281 is located outside the inner portion 282. The outer side 281 is located opposite to the side of the substrate W when the substrate W is placed on the support plate 210. The outer portion 281 is provided so as to surround the edge region of the substrate W. [

The showerhead unit 300 is located in the upper part of the support unit 200 inside the chamber 100. The shower head unit 300 is positioned opposite to the support unit 200. The showerhead unit 300 includes a showerhead 310, a gas injection plate 320, a heater 323, a cooling plate 340, and an insulation plate 390.

The showerhead 310 is spaced apart from the upper surface of the chamber 100 by a predetermined distance. The showerhead 310 is located at the top of the support unit 200. The showerhead 310 and the upper surface of the chamber 100 have a certain space therebetween. The shower head 310 may be provided in a plate shape having a constant thickness. The bottom surface of the showerhead 310 may be polarized on its surface to prevent arcing by plasma. The cross section of the showerhead 310 may be provided so as to have the same shape and cross-sectional area as the support unit 200. The shower head 310 includes a plurality of injection holes 311. The spray hole 311 penetrates the upper surface and the lower surface of the shower head 310 in the vertical direction.

The gas injection plate 320 is located on the top of the shower head 310. The gas injection plate 320 is spaced apart from the upper surface of the chamber 100 by a predetermined distance. The gas injection plate 320 may be provided in a plate shape having a constant thickness.

A heater 323 is provided in the edge region A2 of the gas injection plate 320. [ The heater 323 heats the gas injection plate 320.

The gas injection plate 320 is provided with a diffusion region 322 and an injection hole 321. The diffusion region 322 and the injection hole 321 are located in the central region of the gas injection plate. The diffusion area 322 spreads the gas supplied from the upper part evenly into the injection hole 321. [ The diffusion region 322 is connected to the injection hole 321 at the bottom. Adjacent diffusion regions 322 are connected to each other. The injection hole 321 is connected to the diffusion region 322, and penetrates the lower surface in the vertical direction. The injection hole 321 is located opposite to the injection hole 311 of the shower head 310. The gas injection plate 320 may be made of a metal material.

The cooling plate 340 is located at the top of the gas injection plate 320. The cooling plate 340 may be provided in a plate shape having a constant thickness. The cooling plate 340 has a supply hole 341 formed at the center thereof. The supply hole 341 passes through the gas. The gas that has passed through the supply hole 341 is supplied to the diffusion region 322 of the gas injection plate 320. A cooling passage 343 is formed inside the cooling plate 340. The cooling fluid can be supplied to the cooling flow path 343. For example, the cooling fluid may be cooling water.

The cooling plate 340 may be made of a metal material. The cooling plate 340 may be powered. The cooling plate 340 may be electrically connected to the upper power source 370. The upper power source 370 may be provided as a high frequency power source. Alternatively, the cooling plate 340 may be electrically grounded. The cooling plate 340 may be electrically connected to the upper power source 370. Alternatively, the cooling plate 340 may be grounded to function as an electrode.

The insulating plate 390 supports the side of the shower head 310, the gas injection plate 320, and the cooling plate 330. The insulating plate 390 is connected to the side wall of the chamber 100. The insulating plate 390 is provided around the shower head 310, the gas injection plate 320, and the cooling plate 340. The insulating plate 390 may be provided in a ring shape. The insulating plate 390 may be made of a non-metallic material.

A gas supply unit (400) supplies a process gas into the chamber (100). The gas supply unit 400 includes a gas supply nozzle 410, a gas supply line 420, and a gas storage unit 430. The gas supply nozzle 410 is installed at the center of the upper surface of the chamber 100. An injection port is formed on the bottom surface of the gas supply nozzle 410. The injection port supplies the process gas into the chamber 100. The gas supply line 420 connects the gas supply nozzle 410 and the gas storage unit 430. The gas supply line 420 supplies the process gas stored in the gas storage unit 430 to the gas supply nozzle 410. The gas supply line 420 is provided with a valve 421. The valve 421 opens and closes the gas supply line 420 and regulates the flow rate of the process gas supplied through the gas supply line 420.

The plasma source excites the process gas into the plasma state within the chamber 100. For example, capacitively coupled plasma (CCP) may be used as the plasma source. The capacitively coupled plasma may include an upper electrode and a lower electrode inside the chamber 100. According to one example, the upper electrode may be provided to the showerhead unit 300, and the lower electrode may be provided to the electrode plate 220. [ The upper electrode may be provided with a cooling plate 340. High-frequency power may be applied to the lower electrode, and the upper electrode may be grounded. Alternatively, high-frequency power may be applied to both the upper electrode and the lower electrode. As a result, an electromagnetic field is generated between the upper electrode and the lower electrode. The generated electromagnetic field excites the process gas provided inside the chamber 100 into a plasma state.

The liner unit 500 prevents the inner wall of the chamber 100 and the support unit 200 from being damaged during the process. The liner unit 500 prevents the impurities generated during the process from being deposited on the inner wall and the support unit 200. The liner unit 500 includes an inner liner 510 and an outer liner 530.

The outer liner 530 is provided on the inner wall of the chamber 100. The outer liner 530 has a space in which upper and lower surfaces are open. The outer liner 530 may be provided in a cylindrical shape. The outer liner 530 may have a radius corresponding to the inner surface of the chamber 100. The outer liner 530 is provided along the inner side of the chamber 100.

The outer liner 530 may be made of aluminum. The outer liner 530 protects the inner surface of the body 110. An arc discharge may be generated in the chamber 100 during the process gas excitation. The arc discharge damages the chamber 100. The outer liner 530 protects the inner surface of the body 110 to prevent the inner surface of the body 110 from being damaged by the arc discharge.

The inner liner 510 is provided wrapped around the support unit 200. The inner liner 510 is provided in a ring shape. The inner liner 510 is provided to enclose all of the support plate 210, the electrode plate 220 and the lower plate 240. The inner liner 510 may be made of aluminum. The inner liner 510 protects the outer surface of the support unit 200.

The baffle unit 600 is positioned between the inner wall of the chamber 100 and the support unit 200. The baffle is provided in an annular ring shape. A plurality of through holes are formed in the baffle. The process gas provided in the chamber 100 is exhausted to the exhaust hole 102 through the through holes of the baffle. The flow of the process gas can be controlled according to the shape of the baffle and the shape of the through holes.

Hereinafter, a method of processing a substrate using the above-described substrate processing apparatus will be described.

Referring to FIG. 6, as the cooling fluid flows through the cooling passage 292, an electrostatic force is generated by friction with the cooling pipe 290. The electrostatic charge is discharged to the outside through the cooling pipe 290 of a conductive material and the line in which the cooling pipe 290 is grounded. Therefore, the electromagnetic induction phenomenon does not occur without affecting the charges existing in the substrate w, the support plate 210, the electrode plate 220, and the lower plate 240. Therefore, unintentional chucking due to electrostatic force can be prevented on the substrate. Therefore, even when the process is not in progress, it is possible to prevent the substrate from being damaged by the chucking phenomenon.

In the above-described embodiments, capacitively coupled plasma (CCP) is used as a plasma source, but an inductively coupled plasma (ICP) source is also possible.

The foregoing detailed description is illustrative of the present invention. In addition, the foregoing is intended to illustrate and explain the preferred embodiments of the present invention, and the present invention may be used in various other combinations, modifications, and environments. That is, it is possible to make changes or modifications within the scope of the concept of the invention disclosed in this specification, within the scope of the disclosure, and / or within the skill and knowledge of the art. The embodiments described herein are intended to illustrate the best mode for implementing the technical idea of the present invention and various modifications required for specific applications and uses of the present invention are also possible. Accordingly, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. It is also to be understood that the appended claims are intended to cover such other embodiments.

10: substrate processing apparatus 100: chamber
200: support unit 205: plate assembly
210: support plate 220: electrode plate
240: Lower plate 290: Cooling tube
294: Body 296: Coating layer

Claims (17)

An apparatus for processing a substrate,
A chamber having a processing space therein;
A support unit located in the processing space and supporting the substrate;
And a gas supply unit for supplying a process gas to the chamber,
The support unit includes:
A plate assembly;
And a cooling tube provided in the plate assembly, the cooling tube having a cooling channel,
And the cooling pipe is grounded.
The method according to claim 1,
The plate assembly includes:
A support plate on which the substrate is placed;
An electrode plate provided below the support plate;
And a lower plate provided below the electrode plate,
Wherein the cooling tube is provided inside the lower plate.
3. The method of claim 2,
Wherein the support plate and the lower plate are provided as an insulator, and the electrode plate is provided as a conductive material.
The method of claim 3,
The cooling tube comprising a body of conductive material,
Wherein the body is grounded.
The method of claim 3,
Wherein the cooling tube is provided as a coating layer of a conductive material for coating an outer wall of the cooling passage,
Wherein the coating layer is grounded.
6. The method according to any one of claims 2 to 5,
And a high-frequency power source is electrically connected to the electrode plate.
The method according to claim 6,
Wherein the support plate is provided with an electrostatic electrode for electrostatically attracting the substrate to the support plate.
A support unit for supporting a substrate,
A plate assembly;
And a cooling tube provided in the plate assembly, the cooling tube having a cooling channel,
And the cooling pipe is grounded.
9. The method of claim 8,
The plate assembly includes:
A support plate on which the substrate is placed;
An electrode plate provided below the support plate;
And a lower plate provided below the electrode plate,
Wherein the cooling tube is provided inside the lower plate.
10. The method of claim 9,
Wherein the support plate and the lower plate are provided as an insulator, and the electrode plate is made of a conductive material.
11. The method of claim 10,
The cooling tube comprising a body of conductive material,
Wherein the body is grounded.
11. The method of claim 10,
Wherein the cooling tube is provided as a coating layer of a conductive material for coating an outer wall of the cooling passage,
And the coating layer is grounded.
13. The method according to any one of claims 8 to 12,
And a high-frequency power source is electrically connected to the electrode plate.
14. The method of claim 13,
Wherein the support plate is provided with an electrostatic electrode for electrostatically attracting the substrate to the support plate.
A method of processing a substrate, the method comprising the steps of: positioning a substrate in a support unit to which high frequency power is applied, processing the substrate by supplying gas onto the substrate in a plasma state, And discharging the charge generated by the cooling fluid to the outside through a cooling pipe through which the cooling fluid flows.
16. The method of claim 15,
Wherein the support unit has a lower plate provided as an insulator and the cooling tube is provided as a conductive material in the lower plate and the cooling tube is grounded.
17. The method of claim 16,
Wherein the supporting unit has a supporting plate provided as an insulator, and the supporting plate has an electrostatic electrode to which a DC power is applied.

KR1020150146875A 2015-10-21 2015-10-21 Support unit, Apparatus and method for treating a substrate KR101970981B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150146875A KR101970981B1 (en) 2015-10-21 2015-10-21 Support unit, Apparatus and method for treating a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150146875A KR101970981B1 (en) 2015-10-21 2015-10-21 Support unit, Apparatus and method for treating a substrate

Publications (2)

Publication Number Publication Date
KR20170046476A true KR20170046476A (en) 2017-05-02
KR101970981B1 KR101970981B1 (en) 2019-04-22

Family

ID=58742918

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150146875A KR101970981B1 (en) 2015-10-21 2015-10-21 Support unit, Apparatus and method for treating a substrate

Country Status (1)

Country Link
KR (1) KR101970981B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210097963A (en) * 2020-01-31 2021-08-10 세메스 주식회사 Apparatus for treating substrate
WO2021231127A1 (en) * 2020-05-14 2021-11-18 Lam Research Corporation Evaporative cooling of electrostatic chucks

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09293704A (en) * 1996-04-25 1997-11-11 Nec Corp Plasma treatment device
JP2005033181A (en) * 2003-05-12 2005-02-03 Tokyo Electron Ltd Treatment apparatus
KR100846119B1 (en) * 2007-02-23 2008-07-15 세메스 주식회사 Plasma generating unit and apparatus with the same, and substrate treating method of the apparatus
KR20150019596A (en) * 2013-08-14 2015-02-25 세메스 주식회사 Supporting unit and apparatus and method for treating substrate comprising the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09293704A (en) * 1996-04-25 1997-11-11 Nec Corp Plasma treatment device
JP2005033181A (en) * 2003-05-12 2005-02-03 Tokyo Electron Ltd Treatment apparatus
KR100846119B1 (en) * 2007-02-23 2008-07-15 세메스 주식회사 Plasma generating unit and apparatus with the same, and substrate treating method of the apparatus
KR20150019596A (en) * 2013-08-14 2015-02-25 세메스 주식회사 Supporting unit and apparatus and method for treating substrate comprising the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210097963A (en) * 2020-01-31 2021-08-10 세메스 주식회사 Apparatus for treating substrate
WO2021231127A1 (en) * 2020-05-14 2021-11-18 Lam Research Corporation Evaporative cooling of electrostatic chucks

Also Published As

Publication number Publication date
KR101970981B1 (en) 2019-04-22

Similar Documents

Publication Publication Date Title
US11127573B2 (en) Support unit, apparatus and method for treating a substrate
US20140116622A1 (en) Electrostatic chuck and substrate processing apparatus
KR101670457B1 (en) Support unit and apparatus for treating substrate with the support unit
KR101570177B1 (en) Apparatus for treating substrate
KR102330281B1 (en) Electrostatic chuck and substrate treating apparatus including the chuck
KR101970981B1 (en) Support unit, Apparatus and method for treating a substrate
KR102568804B1 (en) Support unit and apparatus for treating a substrate with the support unit
KR102323320B1 (en) Apparatus and method for treating substrate comprising the same
KR102299885B1 (en) Shower head unit and apparatus for treating a substrate with the shower head unit
KR102186071B1 (en) Apparatus and method for treating substrate
KR101569886B1 (en) Substrate supporting unit and substrate treating apparatus including the same
KR101430745B1 (en) Electrostatic chuck and substrate treating apparatus
KR20160110904A (en) Apparatus for treating substrate
KR20160145865A (en) Electrostatic chuck and substrate treating apparatus
KR20220096735A (en) Apparatus for treating substrate and assembly for distributing gas
KR102223766B1 (en) A support unit, a substrate processing apparatus including the support unit
KR102262107B1 (en) Substrate treating apparatus
KR102323078B1 (en) Apparatus for treating substrate
KR20140030016A (en) Apparatus for treating substrate
KR101408790B1 (en) Apparatus for treating substrate
KR101706736B1 (en) Apparatus for treating a substrate
KR101605722B1 (en) Feeder and substrate treating apparatus
KR101955584B1 (en) Apparatus for treating substrate
KR20210151308A (en) Support unit, Apparatus and method for treating a substrate
KR20160038882A (en) Apparatus and method for treating a subtrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2017101004851; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20171011

Effective date: 20190326

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant