KR20140050073A - 선택적인 질화 프로세스를 위한 방법 및 장치 - Google Patents

선택적인 질화 프로세스를 위한 방법 및 장치 Download PDF

Info

Publication number
KR20140050073A
KR20140050073A KR1020147004566A KR20147004566A KR20140050073A KR 20140050073 A KR20140050073 A KR 20140050073A KR 1020147004566 A KR1020147004566 A KR 1020147004566A KR 20147004566 A KR20147004566 A KR 20147004566A KR 20140050073 A KR20140050073 A KR 20140050073A
Authority
KR
South Korea
Prior art keywords
plasma
process chamber
inlet port
inches
zone
Prior art date
Application number
KR1020147004566A
Other languages
English (en)
Other versions
KR102001245B1 (ko
Inventor
매튜 에스. 로거스
로거 커티스
라라 하우릴착
켄 가웅 라이
버나드 엘. 황
제프리 토빈
크리스토퍼 에스. 올센
말콤 제이. 베반
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140050073A publication Critical patent/KR20140050073A/ko
Application granted granted Critical
Publication of KR102001245B1 publication Critical patent/KR102001245B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명의 실시예들은 물질들의 스택들의 질화를 위한 개선된 장치들 및 방법들을 제공한다. 일 실시예에서, 원격 플라즈마 시스템은 이온들 및 라디칼들을 포함하는 플라즈마를 발생하기 위한 제 1 구역을 한정하는 원격 플라즈마 챔버, 반도체 디바이스를 프로세싱하기 위한 제 2 구역을 한정하는 프로세스 챔버 - 프로세스 챔버는 프로세스 챔버의 측벽에 형성되는 유입 포트를 포함하고, 유입 포트는 제 2 구역과 유체 연통함 - , 및 원격 플라즈마 챔버와 프로세스 챔버 사이에 배치되고, 제 1 구역 및 유입 포트와 유체 연통하는 통로를 갖는 전달 부재 - 전달 부재는 통로의 세로축이 유입 포트의 세로축에 대하여 약 20도 내지 약 80도의 각으로 교차함 -를 포함한다.

Description

선택적인 질화 프로세스를 위한 방법 및 장치{METHOD AND APPARATUS FOR SELECTIVE NITRIDATION PROCESS}
본 발명의 실시예들은 일반적으로 반도체 디바이스들의 제조에 관한 것이다. 특히, 본 명세서에서 설명된 실시예들은 개선된 플라즈마 어플리케이터를 이용한 부동 게이트(floating gate) NAND 메모리 디바이스들 및 다른 트랜지스터 게이트 구조체들의 제조에 관한 것이다.
NAND 플래시 메모리 디바이스와 같은 플래시 메모리는 대량 저장 애플리케이션들에 대하여 널리 사용되고 있는 비-휘발성 메모리의 유형으로 일반적으로 사용된다. NAND 플래시 메모리 디바이스는 전형적으로 터널 산화물(tunnel oxide; TO), 부동 게이트(FG), 인터폴리 유전체(inter-poly dielectric; IPD), 및 제어 게이트(CG)가 반도체 기판 상에 순차적으로 적층되는 스택 유형의 게이트 구조체를 갖는다. 기판의 부동 게이트, 터널 산화물, 및 그 하부의 일부는 일반적으로 NAND 플래시 메모리 디바이스의 셀(또는 메모리 유닛)을 형성한다. 얕은 트렌치 격리(shallow trench isolation; STI) 구역은 근처 셀들로부터 셀을 분리하기 위해 터널 산화물 및 부동 게이트에 근처에서 각 셀 사이의 기판에 배치된다. NAND 플래시 메모리 디바이스들의 쓰기 동안, 양의 전압이 전자들을 기판으로부터 부동 게이트로 드로우(draw)하는 제어 게이트에 인가된다. NAND 플래시 메모리 디바이스들의 데이터를 삭제하기 위해, 양의 전압이 전자들을 부동 게이트로부터 그리고 터널 산화물을 통하여 방전하기 위해 기판에 인가된다. 전자들의 유동이 센싱 회로에 의해 감지되고, 전류 인디케이터들로서 "0" 또는 "1"의 리턴들을 초래한다. 부동 게이트에서의 전자들의 양 및 "0" 또는 "1" 특성들은 NAND 플래시 메모리 디바이스들에서 데이터를 저장하기 위한 기반을 형성한다.
부동 게이트는 전형적으로 터널 산화물에 의해 반도체 기판으로부터 그리고 인터폴리 유전체에 의해 제어 게이트로부터 분리되는데, 이는 예를 들면, 기판과 부동 게이트 또는 부동 게이트와 제어 게이트 사이의 전자들의 누설을 방지한다. NAND 플래시 메모리 디바이스의 계속적인 물리적 스케일링을 가능하게 하기 위해, 질화 프로세스가 산업계에서, 터널 산화물의 신뢰성을 개선하도록 또는 부동 게이트 밖으로의 도펀트 확산을 억제하도록 질소를 부동 게이트의 표면 안으로 편입하기(incorporate) 위해 사용되어 왔다. 그러나, 질화 프로세스는 또한 원하지 않게 질소를 얕은 트렌치 격리 구역들 안으로 편입한다. 이웃하는 부동 게이트 구조체들 사이의 얕은 트렌치 격리 구역에 편입된 질소는 최종 디바이스 성능에 부정적으로 영향을 미치는 전하 누설 경로를 형성한다.
따라서, 물질들의 스택들의 질화를 위한 개선된 방법들 및 장치들에 대한 요구가 있다.
본 발명은 일반적으로 원격 플라즈마 소스를 이용하여 플라즈마의 라디칼들을 기판 또는 반도체 기판 상의 물질들 안으로 편입하기 위한 방법 및 장치를 제공한다. 일 실시예에서, 원격 플라즈마 시스템은 이온들 및 라디칼들을 포함하는 플라즈마를 발생하기 위한 제 1 구역을 한정하는 원격 플라즈마 챔버, 반도체 디바이스를 프로세싱하기 위한 제 2 구역을 한정하는 프로세스 챔버 - 상기 프로세스 챔버는 상기 프로세스 챔버의 측벽에 형성되는 유입 포트를 포함하고, 상기 유입 포트는 상기 제 2 구역과 유체 연통함 - , 및 상기 원격 플라즈마 챔버로부터 상기 프로세스 챔버로 플라즈마 종들을 전달하기 위한 전달 부재 - 상기 전달 부재는 그 안에 세로로 연장하는 통로를 한정하는 바디를 포함하고, 상기 바디는 상기 제 1 구역에 연결하는 제 1 단부 및 상기 제 2 구역에 연결하는 제 2 단부를 가지며, 상기 제 2 단부는 상기 제 1 단부에 대향되고, 상기 통로는 상기 통로의 세로축이 상기 유입 포트의 세로축에 대하여 약 20도 내지 약 80도의 각으로 교차하도록 상기 프로세스 챔버의 유입 포트에 결합됨 -를 포함한다. 일 예에서, 상기 전달 부재는 상기 제 2 단부에서 상기 바디의 외부면 둘레를 연장하는 플랜지를 더 포함하고, 상기 플랜지는 상기 프로세스 챔버의 측벽의 표면과 실질적으로 같은 높이의 표면을 갖는다.
다른 실시예에서, 이온들 및 라디칼들을 포함하는 플라즈마를 발생하기 위한 제 1 구역을 한정하는 원격 플라즈마 챔버, 반도체 디바이스를 프로세싱하기 위한 제 2 구역을 한정하는 프로세스 챔버 - 상기 프로세스 챔버는 상기 프로세스 챔버의 측벽에 형성되는 유입 포트를 포함하고, 상기 유입 포트는 상기 제 2 구역과 유체 연통함 - , 및 상기 원격 플라즈마 챔버와 상기 프로세스 챔버 사이에 배치되고, 상기 제 1 구역 및 상기 유입 포트와 유체 연통하는 통로를 갖는 전달 부재 - 상기 전달 부재는 상기 통로의 세로축이 상기 유입 포트의 세로축에 대하여 약 20도 내지 약 80도의 각으로 교차하도록 구성됨 -를 포함하는 원격 플라즈마 시스템.
또 다른 실시예에서, 프로세스 챔버의 프로세싱 구역에서 반도체 디바이스를 프로세싱하기 위한 방법이 개시된다. 상기 방법은 원격 플라즈마 소스로부터 세로의 통로를 갖는 전달 부재로 플라즈마 종들을 발생 및 유동하는 단계, 상기 통로로부터 상기 프로세스 챔버의 측벽에 형성되는 유입 포트로 플라즈마 종들을 유동하는 단계 - 상기 플라즈마 종들은 이온들이 상기 프로세스 챔버의 상기 프로세싱 구역에 유입되기 전에 상기 플라즈마 종들로부터 실질적으로 제거되도록 상기 플라즈마 종들에서의 전자들 또는 대전된 입자들과 이온들의 충돌 또는 이온들의 반응을 촉진하기 위해 상기 유입 포트 안으로 각을 갖고 유동됨 -, 및 상기 반도체 디바이스의 실리콘 또는 폴리실리콘 구역들에 상기 플라즈마 종들로부터의 원자 라디칼들을 선택적으로 편입하는 단계를 포함한다.
위에서 열거된 본 발명의 특징들이 상세히 이해될 수 있도록 하기 위하여, 위에서 간략히 요약한 본 발명의 더욱 구체적인 설명이 실시예들을 참조하여 이루어지며, 이들 실시예들 중 일부는 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시할 뿐이며, 따라서 본 발명은 다른 균등하게 효과적인 실시예들에 대해서도 허용할 수 있기 때문에, 본 발명의 범위를 한정하는 것으로 간주되지 않음에 유의해야 한다.
도 1은 본 발명의 일 실시예에 다른 방법 및 장치로 이루어질 수 있는 예시적인 반도체 디바이스의 개략적 단면도를 도시한다.
도 2는 본 발명의 일 실시예에 따른 원격 플라즈마 시스템의 개략도를 도시한다.
도 3은 본 발명의 일 실시예에 따른 RTP 장치에 플라즈마의 라디칼들을 공급하는데 사용하기 위한 예시적인 전달 파이프의 개략적이고 부분적인 단면도를 도시한다.
도 4는 본 발명의 실시예에 따른 도 3의 전달 파이프 및 RTP 장치의 개략적이고 부분적인 상면도를 도시한다.
본 발명은 원격 플라즈마 소스를 이용하여 플라즈마의 라디칼들을 기판 또는 반도체 기판 상의 물질 안으로 편입하기 위한 장치 및 방법을 설명한다. 일반적으로, 예를 들면, 가스 분자들의 활동적인 여기에 의해 발생된 플라즈마 소스들은 대전된 이온들, 라디칼들, 및 전자들의 플라즈마로 구성된다. 본 발명은 플라즈마의 라디칼들이 이온들 또는 라디칼들과 이온들의 혼합물보다 기판 상의 실리콘 또는 폴리실리콘 물질과 훨씬 더 바람직한 방식으로 반응함을 인식한다. 이와 관련하여, 본 발명은 플라즈마의 라디칼들만이 기판 상의 실리콘 또는 폴리실리콘 물질과 반응하고, 그에 의해 기판 상의 실리콘 또는 폴리실리콘 물질의 프로세싱의 더 큰 선택도를 획득하도록 플라즈마의 다수(majority)의 이온들을 제거하는 방법을 제공한다.
본 발명이 특정 디바이스에 제한되지 않지만, 설명된 장치들 및 방법들은 좁은 피치 애플리케이션들에 적합한 반도체 디바이스들 및 구조체들의 제조를 위해 사용될 수 있다. 본 명세서에서 설명된 바와 같이, 좁은 피치 애플리케이션들은 32㎚ 또는 그 미만의 절반-피치(예를 들면, 32㎚ 또는 그 미만의 디바이스 노드들)를 포함한다. 본 명세서에서 사용된 바와 같은 용어 "피치"는 평행한 구조체들 또는 반도체 디바이스의 근처 구조체들 사이의 측정에 관한 것이다. 피치는 근처 또는 실질적으로 평행한 구조체들의 동일한 측면의 좌우로 측정될 수 있다. 반도체 디바이스들 및 구조체들은 또한 더 큰 피치들을 갖는 애플리케이션들에 활용될 수 있다. 반도체 디바이스들은, 예를 들면, NAND 또는 NOR 플래시 메모리, 또는 다른 적합한 디바이스들일 수 있다.
예시적인 NAND 플래시 메모리 디바이스
도 1은 본 발명의 장치로 만들 수 있는, NAND 플래시 메모리 디바이스(100)와 같은 예시적인 반도체 디바이스의 개략적 단면도를 도시한다. 메모리 디바이스(100)는 일반적으로 그 위에 배치된 터널 산화물 층(104)을 갖는 기판(102)을 포함한다. 부동 게이트(106)는 터널 산화물 층(104) 상에 배치된다. 기판(102)의 부동 게이트(106), 터널 산화물 층(104), 및 그 하부의 일부는 메모리 디바이스(100)의 셀(103)(또는 메모리 유닛)을 형성한다. 메모리 디바이스(100)의 각 셀(103)은, 예를 들면, 각 셀(103) 사이에서(예를 들면, 터널 산화물 층(104) 및 부동 게이트(106) 근처에서, 여기서, STI 구역(108)이 셀(103)을 근처 셀들(105 및 107)로부터 분리함) 기판(102)에 배치되는 얕은 트렌치 격리(STI) 구역(108)에 의해 분리될 수 있다. 메모리 디바이스(100)는 제어 게이트 층(112), 및 부동 게이트(106)와 제어 게이트 층(112) 사이에 배치되는 인터폴리 유전체(IPD) 층(110)을 더 포함한다. IPD 층(110)은 부동 게이트(106)를 제어 게이트 층(112)로부터 분리한다.
기판(102)은 결정질 실리콘(예를 들면, Si<100> 또는 Si<111>), 실리콘 산화물, 응력 가해진 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 패터닝된 또는 패터닝되지 않은 웨이퍼들, 실리콘 온 절연체(SOI), 탄소 도핑된 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 글래스, 사파이어 등과 같은 적합한 물질을 포함할 수 있다. 일부 실시예들에서, 기판(102)은 실리콘을 포함한다.
터널 산화물 층(104)은 단일 또는 층을 이루는 구조체들(예를 들면, SiO2/고-k/SiO2)에서, 실리콘 산화물(SiO2), 실리콘 산질화물(SiON)과 같은 실리콘 및 산소, 또는 알루미늄-(Al), 하프늄-(Hf), 또는 란타늄-(La), 지르코늄-(Zr) 기반 산화물들 또는 산질화물들과 같은 고-k 유전체 물질들, 또는 실리콘 질화물들(SixNy) 등을 포함할 수 있다. 터널 산화물 층(104)은 임의의 적합한 두께, 예를 들면, 약 5㎚ 내지 약 12㎚의 두께를 가질 수 있다. 터널 산화물 층(104)은 각 셀 내에서 부동 게이트(106)의 기저(base)의 폭과 실질적으로 동일한 폭을 가질 수 있다. STI 구역(108)은 실리콘 산화(SiO2), 실리콘 산질화물(SiON) 등과 같은 실리콘 및 산소를 포함할 수 있다.
부동 게이트(106)는 전형적으로 실리콘, 폴리실리콘, 금속들 등과 같은 전도성 물질을 포함한다. 부동 게이트(106)는 근처 셀들 사이(예를 들면, 셀들(103, 105 및 107) 사이)의 제어 게이트 층(112)의 일부들의 배치를 용이하게 하는데 적합한 구성을 갖는다. 이와 같이, 부동 게이트는 역 "T" 형상으로 형성될 수 있다. 본 명세서에서 사용된 바와 같이, 용어 역 "T"는 일반적으로 부동 게이트(106)의 상부가 부동 게이트(106)의 기저에 대하여 줄어드는(relieved) 구조체의 기하형상을 지칭한다. 이런 양각(relief)은 근처 부동 게이트들(106) 사이의 갭을 완전히 충진하지 않고 부동 게이트(106) 위에 형성될 IPD 층(110)의 공간(room)을 제공하고, 그에 의해 제어 게이트 층(112)의 일부가 근처 부동 게이트들(106) 사이에 배치될 수 있게 한다.
IPD 층(110)은 임의의 적합한 단일 또는 멀티-층 유전체 물질들을 포함할 수 있다. 예시적인 단일 층 IPD은, 터널 산화물 층(104) 등에 대하여 위에서 설명한 바와 같이, SiO2, SiON, 또는 고-k 유전체 물질을 포함할 수 있다. 예시적인 멀티-층 IPD는 제 1 산화물 층, 질화물 층, 및 제 2 산화물 층을 포함하는 멀티-층 "ONO" 구조체(도시되지 않음)일 수 있다. 제 1 및 제 2 산화물 층들은 전형적으로 실리콘 산화물(SiO2), 실리콘 산질화물(SiON) 등과 같은 실리콘 및 산소를 포함한다. 질화물 층은 전형적으로 실리콘 질화물(SiN) 등과 같은 실리콘 및 질소를 포함한다. 일부 실시예들에서, SiO2/고-k/SiO2(SiO2/Al2O3/SiO2와 같은)를 포함하는 멀티-층 IPD 층은 또한 IPD 층(110)으로서 사용될 수 있다. IPD 층(110)이 약 10㎚ 내지 약 15㎚의 두께로 증착될 수 있다.
제어 게이트 층(112)은 제어 게이트를 형성하기 위해 IPD 층(110)의 위에 증착될 수 있다. 제어 게이트 층(112)은 전형적으로 폴리실리콘, 금속 등과 같은 전도성 물질을 포함한다. 역 T 형상의 부동 게이트(106)는 제어 게이트 층(112)에 대하여 근처 부동 게이트들(예를 들면, 셀들(103 및 105)의 부동 게이트) 사이에 위치된 더 큰 표면적을 가능하게 한다. 제어 게이트 층(112)의 증가한 표면적은 부동 게이트(106)의 측벽과 제어 게이트 층(112) 사이의 용량성 결합을 유리하게 개선할 수 있고, 근처 부동 게이트들 사이의 기생 정전 용량, 부동 게이트 간섭, 노이즈 등을 감소시킬 수 있다.
선택적으로, IPD 증착 이전에, 유전체 층(113)이 부동 게이트(106)의 노출된 표면 상에 형상추종적으로 형성될 수 있다. 특히, 유전체 층(113)은, 동일한 플라즈마 조건들(이하 상세하게 설명될) 하에서 STI 구역(108) 또는 임의의 다른 유전체 막들 상의 유전체 층(113)의 형성이 거의 없이, 주로 부동 게이트(106)의 노출된 표면 상에 선택적으로 형성된다. 주로 부동 게이트(106) 상에 선택적으로 형성되는 유전체 층(113)에 의해, 터널 산화물 층의 신뢰성 및/또는 부동 게이트(106) 밖으로의 도펀트 확산의 억제가 IPD 막 스택 두께의 스케일링을 가능하게 하면서 개선된다.
유전체 층(113)은 실리콘 질화물 또는 실리콘 산질화물과 같은 질화물 층일 수 있다. 질화물 층은 부동 게이트(106)의 필드(field) 표면(114) 및 측벽(115)을 질소 함유 라디칼들에 노출함으로써 형성될 수 있다. N, NH, 및 NH2와 같은 질소 함유 라디칼들은 일부 여기, 예를 들면, 플라즈마 여기, 포토 여기, 전자-빔 여기, 또는 고열의 도움으로 생성될 수 있다. 질화물 프로세스는 열 수단 단독에 의해, 플라즈마 수단 단독에 의해, 또는 이 둘의 조합에 의해 수행될 수 있다. 일 실시예에서, 부동 게이트(106)의 표면들은 선택적인 플라즈마 질화 프로세스를 이용하여 질소 함유 라디칼들에 노출된다. 질소 함유 라디칼들은 Si-O 결합-파괴(bond-breaking) 에너지들(452kJ/mol)과 비교하여 더 낮은 Si-Si 결합-파괴 에너지들(222 kJ/mol)에 기인하여 STI 구역(108)(예를 들면, 실리콘 산화물로 형성된)의 표면보다, 선택적인 플라즈마 질화 프로세스 동안, 부동 게이트(106)(예를 들면, 실리콘 또는 폴리실리콘으로 형성됨)의 표면과 우선적으로 반응할 것이다. 라디칼들이 Si-O 결합을 파과하기 충분한 반응성이 아니기 때문에, 선택적인 플라즈마 질화 프로세스는 실리콘 산화물의 질화물들보다 빨리 실리콘의 질화물들을 형성하고, 근처 부동 게이트들(106) 사이의 STI 구역(108)과 대조적으로 부동 게이트(106)의 필드 표면(114) 및 측벽(115)에서 상당히 큰 농도의 질소-함유 물질, 즉, 예를 들면, Si-N 결합들로 형성된 유전체 층(113)을 야기한다. 질소-함유 물질 또는 유전체 층(113)이 STI 구역(108)에서 상당한 양으로 존재하지 않기 때문에, 이웃하는 부동 게이트 구조체들 사이에 원하지 않는 대전된 누설 경로가 발생하지 않는다.
라디칼들은, 이온들이 라디칼들에 비하여 그리고 위에 열거된 결합 에너지들(N2의 제 1 이온화 에너지 = 1402 kJ/mol; N2의 원자화 에너지 = 437 kJ/mol)에 비하여 높은 화학적 활동성(activity)을 갖기 때문에, 선호되고, 그래서 이온들은 라디칼들의 선택도를 달성하지 못한다. 주어진 증착 프로세스 후에, 실리콘에서의 질소의 농도를 산화물에서의 질소의 농도로 나눈 것으로 정의되는 선택도는 약 20:1 내지 약 70:1과 같은 약 10:1 내지 약 100:1, 예를 들면, 약 40:1일 수 있다. 더 큰 노출 시간이 선택도를 개선할 수 있다.
높은 라디칼 밀도 대 이온 밀도는 예를 들면, 약 0.3Torr 내지 20Torr, 예를 들면, 약 5Torr 또는 그 초과의 압력을 이용한 고압 플라즈마 프로세스에 의해 달성될 수 있다. 고압은 이온들이 전자들과 빠르게 재결합하도록 촉진하며, 중성의 라디칼 종들 및 불활성 종들을 남긴다. 일부 실시예들에서, 라디칼 가스가 형성된다. 일부 실시예들에서, 원격 플라즈마가 다양한 방법들에 의해 라디칼 종들을 선택적으로 발생하기 위해 사용될 수 있다. 원격 플라즈마 발생기, 예를 들면, 마이크로파, RF, 또는 열 챔버가 전달 파이프를 통하여 프로세싱 챔버에 연결될 수 있다. 전달 파이프는, 도 3 및 도 4에 대하여 아래에 더 상세하게 설명될 바와 같이, 프로세싱 구역에 도달하기 전에 경로를 따라 이온 종들의 재결합을 촉진하기 위해 프로세싱 챔버에 대하여 어떤 각으로 위치되는 상대적으로 긴 경로일 수 있다. 전달 파이프를 통하여 유동하는 라디칼들은 샤워헤드 또는 라디칼 분배기를 통하여, 또는 챔버의 측벽에서의 포탈 입구(portal entry)를 통하여 약 5sLm 내지 약 20 sLm과 같은 약 1sLm 내지 약 20sLm, 예를 들면, 약 10sLm의 유량으로 챔버 안으로 유동할 수 있다. 더 높은 압력들 및 더 낮은 유동들은 충돌들을 촉진한다고 생각된다. 질소 라디칼들은, 일 실시예에서, 질소, 암모니아, 또는 이의 혼합물과 같은 질소 함유 가스를 선택적으로 헬륨과 같은 캐리어 가스와 함께 약 5Torr 초과의 압력에서 약 1-3㎾의 마이크로파 전력에 노출시킴으로써, 형성될 수 있다. 질화 프로세스는 약 300℃ 내지 약 1200℃, 예를 들면, 약 800℃ 내지 약 1000℃의 기판 온도에서 수행될 수 있는데, 이 기판 온도는 질화가 표면 포화를 방지하도록 진행됨에 따라 증가할 수 있다. 가열은 램프 가열, 레이저 가열의 이용, 가열된 기판 지지체의 사용, 또는 플라즈마 가열에 의해 수행될 수 있다.
어떤 실시예들에서, 예를 들면, 약 200V(RF 또는 DC)의 바이어스로 동작하는 정전기 필터들과 같은 다양한 이온 필터들, 와이어 또는 메시 필터들, 또는 자성 필터들이 원격 플라즈마 소스와 프로세싱 챔버 사이에 사용될 수 있는데, 이 필터들 중 임의의 것이 유전체 코팅을 가질 수 있다. 다른 실시예들에서, 원격 플라즈마 발생기에서의 체류 시간이 질소 함유 종들과 같은 반응성 종들의 가스 유동 또는 아르곤 또는 헬륨과 같은 비-반응성 종들의 가스 유동을 이용하여 조절될 수 있다. 일부 실시예들에서, 저압 플라즈마가 발생하는 이온 필터를 이용함으로써 라디칼 반감기가 연장될 수 있다. 저압 동작은 2개의 챔버들 사이의 경로를 실링하기 위한 O-링을 사용하지 않고도 프로세싱 챔버를 원격 플라즈마 챔버와 통합함으로써 촉진될 수 있다. 원격 플라즈마 발생 챔버로부터 프로세싱 챔버 안으로의 라디칼 유동의 균일성은 유동 패턴들의 밀접한 제어를 제공하도록 형성된 커넥터를 이용하여 개선될 수 있다.
일부 실시예들에서, 예를 들면, 마이크로파, UV, RF, 또는 전자 싱크로트론(synchrotron) 방사에 의해 동력이 공급되는 인시튜 플라즈마 발생 프로세스가 챔버에서 가스 분배기와 기판 지지체 사이에 배치된, 위에서 설명한 이온 필터들 중 임의의 것과 같은 이온 필터, 또는 메시 또는 다공판과 같은 이온 차폐와 함께 사용될 수 있다. 일 실시예에서, 이온 필터 능력(예를 들면, 전기적으로 격리되거나 또는 제어된 전위를 가짐)을 갖는 샤워헤드가 이온들을 필터링하면서 라디칼들이 기판 프로세싱 존에 유입할 수 있게 하기 위해 플라즈마 발생 존과 기판 프로세싱 존 사이에 배치될 수 있다.
본 명세서에서 설명된 바와 같은 본 발명은 플라즈마 발생시(라디칼들로) 플라즈마에 존재하는 실질적으로 모든 이온들이 STI 구역(108)(예를 들면, 실리콘 산화물로 형성됨)의 표면 대신에 선택적인 플라즈마 질화 프로세스 동안, 부동 게이트(106)(예를 들면, 실리콘 또는 폴리실리콘으로 형성됨)의 표면과 접촉하기 전에 제거된다고 고려된다. 양으로 대전된 이온들이 제거되는 하나의 방법은 전자들(또한 플라즈마 발생시 플라즈마에 존재함)과 결합함으로써 비-이온 또는 전하 중성 상태로 돌아가는 것이다. 플라즈마는 기판 위치, 예를 들면, 반응 위치로부터 주어진 플라즈마 방전율에서 이온들의 수명보다 긴 거리만큼 플라즈마 발생 소스를 분리함으로써 다수의(majority) 이온들이 실질적으로 없을 수 있다. 이런 방식으로, 라디칼들은 기판까지의 이동 거리를 생존하지만, 이온들은 생존하지 못하고, 대신 그것들의 이온 특성을 잃고 전하 중성이 된다.
예시적인 원격 플라즈마 시스템
도 2는 본 발명의 실시예들로부터 이익을 얻을 수 있는 예시적인 원격 플라즈마 시스템(200)을 도시한다. 특히, 원격 플라즈마 시스템(200)은 NAND 플래시 메모리 디바이스(100)와 같은 반도체 구조체의 실리콘 또는 폴리실리콘 표면 상에 질화물 층을 선택적으로 형성하기 위해 사용될 수 있다. 원격 플라즈마 시스템(200)은 캘리포니아 산타클라라 소재의 Applied Materials Inc.로부터 상업적으로 구입가능한 Centura® RTP와 같은 고속 열 프로세싱(RTP) 장치(201)를 포함할 수 있다. 다른 유형들의 열 반응기들이 예를 들면, RPN, RPO, Vantage RadiancePlusTM RTP, Vantage RadOXTM RTP, Radiance® RTP, 또는 캘리포니아 산타클라라의 Applied Materials Inc.로부터 구입가능한 다른 유사한 챔버들/반응기들과 같은 RTP 장치들로 대체될 수 있다.
도 2에서 볼 수 있는 바와 같이, 플라즈마의 라디칼들을 RTP 장치(201)로 원격으로 제공하기 위해 사용되는 어플리케이터(280)가 RTP 장치(201)에 결합된다. RTP 장치(201)는 일반적으로 측벽(214) 및 하부 벽(215)에 의해 둘러싸인 프로세싱 구역(213)을 포함한다. 측벽(214)의 상부는 "O"링들에 의해 윈도우 조립체(217)에 밀봉될 수 있다. 방사 에너지 광 도파관 조립체(218)(상부 측벽(224)에 의해 둘러싸임)는 윈도우 조립체(217) 위에 위치되고 그에 결합된다. 광 도파관 조립체(218)는 광 도파관들(221) 안에 각각 장착되고 그리고 웨이퍼 또는 기판(101)의 전체 표면적을 적절하게 덮도록 위치된 복수의 텅스텐 할로겐 램프들(219)을 포함할 수 있다. 윈도우 조립체(217)는 복수의 짧은 광 도파관들(241)을 포함할 수 있다. 도파관들의 나머지에 차례로 연결되는 광 도파관들(241) 중 하나에 연결된 튜브(253)를 통하여 펌핑함으로써 복수의 광 도파관들(241)에 진공이 생성될 수 있다.
NAND 플래시 메모리 디바이스(100)를 포함하는 웨이퍼 또는 기판(101)은 프로세싱 구역(213) 내에서 지지 링(262)에 의해 지지된다. 지지 링(262)은 회전가능한 실린더(263) 상에 장착된다. 실린더(263)를 회전함으로써, 지지 링(262) 및 웨이퍼 또는 기판(101)은 프로세싱 동안 회전하게 된다. RTP 장치(201)의 하부 벽(215)은 웨이퍼 또는 기판(101)의 후면으로 에너지를 반사하기 위한 반사기(211)로 코팅되거나 제공될 수 있다. RTP 장치(201)는 웨이퍼 또는 기판의 온도를 검출하기 위해 RTP 장치(201)의 하부 벽(215)을 관통하여 위치된 복수의 광섬유 프로브들(271)을 포함할 수 있다.
플라즈마 어플리케이터(280)는 일반적으로 이온들, 라디칼들 및 전자들의 플라즈마가 발생되는 튜브(284)를 둘러싸는 바디(282)를 포함한다. 튜브(284)는 석용 또는 사파이어로 이루어질 수 있다. 튜브(284)는 바람직하게는 대전된 입자들, 예를 들면, 이온들을 끌어당길 수 있는 임의의 전기 바이어스가 존재하지 않는다. 가스 유입부(286)는 바닥(282)의 일단에 배치되고, 바디(282)의 타단에 위치되는 가스 배출부(288)에 대향한다. 가스 배출부(288)는 튜브(284) 내에서 발생된 플라즈마의 라디칼들이 RTP 장치(201)의 프로세싱 구역(213)에 공급되도록 전달 파이프(290)를 통하여 RTP 장치(201)와 유체 연통한다. 가스 배출부(288)는 여기된 라디칼들이 원하는 유량으로 효율적으로 방전될 수 있도록 그리고 라디칼들과 튜브(284) 사이의 접촉을 최소화할 수 있도록 하기 위해 가스 유입부(286)보다 큰 직경을 가질 수 있다. 원하는 경우, 별도의 오리피스가 튜브의 내경을 감소시키기 위해 가스 배출부(288)에서 튜브(284) 안으로 삽입될 수 있다. 가스 배출부(288)(또는 오리피스가 사용된 경우, 오리피스)의 직경은 프로세싱 구역(213)과 플라즈마 어플리케이터(280) 사이의 압력차를 최적화하도록 선택될 수 있다.
N2 가스를 포함하지만 이에 한정되지 않는 질소-함유 가스의 가스 소스(292)는 3방향 밸브(294)의 제 1 입력, 및 가스 소스(292)로부터 방출된 가스의 유량을 제어하기 위해 사용되는 밸브(297)를 통하여 가스 유입부(286)에 결합할 수 있다. 3방향 밸브(294)의 제 2 입력이 산소-함유 가스, 실리콘-함유 가스, 또는 내부 가스를 포함하지만 이에 한정되지 않는 다른 프로세스 가스 소스(298)에 결합될 수 있다. 유동 제어기(296)가 어떤 프로세스가 수행될지에 따라 그것의 상이한 위치들 사이에 밸브를 전환하기 위해 3방향 밸브(294)에 연결된다. 유동 제어기(296)는 또한 유사한 방식으로, 가스 소스(298)로부터 프로세스 챔버로 적절한 프로세스 가스를 공급하기 위해 3방향 밸브(294) 및 밸브(317)를 제어하도록 기능한다.
플라즈마 어플리케이터(280)는 가스 소스(292)로부터 이동하는 프로세스 가스가 플라즈마로 여기되도록 마이크로파 주파수를 갖는 에너지와 같은 여기 에너지를 플라즈마 어플리케이터(280)에 공급하기 위해 에너지 소스(도시되지 않음)에 결합될 수 있다. 질소-함유 가스, 예를 들면, N2가 사용되는 경우, 플라즈마 어플리케이터(280)에서의 마이크로파 여기는 튜브(284)에서 N* 라디칼들, N+, N2 +와 같은 양으로 대전된 이온들 및 전자들을 생성한다. 플라즈마 어플리케이터(280)를 RTP 장치(201)의 프로세싱 구역(213)로부터 원격으로 위치시킴으로써, 플라즈마 소스는 기판(101)에 노출된 플라즈마의 조성을 우세한(predominantly) 라디칼들로 한정하도록 선택적으로 발생될 수 있다. 이온들 및 충돌들은, 플라즈마를 형성하기 위해 플라즈마 가스의 여기에 의해 발생된 이온들 모두 또는 다수(majority)가 그들의 이온 수명보다 오래 지속되고 프로세싱 구역(213)에 도달하기 전에 전하 중성이 되도록 개선된 전달 파이프(290)를 이용함으로써 더 촉진될 수 있음이 관찰되었다. 다시 말하면, RTP 장치(201)의 유입 포트(275)에 공급되는 플라즈마의 조성은 우세한 라디칼들이다.
도 3은 본 발명의 일 실시예에 따른 도 2의 전달 파이프(290) 대신에 사용될 수 있는 예시적인 전달 파이프(300)의 개략적이고 부분적인 단면도를 도시한다. 설명의 단순함과 명료함을 위해, 도면들의 엘리먼트들은 스케일로 작성되지 않았다. 전달 파이프(300)는 일반적으로 장착 슬리브(sleeve)(302) 및 장착 슬리브(302)에 연결하는 유입 부재(304)를 포함한다. 장착 슬리브(302) 및 유입 부재(304) 각각은 세로로 연장하는 공간, 예를 들면, 슬리브 통로(306) 및 유입 통로(308)를 한정하는 중공 실린더 바디를 포함한다. 통로(306, 308)의 프로파일은 원형, 타원형, 정사각형, 직사각형, 또는 불규칙함과 같은 임의의 형상일 수 있다. 장착 슬리브(302)의 일단은 장착 슬리브(302)에서의 슬리브 통로(306)가 가스 배출부(288)에서 튜브(284)와 정렬되고 그에 결합되도록 플라즈마 어플리케이터(280)(부분적으로 도시됨)의 바디(282)의 가스 배출부(288)에 볼트로 결합될 수 있다. 장착 슬리브(302)의 타단은 유입 부재(304)의 유입 통로(308)가 장착 슬리브(302)의 슬리브 통로(306)와 실질적으로 정렬되도록 유입 부재(304)에 연결된다. 어떤 예들에서, 장착 슬리브(302)의 직경은 유입 부재(304)의 직경과 일치하도록 장착 슬리브(302)의 세로축을 따라 점진적으로 감소할 수 있다. 장착 슬리브(302) 및 유입 부재(304)는 N* 라디칼들의 재결합을 초래하지 않는 물질로 이루어질 수 있다. 예를 들면, 장착 슬리브(302) 및 유입 부재(304)는 실리콘, 실리콘 질화물, 붕소 질화물, 탄소 질화물, 사파이어 또는 알루미나(Al2O3)로 이루어질 수 있다. 전달 파이프(300)가 2개의 개별 컴포넌트들(즉, 장착 슬리브(302) 및 유입 부재(304))이 서로 연결되는 것으로 도시되고 설명되지만, 본 발명은 RTP 장치(201)의 유입 포트(275)에 연결하는 통로와 통합된 단일-피스 바디로 형성된 전달 파이프를 고려한다.
전달 파이프(300) 및 RTP 장치(201)의 개략적이고 부분적인 상면도를 도시하는 도 4에서 더 잘 볼 수 있는 바와 같이, 유입 부재(304)는 RTP 장치(201)의 측벽(214)에서 유입 포트(275)에 결합되는 어댑터로서 구성될 수 있다. 도 4의 일부 엘리먼트들은 설명의 단순함 및 명료함을 위해 생략되었고 스케일로 작성되지 않았음에 유의해야 한다. 유입 부재(304)는 유입 부재(304)의 외부 면 둘레를 완전히 연장하는 플랜지(310)를 포함할 수 있다. 유입 부재(304)의 일부는 플랜지(310)의 최외각 면(312)이 측벽(214)의 내부 면(214b)에 볼트로 결합되도록 측벽(214)으로 연장될 수 있다. 대안적으로, 플랜지(310)의 최외각 면(312)은 측벽(214)의 외부 면(214a)에 볼트로 결합될 수 있고, 유입 통로(308)가 유입 포트(275)에 결합되도록 구성될 수 있다. 어떤 경우에도, 전달 파이프(300)는 유입 부재(304)의 유입 통로(308)의 세로축 "A"가 유입 포트(275)의 세로축 "B"에 대하여 각 θ로 교차하는 것과 같은 방식으로 유입 포트(275)에 결합된다. 플랜지(310)는 플랜지(310)의 최외각 면(312)이 측벽(214)의 내부 면(214b)과 실질적으로 같은 높이기만 하면, 유입 통로(308)의 세로축 "A"에 대하여 원하는 각 "α"로의 방향으로 연장할 수 있다. 일 실시예에서, 각 "α"는 약 45도 내지 약 70도와 같은 약 20도 내지 약 80도의 범위일 수 있다. 유입 통로(308)의 세로축 "A"와 유입 포트(275)의 세로축 "B" 사이의 각 θ는 약 20도 내지 약 45도와 같은 약 10도 내지 약 70도의 범위일 수 있다. 일 예에서, 각 α는 약 45도 또는 그 초과, 예를 들면, 약 60도이다. 일 예에서, 각 α 또는 θ는 본 명세서에서 한정된 것으로 제한되지 않아야 하고 필요에 따라 변할 수 있다. 이온들이 유입 포트(275)의 내부 면과 충돌하는 경우, 충돌들에 의해 운동량(momentum)을 상실하기 때문에, 전달 파이프(300)를 유입 포트(275)에 대하여 어떤 각으로 위치시키는 것은 전자 또는 다른 대전된 입자들과 이온들의 충돌 또는 그것들과 이온들의 반응을 촉진시킨다. 따라서, 에너지 소스에 의한 여기에 의해 생성되는 실질적으로 모든 이온들은 프로세싱 구역(213)에 유입되기 전에 제거된다. 전달 파이프(300)가 플랜지(310)를 포함하는 것으로 도시되고 설명되지만, 플랜지(310)는, 전달 파이프(300)가 전자들과 또는 다른 대전된 입자들과 이온들의 충돌 또는 그것들과 이온들의 반응을 촉진할 수 있는 각으로 RTP 장치(201)에 결합되기만 하면, 생략될 수 있다.
본 명세서에서 설명된 바와 같은 굽은 파이프 구조체에 더하여, 전달 파이프(300)는, 프로세스 가스의 주어진 유량(예를 들면, 주어진 플라즈마 발생률)에 대하여, 실질적으로 모든 이온들이 소멸되거나, 전달 파이프(300)에 존재하기 전의 그들의 여기된 상태를 상실하도록 전자들 또는 다른 대전된 입자들과 반응되도록 하는 길이로 구성될 수 있다. 주어진 소스 유량에서 플라즈마의 실질적으로 모든 이온들을 소멸하는데 필요한 튜브(284) 및 전달 파이프(300)의 길이는 실험적으로 또는 수명 연산들에 의해 결정될 수 있다. 일 실시예에서, 튜브(284)는 약 0.5인치 내지 약 2인치의 내경으로 약 5인치 내지 약 12인치의 길이를 가질 수 있다. 전달 파이프(300)(유입 및 슬리브 통로들(306, 308)을 포함함)의 길이는 약 5인치 내지 약 25인치, 예를 들면, 약 16인치 또는 그 초과로 변경할 수 있다. 통로(306, 308)의 직경은 플라즈마 어플리케이터(280)와 프로세싱 구역(213) 사이의 압력차를 최적화하도록 조정될 수 있다. 일 실시예에서, 통로(306, 308)의 직경은 약 0.5인치 내지 약 2인치의 범위이고, 예를 들면, 직경이 약 0.65인치 내지 약 1.5인치이다. 원하는 경우, 통로들(306, 308) 중 어느 하나 또는 모두는 이온 상실을 촉진하기 위해 유동의 방향에서 점진적으로 증가하거나 감소하는 직경을 가질 수 있다. 다양한 실시예들에서, 튜브(284) 및 전달 파이프(300)의 전체 길이는 약 8인치 내지 약 35인치, 예를 들면, 약 20인치 또는 그 초과일 수 있다. 플라즈마의 합류 유동(converging flow)이 이온들 충돌들을 촉진할 것이라고 생각된다. 유입 포트(275) 이전(예를 들면, 유입 통로(308))의 최소 직경의 단면적에 대한 플라즈마 발생 영역(예를 들면, 튜브(284))의 단면적으로 정의된 압축비는 약 2 또는 그 초과, 예를 들면, 약 5 내지 약 10 또는 그 초과일 수 있다.
이온 종들의 재결합을 촉진하는 RTP 장치의 유입 포트(275)에 대하여 어떤 각으로 위치되어 있는 개선된 전달 파이프(300)로 물리적으로 플라즈마 발생 영역(즉, 플라즈마 어플리케이터(280)) 및 프로세싱 구역(213)을 분리시킴으로써, 실리콘 또는 폴리 실리콘 부동 게이트(106)의 질화의 더 큰 선택도가 획득된다. 실리콘 또는 폴리실리콘 표면을 갖는 부동 게이트(106)를 갖는 NAND 플래시 메모리 디바이스가 본 명세서에서 설명된 장치에 의해 수행되는 선택적인 질화 프로세스로 처리되는 실시예에서, STI 구역(108)에 대한 실리콘 또는 폴리실리콘 부동 게이트(106)의 질화의 선택도는, 실리콘 또는 폴리실리콘 부동 게이트(106)의 표면에서, 약 5×1015 원자/㎠ 내지 약 15×1015 원자/㎠, 예컨대 약 20×1015 원자/㎠ 또는 그 초과, 예를 들면 약 25×1015 원자/㎠의 질소 투입량으로 약 100:1 정도까지 증가할 수 있다.
상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가 실시예들이 그의 기본 범위를 벗어나지 않고 안출될 수 있으며, 그 범위는 다음의 청구범위에 의해 결정된다.

Claims (15)

  1. 원격 플라즈마 시스템으로서,
    이온들 및 라디칼들을 포함하는 플라즈마를 발생하기 위한 제 1 구역을 한정하는 원격 플라즈마 챔버;
    반도체 디바이스를 프로세싱하기 위한 제 2 구역을 한정하는 프로세스 챔버 ― 상기 프로세스 챔버는 상기 프로세스 챔버의 측벽에 형성되는 유입 포트를 포함하고, 상기 유입 포트는 상기 제 2 구역과 유체 연통함 ―; 및
    상기 원격 플라즈마 챔버로부터 상기 프로세스 챔버로 플라즈마 종들을 전달하기 위한 전달 부재 ― 상기 전달 부재는 그 안에 세로로 연장하는 통로를 한정하는 바디를 포함하고, 상기 바디는 상기 제 1 구역에 연결하는 제 1 단부 및 상기 제 2 구역에 연결하는 제 2 단부를 가지며, 상기 제 2 단부는 상기 제 1 단부에 대향되고, 상기 통로는 상기 통로의 세로축이 상기 유입 포트의 세로축에 대하여 각을 갖고 교차하도록 상기 프로세스 챔버의 유입 포트에 결합됨 ―
    를 포함하는,
    원격 플라즈마 시스템.
  2. 제 1 항에 있어서,
    상기 전달 부재는 상기 제 2 단부에서 상기 바디의 외부면 둘레에서 연장하는 플랜지를 더 포함하고, 상기 플랜지는 상기 프로세스 챔버의 측벽의 표면과 실질적으로 같은 높이의 표면을 갖는, 원격 플라즈마 시스템.
  3. 제 1 항에 있어서,
    상기 각은 약 20도 내지 약 80도 범위인, 원격 플라즈마 시스템.
  4. 제 1 항에 있어서,
    상기 통로는 약 5인치 내지 약 25인치의 길이를 갖는, 원격 플라즈마 시스템.
  5. 제 1 항에 있어서,
    상기 통로는 약 0.5인치 내지 약 2 인치 범위의 직경을 갖는, 원격 플라즈마 시스템.
  6. 원격 플라즈마 시스템으로서,
    이온들 및 라디칼들을 포함하는 플라즈마를 발생하기 위한 제 1 구역을 한정하는 원격 플라즈마 챔버;
    반도체 디바이스를 프로세싱하기 위한 제 2 구역을 한정하는 프로세스 챔버 ― 상기 프로세스 챔버는 상기 프로세스 챔버의 측벽에 형성되는 유입 포트를 포함하고, 상기 유입 포트는 상기 제 2 구역과 유체 연통함 ―; 및
    상기 원격 플라즈마 챔버와 상기 프로세스 챔버 사이에 배치되고, 상기 제 1 구역 및 상기 유입 포트와 유체 연통하는 통로를 갖는 전달 부재 ― 상기 전달 부재는 상기 통로의 세로축이 상기 유입 포트의 세로축에 대하여 약 20도 내지 약 80도의 각으로 교차하도록 구성됨 ―
    를 포함하는,
    원격 플라즈마 시스템.
  7. 제 6 항에 있어서,
    상기 전달 부재는 상기 전달 부재의 외부면 둘레에서 연장하는 플랜지를 더 포함하고, 상기 플랜지는 상기 측벽의 표면과 실질적으로 같은 높이의 표면을 갖는, 원격 플라즈마 시스템.
  8. 제 6 항에 있어서,
    상기 통로는 약 5인치 내지 약 25인치의 길이를 갖는, 원격 플라즈마 시스템.
  9. 제 6 항에 있어서,
    상기 통로는 약 0.5인치 내지 약 2인치 범위의 직경을 갖는, 원격 플라즈마 시스템.
  10. 제 6 항에 있어서,
    상기 원격 플라즈마 챔버와 상기 프로세스 챔버 사이에 배치되는 이온 필터를 더 포함하는, 원격 플라즈마 시스템.
  11. 프로세스 챔버의 프로세싱 구역에서 반도체 디바이스를 프로세싱하기 위한 방법으로서,
    원격 플라즈마 소스로부터 세로의 통로를 갖는 전달 부재로 플라즈마 종들을 발생 및 유동하는 단계;
    상기 통로로부터 상기 프로세스 챔버의 측벽에 형성되는 유입 포트로 플라즈마 종들을 유동하는 단계 ― 상기 플라즈마 종들은 이온들이 상기 프로세스 챔버의 상기 프로세싱 구역에 유입되기 전에 상기 플라즈마 종들로부터 실질적으로 제거되도록 상기 플라즈마 종들에서의 전자들 또는 대전된 입자들과 이온들의 충돌 또는 이온들의 반응을 촉진하기 위해 상기 유입 포트 안으로 각을 갖고 유동됨 ―; 및
    상기 반도체 디바이스의 실리콘 또는 폴리실리콘 구역들에 상기 플라즈마 종들로부터의 원자 라디칼들을 선택적으로 편입하는(incorporating) 단계
    를 포함하는,
    반도체 디바이스를 프로세싱하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 전달 부재는 상기 원격 플라즈마 소스와 상기 프로세스 챔버 사이에 배치되는, 반도체 디바이스를 프로세싱하기 위한 방법.
  13. 제 11 항에 있어서,
    전달 부재는 상기 통로의 세로축이 상기 유입 포트의 세로축에 대하여 약 20도 내지 약 80도의 각으로 교차하도록 구성되는, 반도체 디바이스를 프로세싱하기 위한 방법.
  14. 제 11 항에 있어서,
    상기 통로는 약 5인치 내지 약 25인치의 길이를 갖는, 반도체 디바이스를 프로세싱하기 위한 방법.
  15. 제 11 항에 있어서,
    상기 통로는 약 0.5인치 내지 약 2인치 범위의 직경을 갖는, 반도체 디바이스를 프로세싱하기 위한 방법.
KR1020147004566A 2011-08-10 2012-06-29 선택적인 질화 프로세스를 위한 방법 및 장치 KR102001245B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161522129P 2011-08-10 2011-08-10
US61/522,129 2011-08-10
US13/536,443 US10049881B2 (en) 2011-08-10 2012-06-28 Method and apparatus for selective nitridation process
US13/536,443 2012-06-28
PCT/US2012/045046 WO2013022530A1 (en) 2011-08-10 2012-06-29 Method and apparatus for selective nitridation process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197020289A Division KR102196413B1 (ko) 2011-08-10 2012-06-29 선택적인 질화 프로세스를 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20140050073A true KR20140050073A (ko) 2014-04-28
KR102001245B1 KR102001245B1 (ko) 2019-07-17

Family

ID=47668782

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197020289A KR102196413B1 (ko) 2011-08-10 2012-06-29 선택적인 질화 프로세스를 위한 방법 및 장치
KR1020147004566A KR102001245B1 (ko) 2011-08-10 2012-06-29 선택적인 질화 프로세스를 위한 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197020289A KR102196413B1 (ko) 2011-08-10 2012-06-29 선택적인 질화 프로세스를 위한 방법 및 장치

Country Status (6)

Country Link
US (3) US10049881B2 (ko)
JP (1) JP6049720B2 (ko)
KR (2) KR102196413B1 (ko)
CN (3) CN105679633B (ko)
TW (4) TWI645475B (ko)
WO (1) WO2013022530A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107403717A (zh) * 2016-04-28 2017-11-28 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
KR20200103857A (ko) * 2018-01-25 2020-09-02 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화 챔버를 위한 도그본 유입구 원뿔형 프로파일
KR20200103861A (ko) * 2018-01-24 2020-09-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 라디칼 농도들을 위한 측면 주입부 설계들
KR20210131940A (ko) * 2016-04-28 2021-11-03 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 개선된 측면 주입 노즐 설계

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9217201B2 (en) 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US20160042916A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
CN110494950A (zh) * 2017-04-10 2019-11-22 应用材料公司 由远程氮自由基源实现的高沉积速率高质量氮化硅
TW202347401A (zh) * 2018-01-24 2023-12-01 美商應用材料股份有限公司 腔室入口組件、入口構件及包括此腔室入口組件的基板處理系統
USD924825S1 (en) 2018-01-24 2021-07-13 Applied Materials, Inc. Chamber inlet
KR20230170130A (ko) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
US20220165547A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Novel and effective homogenize flow mixing design
WO2023075899A1 (en) * 2021-10-26 2023-05-04 Applied Materials, Inc. Plasma processing with tunable nitridation
US20240047185A1 (en) * 2022-08-03 2024-02-08 Applied Materials, Inc. Shared rps clean and bypass delivery architecture

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
JP2009239151A (ja) * 2008-03-28 2009-10-15 Tokyo Electron Ltd 基板処理装置

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59169143A (ja) * 1983-03-16 1984-09-25 Toshiba Corp 窒化膜生成装置
US5018479A (en) 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5262610A (en) * 1991-03-29 1993-11-16 The United States Of America As Represented By The Air Force Low particulate reliability enhanced remote microwave plasma discharge device
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
JP3631269B2 (ja) * 1993-09-27 2005-03-23 株式会社東芝 励起酸素の供給方法
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5917434A (en) 1995-06-15 1999-06-29 Trimble Navigation Limited Integrated taximeter/GPS position tracking system
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6027619A (en) * 1996-12-19 2000-02-22 Micron Technology, Inc. Fabrication of field emission array with filtered vacuum cathodic arc deposition
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US20020007912A1 (en) * 1999-04-12 2002-01-24 Mohammad Kamarehi Coolant for plasma generator
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7094316B1 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US6656288B2 (en) 2000-08-16 2003-12-02 John-Paul F. Cherry Microwave oven cleaner
US6893979B2 (en) 2001-03-15 2005-05-17 International Business Machines Corporation Method for improved plasma nitridation of ultra thin gate dielectrics
US7033462B2 (en) * 2001-11-30 2006-04-25 Nissin Electric Co., Ltd. Vacuum arc vapor deposition process and apparatus
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
BE1015271A3 (fr) * 2003-01-03 2004-12-07 Semika S A Dispersion photosensible a viscosite ajustable pour le depot de metal sur un substrat isolant et son utilisation.
JP4268429B2 (ja) * 2003-03-17 2009-05-27 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7291568B2 (en) 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
WO2005048337A1 (ja) 2003-11-14 2005-05-26 Tokyo Electron Limited プラズマ着火方法および基板処理方法
JP2007073539A (ja) * 2003-12-18 2007-03-22 Tokyo Electron Ltd 成膜方法およびプラズマ発生方法、基板処理装置
JP4430417B2 (ja) * 2004-01-28 2010-03-10 株式会社アルバック 成膜装置及びそのクリーニング方法
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
KR100669828B1 (ko) * 2005-03-22 2007-01-16 성균관대학교산학협력단 중성빔을 이용한 원자층 증착장치 및 이 장치를 이용한원자층 증착방법
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20070264443A1 (en) 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
JP4660452B2 (ja) * 2006-09-30 2011-03-30 株式会社フェローテック 拡径管型プラズマ生成装置
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8163626B2 (en) 2009-06-15 2012-04-24 Applied Materials, Inc. Enhancing NAND flash floating gate performance
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
KR20110114970A (ko) 2010-04-14 2011-10-20 삼성전자주식회사 플래시 메모리 소자의 제조 방법
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
US20190295822A1 (en) * 2018-03-20 2019-09-26 Applied Materials, Inc. Method and apparatus for providing radical species to a processing volume of a processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
JP2009239151A (ja) * 2008-03-28 2009-10-15 Tokyo Electron Ltd 基板処理装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107403717A (zh) * 2016-04-28 2017-11-28 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
KR20210131940A (ko) * 2016-04-28 2021-11-03 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 개선된 측면 주입 노즐 설계
CN107403717B (zh) * 2016-04-28 2023-07-18 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
KR20200103861A (ko) * 2018-01-24 2020-09-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 라디칼 농도들을 위한 측면 주입부 설계들
KR20220138417A (ko) * 2018-01-24 2022-10-12 어플라이드 머티어리얼스, 인코포레이티드 개선된 라디칼 농도들을 위한 측면 주입부 설계들
US11501945B2 (en) 2018-01-24 2022-11-15 Applied Materials, Inc. Side inject designs for improved radical concentrations
KR20200103857A (ko) * 2018-01-25 2020-09-02 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화 챔버를 위한 도그본 유입구 원뿔형 프로파일
KR20220140044A (ko) * 2018-01-25 2022-10-17 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화 챔버를 위한 도그본 유입구 원뿔형 프로파일

Also Published As

Publication number Publication date
US20210202702A1 (en) 2021-07-01
TW201711109A (zh) 2017-03-16
US10950698B2 (en) 2021-03-16
CN106098551A (zh) 2016-11-09
US20190088485A1 (en) 2019-03-21
TWI645475B (zh) 2018-12-21
TW201727770A (zh) 2017-08-01
TWI703643B (zh) 2020-09-01
US10049881B2 (en) 2018-08-14
TWI560779B (en) 2016-12-01
CN105679633B (zh) 2019-03-15
CN106098551B (zh) 2019-08-16
CN105679633A (zh) 2016-06-15
KR102001245B1 (ko) 2019-07-17
US20130040444A1 (en) 2013-02-14
KR102196413B1 (ko) 2020-12-29
JP6049720B2 (ja) 2016-12-21
KR20190086049A (ko) 2019-07-19
CN103718278A (zh) 2014-04-09
CN103718278B (zh) 2016-07-06
TW201308442A (zh) 2013-02-16
WO2013022530A1 (en) 2013-02-14
JP2014527300A (ja) 2014-10-09
TWI585865B (zh) 2017-06-01
TW201921514A (zh) 2019-06-01
US11581408B2 (en) 2023-02-14

Similar Documents

Publication Publication Date Title
US11581408B2 (en) Method and apparatus for selective nitridation process
TWI549163B (zh) 減少摻質擴散之表面穩定化製程
US8808564B2 (en) Method and apparatus for selective nitridation process
US8916484B2 (en) Remote plasma radical treatment of silicon oxide
TWI557799B (zh) 用於半導體裝置之氧化的方法
JP7431266B2 (ja) ラジカル濃度を改善するためのサイドインジェクト設計
TWI811284B (zh) 腔室入口組件、入口構件及包括此腔室入口組件的基板處理系統

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant