KR20140046468A - 유전체 에칭에 대한 음이온 제어 - Google Patents

유전체 에칭에 대한 음이온 제어 Download PDF

Info

Publication number
KR20140046468A
KR20140046468A KR20147004321A KR20147004321A KR20140046468A KR 20140046468 A KR20140046468 A KR 20140046468A KR 20147004321 A KR20147004321 A KR 20147004321A KR 20147004321 A KR20147004321 A KR 20147004321A KR 20140046468 A KR20140046468 A KR 20140046468A
Authority
KR
South Korea
Prior art keywords
signal
phase
plasma
chamber
frequency
Prior art date
Application number
KR20147004321A
Other languages
English (en)
Other versions
KR101982364B1 (ko
Inventor
알렉세이 마라크타노브
미르자페르 케이. 아바체프
라진더 딘드사
에릭 허드슨
앤드류 디. 베일리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140046468A publication Critical patent/KR20140046468A/ko
Application granted granted Critical
Publication of KR101982364B1 publication Critical patent/KR101982364B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

용량성 커플링된 플라즈마 챔버에서 반도체 프로세싱에 대한 장치들, 방법들, 및 컴퓨터 프로그램들이 제공된다. 챔버는 하부 무선 주파수 (RF) 신호 생성기, 상부 RF 신호 생성기, 및 RF 페이즈 제어기를 포함한다. 하부 RF 신호 생성기는 챔버 내의 하부 전극에 커플링되고, 상부 RF 신호 생성기는 상부 전극에 커플링된다. 게다가, 하부 RF 신호는 제1 페이즈로 설정되고, 상부 RF 신호는 제2 페이즈로 설정된다. RF 페이즈 제어기는 하부 RF 신호를 수신하도록 동작가능하고 제2 페이즈의 값을 설정하도록 동작가능하다. 게다가, RF 페이즈 제어기는, 대략 미리 결정된 상수 값으로 상부 RF 신호의 최대값과 하부 RF 신호의 최소값 사이의 시간 차이를 유지하도록 제1 페이즈와 제2 페이즈를 추적하도록 동작가능하고, 웨이퍼의 표면에 음이온 플럭스의 증가를 발생시킨다.

Description

유전체 에칭에 대한 음이온 제어{NEGATIVE ION CONTROL FOR DIELECTRIC ETCH}
본 발명은 반도체 디바이스의 유전체 에칭을 용이하게 하기 위한 방법들, 시스템들, 및 컴퓨터 프로그램들에 관한 것이고, 보다 구체적으로, 용량성 커플링된 플라즈마 (CCP) 챔버에서 음이온 제어를 용이하게 하기 위한 방법들, 시스템들, 컴퓨터 프로그램들에 관한 것이다.
집적 회로들의 제조는, 서브마이크론 (submicron) 디바이스 피처 (feature) 들 (예를 들어, 트랜지스터들, 커패시터들 등등) 이 표면 상에 에칭되는, 화학적으로-반응하는 플라즈마들 내로 도핑된 실리콘의 영역들을 함유하는 실리콘 기판들 (웨이퍼들) 을 담그는 것을 포함한다. 제1 층이 제조되는 경우, 몇몇의 백 엔드 (back-end) 절연 (유전체) 층들은, 홀들 (또한, 비아로 언급됨) 과 트렌치 (trench) 들이 전도성 상호접속부들의 배치를 위해 물질 내로 에칭되는, 제1 층의 상부에 축적된다.
SiO2는 반도체 제조에 사용되는 일반적인 유전체이다. SiO2에칭에 사용되는 플라즈마들은, 아르곤 (Ar) 및 산소 (O2) 가스들과 함께, 사플루오르화 탄소 (CF4) 및 옥타플루오르사이클로뷰테인 (otafluorocyclobutane) (C-C4F8) 와 같은 플루오르화탄소 가스들을 종종 포함한다. 용어 플라즈마는, 성분 원자들 및 분자들이 부분적으로 또는 전부 이온화된 가스들을 지칭하는데 사용된다. 용량성 무선 주파수 (RF) 전력 커플링은, 획득된 낮은 해리율 때문에 플라즈마를 유지하고 발생하기 위해 종종 사용되고, 표면에의 고 이온 에너지들과 더 큰 페시베이션 (passivation) 분자들을 장려한다. 실리콘 기판에의 이온 플럭스 (flux) 와 이온 에너지의 독립적인 제어를 획득하도록, 이중 주파수 용량성 방전 (DF-CCP) 이 종종 사용된다.
웨이퍼의 에칭은, 양이온들이 플라즈마로부터 탈출하여 에칭될 피처에 부딪히는 경우, 양이온들에 의해 종종 수행된다. 또한, 몇몇 에칭 방법들은 플라즈마를 펄싱 (pulsing) 함으로써, 즉, RF의 오프 주기 (잔광으로도 인용됨) 동안 플라즈마로부터 음이온들의 탈출을 가능하게 하는, RF 전력 공급부가 턴 오프 되는 주기를 가짐으로써, 음이온 에칭에 의존한다. 그러나, RF 전력 공급부를 펄싱하는 것은, 플라즈마가 각 사이클마다 생성되고 소멸되므로, 에칭의 효율적인 방식이 아니다.
이러한 맥락에서 본 발명의 실시예들이 발생되었다.
본 발명의 실시예들은 용량성 커플링된 플라즈마 챔버에서 음이온 제어에 대한 방법들, 시스템들, 및 컴퓨터 프로그램들을 제공한다. 실시예들은 피처 (feature) 충전 제어를 위해 웨이퍼의 표면으로의 양이온과 음이온 모두의 플럭스를 가능하게 한다.
본 발명은, 프로세스, 장치, 시스템, 디바이스 또는 컴퓨터 판독가능 매체 상에서의 방법과 같이 매우 많은 방식들로 구현될 수 있다는 것이 이해되어야 한다. 본 발명의 몇몇 발명 실시예들은 아래에 설명된다.
일 실시예에서, 용량성 커플링된 플라즈마 챔버가 제공된다. 챔버는 하부 무선 주파수 (RF) 신호 생성기, 상부 RF 신호 생성기, 및 RF 페이즈 제어기를 포함한다. 하부 RF 신호 생성기는 챔버 내의 하부 전극에 커플링되고, 상부 RF 신호 생성기는 상부 전극에 커플링된다. 게다가, 하부 RF 신호는 제1 페이즈로 설정되고, 상부 RF 신호는 제2 페이즈로 설정된다. RF 페이즈 제어기는 하부 RF 신호를 수신하도록 동작가능하고 제2 페이즈의 값을 설정하도록 동작가능하다. 게다가, RF 페이즈 제어기는, 대략 미리 결정된 상수 값으로 상부 RF 신호의 최대값과 하부 RF 신호의 최소값 사이의 시간 차이를 유지하도록 제1 페이즈와 제2 페이즈를 추적하도록 동작가능하다.
다른 실시예에서, 용량성 커플링된 플라즈마 챔버를 동작시키기 위한 방법이 제시된다. 방법은 챔버에서 하부 전극에 제1 페이즈로 설정된 하부 무선 주파수 (RF) 신호를 인가하기 위한, 그리고 하부 RF 신호의 제1 페이즈를 측정하기 위한 동작들을 포함한다. 게다가, 방법은 챔버에서 상부 전극에 제2 페이즈로 설정된 상부 RF 신호를 인가하기 위한 동작을 포함한다. 제1 페이즈와 제2 페이즈는, 대략 미리 결정된 상수 값으로 상부 RF 신호의 최대값과 하부 RF 신호의 최소값 사이의 시간 차이를 유지하도록 추적된다.
또 다른 실시예에서, 용량성 커플링된 플라즈마 챔버는 하부 전극에 커플링된 하부 무선 주파수 (RF) 신호 생성기, 및 RF 페이즈 제어기를 포함한다. 하부 RF 신호는 제1 페이즈로 설정되고, RF 페이즈 제어기는 하부 RF 신호를 수신하도록 동작가능하다. 게다가, RF 페이즈 제어기는 상부 전극에 대한 제2 페이즈로 설정된 상부 RF 신호를 생성하도록 동작가능하다. RF 페이즈 제어기는, 미리 결정된 값으로 상부 RF 신호의 최대값과 하부 RF 신호의 최소값 사이의 시간 차이를 유지하도록 제1 페이즈와 제2 페이즈를 추적하도록 동작가능하다.
다른 양태들은 첨부된 도면들과 함께 이루어진, 후술되는 상세한 설명으로부터 명백해질 것이다.
본 발명은 첨부되는 도면들과 함께 이루어지는 후술에 대한 참조에 의해 가장 잘 이해될 수도 있다.
도 1은 일 실시예에 따른, 비대칭 에칭 챔버를 도시한다.
도 2는 일 실시예에 따른, 시간에 대한 플라즈마 및 웨이퍼 포텐셜들을 도시한다.
도 3은 일 실시예에 따른, 도 1의 챔버에 대한 전극과 플라즈마 포텐셜을 도시한다.
도 4는 일 실시예에 따른, 음이온 제어되는 용량성 커플링된 플라즈마 (CCP) 챔버를 도시한다.
도 5a 및 5b는 음이온 제어되는 CCP 챔버들의 실시예들을 도시한다.
도 6a 내지 6e는 일 실시예에 따른, RF 전력 사이클 내의 상이한 시간들에서 에칭 챔버의 동작을 도시한다.
도 7은 일 실시예에 따른, 이중 주파수 챔버에서 웨이퍼 시스 (sheath) 포텐셜의 측정들을 도시한다.
도 8은 하부 전극 RF 신호의 페이즈 (phase) 에 관하여 상부 전극 RF 신호의 페이즈를 조정하기 위한 알고리즘을 도시하는 흐름도를 도시한다.
도 9는 본 발명의 일 실시예에 따른, 용량성 커플링된 플라즈마 챔버에서 음이온 제어에 대한 알고리즘을 도시하는 흐름도이다.
도 10은 본 발명의 실시예들을 구현하기 위한 컴퓨터 시스템의 간소화된 개략적인 다이어그램이다.
본 발명의 실시예들은 음이온들을 이용하여 기판 에칭을 수행한다. 상부 전극은, 페이즈가 하부 전극에 인가된 다른 저 주파수 RF의 페이즈에 기초되어 제어되는 저 주파수 무선 주파수 (RF) 로 전력 공급된다. 상부 시스에서 발생한 음이온들은 플라즈마 벌크 (bulk) 를 통해 이동하고, 하부 시스 포텐셜이 대략 최소인 경우 웨이퍼 표면으로 접근한다.
본 발명의 실시예들은 구체적 세부사항들의 몇몇 또는 전부 없이 실행될 수도 있다는 것이 명백해질 것이다. 다른 예시들에서, 잘 알려진 프로세싱 동작들은, 본 실시예들을 불필요하게 모호하게 하지 않도록 세부사항에서 설명되지 않는다.
도 1은 일 실시예에 따른, 비대칭 에칭 챔버를 도시한다. 두 전극들 사이의 전기장을 여기시키는 것은 에칭 챔버에서 RF 가스 방전을 획득하도록 하는 방법들 중 하나이다. 진동하는 전압이 전극들 사이에 인가되는 경우, 획득된 방전은 용량성 커플링된 플라즈마 (CCP) 방전으로서 지칭된다.
플라즈마는, 전자-중성자 충돌들에 의해 야기된 다양한 분자들의 해리에 의해 생성된, 매우 다양한 화학적으로 반응성 부산물들을 획득하도록 안정된 공급 원료 가스들을 이용하여 생성될 수 있다. 에칭의 화학적 양태는, 중성 가스 분자들 및 중성 가스 분자들의 해리된 부산물들과 에칭될 표면의 분자들과의 반응, 및 펌핑될 수 있는, 휘발성 분자들의 생산을 포함할 수 있다. 플라즈마가 생성되는 경우, 양이온들은, 웨이퍼의 표면으로부터 물질을 제거하는데 충분한 에너지를 갖고 웨이퍼 표면과 부딪히도록, 벽들로부터 플라즈마를 분리하는 공간-전하 시스에 걸쳐 플라즈마로부터 가속화된다. 이는 이온 충격 또는 이온 스퍼터링 (sputtering) 으로 알려져 있다. 그러나, 몇몇 산업용 플라즈마들은 순수하게 물리적인 수단들에 의해 표면을 효율적으로 에칭하는데 충분한 에너지를 갖는 이온들을 생산하지 않는다. 중성 가스 에칭과 이온 충격 모두의 결합된 동작들은 각각의 방법의 효과들을 단순히 더하는 것보다 더 빠른 에칭율을 생산하는 것이 증명되었다.
일 실시예에서, CF4 및 C-C4F8와 같은, 플루오르화탄소 가스들은, 플루오르화탄소 가스들의 이방성이고 선택적인 에칭 능력들로 유전체 에칭 프로세스에서 사용되지만, 본 발명의 원리들은 다른 플라즈마-생성 가스들에 적용될 수 있다. 플루오르화탄소 가스들은 더 작은 분자 및 원자 라디칼들 내로 용이하게 해리된다. 화학적 반응성 부산물들은 유전체 물질을 에칭시키는데, 일 실시예에서 유전체 물질은 낮은 k 디바이스들에 대한 SiO2 또는 SiOCH일 수 있다.
도 1은 상부 전극 (102), 챔버의 동작 동안 생성되는 플라즈마 (104), 및 하부 전극 (106) 을 갖는 비대칭 챔버를 도시한다. 본 실시예에서, 상부 전극 (102) 은 접지에 연결되고, 하부 전극 (106) 은 RF 매치 (109) 를 통해 RF 전력 공급부 (108) 에 연결된다. 기판, 또는 웨이퍼 (107) 는 하부 전극 (106) 상에 배치된다. 상부 시스는, 전극들의 크기 면에서 기하학적 비대칭, 즉, 상부 전극 (102) 은 하부 전극 (106) 보다 더 크므로, 하부 시스보다 더 크다.
도 2는 일 실시예에 따른, 시간에 대한 플라즈마 및 웨이퍼 포텐셜들을 도시한다. 통상적인 대칭 시스템에서, 전극들은 동일한 크기를 갖고 웨이퍼 직류 바이어스는 0V이다. 상부 전극의 영역이 증가하는 경우, 비대칭은 플라즈마에서 생성된다. 웨이퍼에서의 시스는 증가하고, 그 결과 웨이퍼에서 더 높은 이온 에너지들이 초래된다. 플라즈마와 대면하는 접지된 부분들의 마모율은 더 낮은 플라즈마 포텐셜로 인해 감소되고, 이는 접지된 표면에서 더 낮은 이온 충격 에너지들을 야기한다.
할로겐 유도된 가스들인, 플루오르화탄소들은 음이온들의 상당한 양을 생산할 수 있다. 음이온들의 생산에 대한 더 자세한 정보를 위해, 본 명세서에서 참조로 포함되는 ' Thin Solid Films , Kono et al , 2002, p. 198-203'을 참조하라. 음이온들의 존재는 플라즈마 구조를 변형할 수 있고 플라즈마를 남기는 양이온 플럭스의 감소를 초래할 수 있다. 그러나, 음이온들이 기판에 도달할 수 있다면, 음이온들도 에칭 프로세스에 참여할 수도 있다.
도 2는 도 1의 챔버의 전압들에 대한 차트를 도시한다. 웨이퍼에서의 전압은 하부 전극에서 생성된 RF 신호에 대응되고, 이는 음의 직류 바이어스 전압인 Vbias를 갖는다. 플라즈마 포텐셜은, 챔버의 비대칭으로 인해 플라즈마 포텐셜이 평평한 바닥 모양을 갖는 것을 제외하면, 웨이퍼에서의 전압의 형태를 따르고 접지에 대하여 양수이다.
도 3은 일 실시예에 따른, 도 1의 챔버에 대한 전극과 플라즈마 포텐셜을 도시한다. CCP 챔버에서, 생성된 커패시턴스가 전극들 사이에 직접 있기보다는, 플라즈마와 전극들 사이에, 즉, 시스들에 걸쳐 있다. 시스는, 높은 근사치로, 전자가 없으며, 전자들과 음이온들과 같이, 플라즈마 음성 종들에 대한 포텐셜 장벽들로서 기능하고, 이는 이온과 RF 변위 전류들만 통과하도록 허용한다.
시스 형성의 결과로서, 플라즈마 (304) 는 접지에 대하여 양의 포텐셜에 있다. 시스가 전하 분리의 층이기 때문에, 이러한 공간 전하영역으로 들어가는 이온들은, 준 중성 플라즈마로부터 떨어지는데 (break free) 충분한 에너지를 획득해야 한다. 도 3의 챔버에서, 상부 전극 (306) 은 접지 포텐셜에 있고, 플라즈마는 양의 포텐셜 Vp에 있고, 하부 전극 (302) 은 음의 포텐셜 -VDC를 갖는 직류 바이어스를 갖는다.
도 4는 일 실시예에 따른, 음이온 제어되는 용량성 커플링된 플라즈마 (CCP) 챔버를 도시한다. 플라즈마 에칭의 일 특징은 이방성으로, 즉, 단일 방향으로 에칭하는 능력이다. 이는 이온들과 표면 화학적 성질 사이의 시너지의 결과이다. 이온들이 시스에 걸쳐 단일 방향의 전기장에 의해 가속되는 경우, 충격에 대한 이온들의 방향은 표면에 주로 수직한다. 에칭될 표면이 패턴화된 마스크 (예를 들어, 포토레지스트) 로 덮이면, 마스크에 의해 보호되지 않는 영역들은 주로 수직 방향으로 에칭될 것이다.
음이온들은 유전체 에칭 프로세싱들의 에칭율와 표면 전하 제어에 중요한 역할을 한다. 통상의 비대칭 용량성 방전에서, 음이온들은 보통, 플라즈마 벌크에서 시스 포텐셜에 의해 트랩되므로, 웨이퍼의 표면에 도달하지 않는다. 플라즈마 벌크에서 발생된 저 에너지 음이온들은 플라즈마로부터 탈출할 수 없지만, 연장하는 시스들에서 생성된 고 에너지 음이온들은 플라즈마 벌크를 가로지를 수 있고 맞은 편 전극의 표면에 도달할 수 있다. 자세한 정보를 위해, 본 명세서에서 참조로 포함되는, 'Journal of Applied Physics Volume 79 (12), Zeuner at al.' (1996년 6월 15일) 의 9379 페이지를 참조하라. 비대칭적 방전에서, 고 전압 (전력 공급된 전극) 시스에서 발생된 음이온들은 저 전압 접지된 상부 전극으로 탈출할 수 있다.
몇몇 존재하는 방법들은 펄스형 RF 전력 소스를 이용한다. 플라즈마가 (잔광으로 지칭되는) 오프 주기에 있는 경우, 음이온 밀도는 중성자들에 대한 저온 전자 부착으로 인해 증가한다. 음이온들은, RF 온 주기동안, 벽들 상에 축적될 수도 있는 양전하들을 중성화하고, 벽들로 탈출한다. 그러나, RF를 펄싱함으로써, 기판에 대한 양이온들의 전체적인 플럭스는 떨어지고, 느린 에칭율을 발생시킨다.
상대적으로 낮은 시스 포텐셜을 갖는 단일 고 주파수 (예를 들어, 60MHz) 플라즈마에서, 음이온들은 플라즈마로부터 소-면적 전력 공급된 전극으로 탈출할 수 없다. 그러나, 고 주파수 및 저 주파수 RF 소스들 (예를 들어, 27MHz 및 2MHz) 을 이용하는 이중 주파수 챔버에서, 몇몇 음이온들은 더 높은 시스 포텐셜로 인해 플라즈마 벌크를 탈출한다. 자세한 정보를 위해, 본 명세서에서 참조로 포함되는, 'Journal of Applied Physics Volume 94 (6), Georgieva et al.' (2003년 9월 15일) 의 3748 페이지를 참조하라. 이중 주파수 소스들에 대한 동기는 이온 플럭스와 이온 에너지의 독립적인 제어이다. 고 주파수 소스는 이온 플럭스를 제어하고, 저 주파수 소스는 이온 에너지를 제어한다. 본 발명의 실시예들은 프로세싱 동안 웨이퍼에 도달하는 음이온들의 수를 증가시킨다.
도 4는 용량성-커플링된, 평행-판 챔버 (410) 를 갖는 반도체 제조 도구 (402) 를 도시한다. 하부 전극 (418) 은 RF 매치 (423) 를 통해 고 주파수 RF 전력 생성기 (422) 에 의해, 그리고 RF 매치 (425) 를 통해 저 주파수 RF 전력 생성기 (424) 에 의해 전력 공급된다. 웨이퍼 (416) 는 프로세싱 동안, 하부 전극 (418) 상에 배치된다. 상부 전극 (406) 은 RF 매치 (405) 를 통해 저 주파수 RF 전력 생성기 (404) 에 의해 전력 공급된다. 일 실시예에서, 32㎜ 갭은 양 전극들을 분리하지만, 15㎜와 100㎜사이의 값들과 같은, 다른 갭의 값들도 가능하다. 일 실시예에서, 저 주파수는 2MHz이고 고 주파수는 27MHz이지만, 다른 값들도 가능하다. 예를 들어, 저 주파수는 0.2MHz부터 2MHz사이 범위 내의 임의의 주파수일 수 있고, 고 주파수는 10MHz부터 100MHz사이 범위 내의 임의의 주파수일 수 있다.
또한, 반도체 제조 도구 (402) 는, 저 주파수 RF 생성기들 (404 및 424) 의 페이즈들을 제어하는 RF 페이즈 제어기 (412) 를 포함한다. 도 6a 내지 6d들에 관하여 이하 더 설명되는 것과 같이, 상부 및 하부 전극에서 생성된 저 주파수는 RF 페이즈 제어기 (412) 에 의해 제어되는 페이즈 차이를 갖는다. 일 실시예에서, RF 페이즈 제어기 (412) 는 RF 생성기 (424) 의 페이즈를 추적하고, 상부 전극 (406) 에서 RF 생성기 (404) 에 대한 바람직한 페이즈를 결정한다. RF 생성기 (404) 에 대한 페이즈가 추적되면, RF 페이즈 제어기 (412) 는 바람직한 페이즈에 RF 전력 소스 (404) 의 페이즈를 설정하도록 신호를 생성한다. 이러한 방식으로, 저 RF 전력 생성기들 사이의 페이즈 차이는 제어되고, 바람직한 값으로 설정된다. 일 신호의 페이즈가 다른 신호의 페이즈 상에 기초되나, 양 신호들이 동일한 페이즈로 동기화 될 필요가 없는 경우, 다른 신호의 페이즈로 추적된 일 신호의 페이즈를 갖는 것으로서 본 명세서에서 지칭된다. 즉, 신호들 중 하나에 대한 최대값은 다른 신호의 최대값으로부터 미리 정의된 양의 시간에 따른다. 보다 구체적으로, 후술되는 상세한 설명과 같이, 일 신호의 최소값은 다른 신호의 최대값 이후 미리 결정된 양의 시간을 따른다.
다른 실시예에서, RF 페이즈 제어기는 RF 생성기 (404) 에서 생성된 주파수의 페이즈를 판독하고 RF 생성기 (424) 의 페이즈를 제어하도록 제어 신호를 전송한다. 또 다른 실시예에서, RF 페이즈 제어기 (412) 는 RF 생성기 (404) 와 RF 생성기 (424) 모두 제어하여, 바람직한 페이즈 차이가 시스템에 의해 달성된다.
시스템 인터페이스 (420) 는, 반도체 제조 도구 (402) 의 모듈들을 액세스하고 제어하는 인터페이스를 제공한다. 시스템 인터페이스는 네트워킹 (networking), 가스 소스들, 가스 배기구들, 진공, 온도 제어 등과 같은, 설비들 (414) 에 대한 연결들을 포함한다. 일 실시예에서, 시스템 인터페이스는 레시피 (recipe) (426) 를 통해 구성되고, 레시피는 온도, 압력, 상부 및 하부 전극들에 대한 전력 레벨들, 화학적 유속, 타이밍 (timing), 설비 인터페이스 등과 같은, 챔버의 동작에 대한 챔버의 파라미터들의 설정들을 포함한다. 또한, 레시피 (426) 는 RF 페이즈 제어기 (412) 를 구성하기 위한 정보를 포함하고, 상부 및 하부 전극들에서 생성된 저 주파수들 사이의 바람직한 페이즈 차이를 포함한다.
도 5a 및 5b는 음이온 제어되는 용량성-커플링된 플라즈마 챔버들의 실시예들을 도시한다. 도 5a의 챔버는 저-주파수 RF 생성기 (502) 에 의해 전력 공급된 상부 전극과 저-주파수 RF 생성기 (520) 와 고-주파수 RF 생성기 (518) 에 의해 전력 공급된 하부 전극을 포함한다. 상부 전극 RF 생성기 (502) 는 매칭 (matching) 네트워크 (504) 에 연결된다. 하부 전극은, 매칭 네트워크 (516)를 통해, 저-주파수 RF 생성기 (520) 및 고-주파수 RF 생성기 (518) 에 연결된다. RF 페이즈 제어기 (514) 는 메칭 네트워크 (516) 로부터 출력을 수신하고, 생성된 저 주파수의 페이즈를 분석한다. 하부 전극에서 생성된 저-주파수의 페이즈에 기초하여, RF 페이즈 제어기 (514) 는, 상부 및 하부 전극들에서 생성된 저 주파수들이 바람직한 페이즈 차이를 갖도록, 상부 전극에서 RF 생성기 (502) 의 페이즈를 조정한다.
도 5a의 챔버는 챔버에서 입력 가스로 상부 전극 상의 가스 샤워헤드 (showerhead), 및 챔버로부터 가스가 펌핑 아웃되도록 허용하는 천공형 한정 링 (524) 을 포함한다. 기판 (512) 이 챔버에 존재하는 경우, 유전체 포커스 링 (focus ring) (522) 은, 웨이퍼의 표면 상에 균일한 에칭에 대한 플라즈마 (508) 하부로 연속적 표면이 있도록, 기판 옆에 위치된다.
도 5b의 실시예는, 도 5b의 챔버에서 단 하나의 저 주파수 RF 생성기를 제외하면, 도 5a의 실시예와 유사하다. 저-주파수 RF를 상부 전극에 공급하도록, 고 전압 프로브 (probe) (517) 는 매칭 네트워크 (516) 의 출력에 연결된다. 본 실시예에서, 고 주파수 필터 (524) 는 고 주파수 RF 생성기에 의해 생성된 고 주파수 신호 (27MHz) 를 필터링한다.
RF 페이즈 및 전력 제어기는 필터 (524) 로부터 출력을 수신하고, RF 페이즈 및 전력 제어기 (522) 로부터 신호 출력의 페이즈 및 전력을 제어함으로써 수신된 저 주파수 신호를 조정한다. 따라서, RF 페이즈 및 전력 제어기 (522) 에 의한 신호 출력은, 저 주파수 생성기에 의해 생성된 신호와 동일한 주파수를 갖지만, 바람직한 페이즈 차이와 전압 레벨을 갖는, 저 주파수 신호이다. RF 전력 증폭기 (520) 는 RF 페이즈 및 전력 제어기 (522) 에 의해 수신된 신호를 증폭시키고, 신호는 매칭 네트워크 (504) 를 통해 상부 전극으로 공급된다.
따라서, 도 5b의 챔버는 동일한 저 주파수 RF 전력 생성기에 의해 전력 공급된 상부 및 하부 전극들을 포함한다. 그러나, 상부 및 하부 전극들에 대한 저 주파수 신호들은 바람직한 페이즈 차이를 갖고, 신호들의 전압도 독립적이다. 일 실시예에서, 두 신호들 사이의 다른 비율들이 가능하더라도, 하부 전극에서의 신호의 전압은 상부 전극에서의 신호보다 더 크다.
도 6a 내지 6e는 일 실시예에 따른, RF 전력 사이클에서 상이한 시간들에서 에칭 챔버의 동작을 도시한다. 본 발명의 실시예들은, 양이온들 및 음이온들 모두의 플럭스를 에칭 동안 웨이퍼 표면의 표면으로 제공한다. 도 6a-6d는 음이온들로 에칭하는 것에 대한 프로세스를 도시하고, 도 6e는 양이온들로 에칭하는 것을 도시한다. 도 6a-6e는 상부 및 하부 전극에서 생성된 저 주파수 신호들을 도시하는 것임을 유의해야 한다. 고 주파수 신호들은 설명의 편의를 위해 생략되었다.
일 실시예에서, 저 RF 주파수는, 고 하부 웨이퍼 시스 포텐셜이 최소값 또는 최소값 근처에 있는 경우 웨이퍼의 표면으로 접근하고 상부 시스에서 발생된 음이온들이 플라즈마 벌크를 통해 이동하도록, 하부 전극에서 신호에 대한 페이즈 잠금 (phase lock) 으로 상부 전극에 인가된다. 본 명세서에서, 상부 및 하부 전극들에 전력 공급하는 RF 신호들이 바람직한 페이즈 차이를 갖는 경우, 상부 및 하부 전극에서 신호들 사이의 페이즈 잠금으로서 지칭된다. 따라서, 페이즈 잠금은, 양 신호들이 동일한 페이즈를 갖는 것을 반드시 의미하지는 않고, 단지 두 신호들 사이의 페이즈 차이가 챔버를 동작하는 경우, 미리 결정되고 일정하다는 것을 의미할 뿐이다.
본 발명의 실시예들은 광대한 에너지 분포를 가지는 음이온들의 플럭스를 생산하고, 이는 펄스된 플라즈마 챔버들에서의 경우와 같이 플라즈마를 소멸시켜야하는 것 없이 웨이퍼의 표면으로 전송된다. 이온 이동 시간은 플라즈마 (612) 와 하부 시스 (616) 를 가로지르도록 음이온에 의해 요구되는 시간, 즉, 하부 전극에 도달하도록 상부 시스에서 발생된 음이온에 의해 요구되는 시간이다. 목표는, 하부 시스가 최저 RF 포텐셜인 경우, 음이온들이 하부 시스에 도달하도록 하는 것이다. 도 6a는 상부 및 하부 전극들에 독립적인 RF 전력 공급부들을 갖는 챔버를 도시한다. 상부 전극이 최대 포텐셜 (606) 에 있는 경우 음이온들이 상부 전극 (604) 에서 생성되고, 하부 전극 포텐셜 (622) 이 최소인 경우 음이온들이 기판 (620)에 도달하도록, RF 전력 신호들의 페이즈들이 제어된다. 상부 시스 (606) 의 포텐셜이 변함에 따라, 상부 시스의 경계 (608) 가 변하고, 하부 시스 (614) 의 포텐셜이 변함에 따라, 하부 시스의 경계 (616) 가 변한다는 것을 유의한다.
웨이퍼 (618) 는 에칭이 발생하는 상부 층 (620) 을 갖는다. 상부 층 (620) 은 에칭될 피쳐 (feature) 들을 포함하는 층의 상부 상에 마스크 (mask) 층을 포함한다. 예를 들어, 콘택홀 (contact hole) 들 (624) 은 마스크 물질을 갖지 않고 에칭이 기대되는 영역들이다.
시간 차이 (602) 는, 음이온들이 상부 시스로부터 하부 시스로 가는데 요구되는 시간을 보여준다. 일 실시예에서, 상부 전극과 웨이퍼 (620) 사이의 거리 d (610) 는, 상부 시스와 하부 시스 사이의 거리에 대한 근사치로서, 음이온들에 대한 이동 시간을 계산하는데 사용된다. 다른 실시예에서, 이동 시간을 계산하는데 사용되는 거리는, 상부 전극이 최대 포텐셜에 있는 경우의 상부 시스와 하부 시스가 최저 포텐셜에 있는 경우의 하부 시스 사이의 거리이다. 상부 시스로부터 하부 시스로의 음이온들에 대한 이동 시간의 계산을 설명하는, 도 8에 관한 아래의 설명을 참조하라.
음이온들이 웨이퍼의 표면 상으로 가속되는 경우, 몇몇의 음이온들은, 에칭을 수행하도록 웨이퍼 (620) 의 상부 표면 내의 콘택홀 (624) 들로 들어갈 것이다. 음이온들은 콘택홀들 내로 침투하고 콘택홀들의 하부에 축적된 임의의 양 전하를 중성화한다.
도 6b는, 상부 전극의 포텐셜 (606) 이 최대 VTSt1 (632) 에 있는 경우, 시간 t1에서의 챔버를 도시한다. 이 시간 t1에서, 하부 전극의 전압 VBSt1 (634) 은 최소값에 아직 도달하지 않았다. 음이온들 (630) 은 시스의 상부에서 발생되고 있고 하부 시스로 플라즈마 (612) 를 통해 이동을 시작한다. 음이온들은, 플라즈마 (612) 도처에 항상 일정 밀도의 음이온들이 있기 때문에, 시스의 상부에 바로 존재하지 않는다는 것을 유의한다. 그러나, 설명의 단순화를 위해, 고 레벨의 음이온들은, 시스의 상부에서의 포텐셜이 최대에 있는 경우, 시스의 상부에서 발생되는 이것이 도시된다.
도 6c는, t1에서 상부 시스에서 발생되었던, 음이온들 (630) 이 하부 시스를 향하여 플라즈마 (612) 를 통해 이동하고 있는, t1을 뒤따르는 이후 시간인 t2에서의 챔버를 도시한다. 음이온들이 시스를 통해 이동하는 경우, 음이온들이 가속되고, 음이온들이 하부 시스에 도달한 경우, 음이온들은 플라즈마를 탈출하고 웨이퍼의 표면에 도달하는데 충분한 에너지를 갖는다.
시간 t2에서, 상부 시스 (606) 의 전압은 VTSt2 (636) 의 값을 갖고, 이는 상부 시스에서의 최대 전압보다 작다. 하부 시스 (614) 의 전압은 최소 전압에 근접하지만 아직 최소값에 도달하지 않은 VBSt2 (638) 값을 갖는다.
도 6d는, 하부 시스의 포텐셜이 최소값에 있는 경우 음이온들이 플라즈마의 하부에 도착하는, 시간 t3에서의 챔버를 도시한다. 시간 t3에서, 전압 VBSt3 (642) 는 하부 시스 (614) 의 최소 전압이다. 하부 시스에 도달하는 몇몇 음이온들은 플라즈마를 탈출할 것이고, 웨이퍼 (630a) 의 상부 표면에 충격을 가하거나, 웨이퍼의 상부 표면 내의 몇몇 콘택홀 (630b) 들로 들어갈 것이다. 웨이퍼의 상부 상에서의 마스크 물질의 층에 의해, 마스크 물질에 도달하는 음이온들의 효과는 콘택홀들에 들어가는 음이온들의 효과보다 작고, 이는 웨이퍼 내의 목표된 피쳐들의 에칭을 발생시킨다.
도 6e는 기판의 에칭에서 양이온들의 효과를 도시한다. 시간 t4에서, 하부 전극 (614) 의 전압은 최소값 VBSt4 (652) 에 있다. 음이온들과 마찬가지로, 다른 양이온들 (656) 이 기판 (620) 상부 상에 마스크 물질로 도달할 동안, 몇몇 양이온들 (654) 은 콘택홀들로 들어갈 것이다.
도 6a 내지 6e에서 도시된 것과 같이, 양이온들과 음이온들 모두에 의한 챔버 내의 에칭이 있다. 또한, 콘택홀들의 하부에서 양이온들의 일부 축적이 있을 수도 있다. 음이온들이 콘택홀들에 도달하는 경우, 에칭 효과를 증가시키는 양이온들과의 가능한 반응이 있다. 또한, 콘택홀의 벽 상에 축적된 양전하를 중성화함으로써, 더 넓은 홀깊이로 에칭율의 저하 또는 에칭 프로파일 (profile) 의 뒤틀림과 같은, 바람직하지 않은 효과들이 감소된다.
도 7은 일 실시예에 따른, 이중 주파수 챔버에서 웨이퍼 시스 포텐셜의 측정들을 도시한다. 몇몇 측정들은, 상이한 RF 신호들이 챔버로 전달되는 경우, 플라즈마 챔버에서 이루어진다. 고-주파수 RF 신호가 저-주파수 RF 신호로 동시에 챔버에서 도입되는 경우, 하부 시스 상의 전압은, 도 6a 내지 6e에 관하여 이전에 설명된 이상적인 경우와 약간 상이하다. 세 가지 상이한 측정들이 챔버에서 이루어진다. 제1 측정 (706) 은, 챔버에서 임의의 고-주파수 RF 전력 없이, 하부 전극에 인가된 3kW 2MHz RF 전력으로 이루어진다. 하부 시스의 전압은, 대략적으로 대칭적인 RF 신호의 그것인 신호에 대한 최소 전압 주위의 골을 도시한다.
제2 측정 (704) 은 3kW 2MHz RF 전력 소스와 400W 27MHz RF 전력 소스로 이루어진다. 고 주파수 RF의 도입은, 하부 RF 신호의 저-주파수 성분이 고-주파수 RF 신호의 존재으로 인해 몇몇 변형을 나타낸다는 것을 보여준다. 하부에서의 전압의 형태는, 고-주파수 RF 전력이 인가되지 않는 경우에서 만큼 낮게 보이지 않는다.
제3 측정 (702) 은 3kW 2MHz RF 전력 소스와 1200W 27MHz RF 전력 소스로 이루어진다. 고 주파수의 전력이 증가되는 경우, 하부 RF 신호의 저-주파수 성분의 변형이 증가한다. 그러므로, 시스가 RF 신호의 고 주파수 성분에 의한 수분 포텐셜로 붕괴 (collapse) 되는 경우, 파형이 상승된다.
그럼에도 불구하고, 하부 시스에서의 포텐셜에 대한 최소값이 고-주파수만이 존재하는 경우만큼 낮지 않더라도, 웨이퍼로의 음이온 플럭스는 여전히 가능하다. 일 실시예에서, 상부 및 하부 RF 사이의 페이즈 차이는, 고-주파수 RF가 존재하는 경우, 하부 시스의 포텐셜의 형태를 기초로 조정된다. 예를 들어, 신호 (702) 에서, 시스 포텐셜의 최소값은, RF 전력 소스에 의해 생성된 신호에 대한 최소 포텐셜 앞에 발생한다. 이러한 경우, 페이즈 차이는 조정되므로, 포텐셜이 가장 낮은 경우, 그리고 단지 저-주파수 RF의 포텐셜이 가장 낮은 값에 있지 않은 경우, 음이온들이 하부 시스에 도달한다.
도 8은 하부-전극 RF 신호의 페이즈에 관하여 상부-전극 RF 신호의 페이즈를 조정하기 위한 알고리즘을 도시하는 흐름도를 도시한다. 시스 상에서 음이온들에 대한 이동 시간은 전극들 사이의 갭 d, 상부 시스 전압 V, 음이온의 질량 m, 챔버 내의 압력 등을 포함하는, 몇몇 요인들의 함수이다.
불소 음이온 F-의 경우, 음이온의 질량 mf은 19x1.67x10-27kg이다. 게다가, 양수로 된 전자의 전하 e는 1.6x10-19C이다. 전자의 전하 e, 속도 v, 질량 mf, 및 전압 V사이의 관계는 후술하는 공식에 따라 결정된다:
Figure pct00001
그러므로, 속도 v는 후술과 같이 표현될 수 있다:
Figure pct00002
그러므로, 100볼트의 시스 전압 V에 대해, 음이온의 속도는:
Figure pct00003
전극들 사이의 갭 d가 32㎜이면, 이동 시간 t는 다음과 같이 계산된다:
Figure pct00004
그러므로, 상부 전극들에서 저 주파수 RF 신호의 페이즈는 제어되어, 상부 전극 상에 RF 주파수에 대한 최대 전압이, 하부 전극 상의 RF 주파수에 대한 최소 전압에 대응하는 시간에 앞서는 시간 t (이 예시에서 1μsec) 초가 발생한다.
전극 갭을 가로질러 이동하는 음이온들은 플라즈마 내에 존재하는 중성자 및 하전된 입자들과 다중 충돌들을 경험한다. 전술된 시스 페이즈 지연 조건들이 충족되면, 하부 전극으로 발생한 음이온 플럭스는 다음과 같이 추정될 수 있다:
Figure pct00005
여기서, Γt는 상부 전극에서 발생된 음이온 플럭스이고, d는 전극 간격 (spacing) 이고, λ는 음이온 평균 자유 행로이다. 음이온들이 하전된 그리고 중성자 가스 종들 모두와의 충돌들을 경험하므로, 평균 자유 행로는 중성자 가스 밀도, 양이온들 및 음이온들의 밀도, 및 이온-중성자 및 이온-이온 충돌들에 대한 단면들의 복소 함수이다. 이온-이온 충돌들에 대한 단면들은 중성자들과의 충돌들보다 훨씬 더 크다. 그러나, 낮은 수준의 이온화도를 갖는 통상의 용량성 플라즈마 챔버들에서, 중성자 가스 밀도가 우위를 차지한다. 공식에 따라, 플럭스는 거리에 따라 지수적으로 감쇠하므로, 웨이퍼에의 음이온 플럭스를 최대화하도록 더 작은 전극 갭들을 사용하는 것이 유리하다.
도 8을 다시 참조하면, 방법은, 하부-전극 RF 신호의 페이즈에 관하여 상부-전극 RF 신호의 페이즈를 조절하기 위해 제공된다. 동작 (802) 에서, 챔버의 파라미터들이 설정된다. 파라미터들은 RF 신호들의 전압, 상부 및 하부 전극 사이의 거리, 챔버 상의 압력, 상부 및 하부 전극들에 대한 저-주파수 RF 신호들 사이의 페이즈 차이 등을 포함한다. 동작 (802) 으로부터, 방법은, 음이온들에 대한 이동시간이 결정되는, 동작 (804) 을 계속한다. 위에서 논의된 바와 같이, 이동 시간은, 음이온의 질량, 플라즈마를 가로지르도록 음이온이 이동하는 거리 등과 같이, 챔버의 상이한 파라미터들에 기초하여 결정된다.
일단 이동 시간이 결정되면, 동작 (806) 에서, 방법은 하부 전극 RF 전력 신호의 페이즈를 결정한다. 동작 (806) 이후, 방법은, 상부 전극 RF 전력 신호의 페이즈가 이동 시간, 및 하부 전극 RF 전력 신호의 페이즈에 기초하여 설정되는, 동작 (808) 을 계속한다. 일 실시예에서, 반복하는 방법은 페이즈 차이의 값을 더 개선하는데 이용된다. 초기 설정이 페이즈 차이에 대해 결정된 후, 플라즈마에서 음이온들의 농도, 플라즈마에서 양이온들이 농도, 피쳐 품질 등과 같은, 에칭 퍼포먼스 (performance) 에 관한 측정이 챔버 상에서 이루어진다. 그 후, 퍼포먼스 측정들에 대한 결과들이 비교되고, 최상의 에칭 결과들을 생산하는 페이즈 차이의 값이 선택된다.
도 8에서 도시된 실시예는 예시적임을 유의한다. 다른 실시예들은, 저 주파수 RF 전력 신호들의 페이즈들을 동기화하도록 상이한 조정들을 이용할 수도 있거나, 상부 전극 신호 등의 페이즈에 기초하여 하부 전극 신호의 페이즈를 제어할 수도 있다. 그러므로, 도 8에 도시된 실시예들은 배타적이거나 제한적이 아니라, 예시적이거나 도시적으로 해석되어야만 한다.
도 9는 본 발명의 일 실시예에 따른, 용량성-커플링된 플라즈마 챔버에서 음이온 제어에 대한 알고리즘을 도시하는 흐름도이다. 동작 (902) 에서, 제1 페이즈를 갖는 하부 무선 주파수 (RF) 신호는 챔버 내부 하부 전극에 인가된다. 또한, 일 실시예에서, 제2 고-주파수 RF 신호는 하부 전극에 인가된다. 예들 들어, 도 4, 5a 및 5b에 제시된 챔버들을 보라.
동작 (902) 으로부터 방법은, 하부 RF 신호의 제1 페이즈가 측정되는, 동작 (904) 으로 이동한다. 일 실시예에서, 하부 RF 신호의 페이즈는, 하부 RF 전력 소스에 의해, 도 4에서의 RF 페이즈 제어기와 같은 RF 페이즈 제어기로 제공된다. 다른 실시예에서, RF 페이즈 제어기는 매칭 네트워크의 외부로 나오는 신호를 시험하는데, 이는 하부 전극에 대해 생성되고 있는 저 주파수 RF 신호의 페이즈를 결정하도록, 고 주파수 RF 신호를 필터링하는 단계를 포함한다. 또 다른 실시예에서, RF 신호의 페이즈는 RF 페이즈 제어기로부터 RF 전력 소스로 통신되며, 그 후 목표된 페이즈를 갖는 하부 RF 신호를 생성한다.
게다가, 제2 페이즈를 갖는 상부 RF 신호는 동작 (906) 에서 챔버 내의 상부 전극에 인가된다. 일 실시예에서, 상부 RF 신호의 페이즈는 RF 페이즈 제어기에 의해 제어되고, 이는 상부 RF 신호 생성기에 목표된 페이즈의 값을 제공한다. 다른 실시예에서, 도 5b에서 제시된 것처럼, 하부 RF 신호는 상부 RF 신호를 생성하도록 프로세스되고, 이 경우, 제2 저-주파수 RF 생성기는 챔버에서 필요되지 않는다. RF 페이즈 제어기는 하부 RF 신호를 수신하고, 바람직한 페이즈 차이를 갖는 상부 RF 신호를 생성한다. RF 전력 증폭기는, 필요한 경우, 상부 RF 신호에 대한 진폭을 조정한다. 제1 페이즈 및 제2 페이즈는 대략 미리 결정된 일정한 값에서 상부 RF 신호의 최대값과 하부 RF 신호의 최소값 사이의 시간 차이를 유지하도록 추적된다. 일 실시예에서, 추적하는 단계는 하부 RF 신호의 페이즈를 주기적으로 측정하는 단계를 포함한다. 하부 RF 신호의 페이즈가 측정될 때마다, 필요한 경우, 상부 RF 신호의 페이즈가 조정된다.
일 실시예에서, 시간 차이는, 음이온들이 챔버의 상부로부터 챔버의 하부로 이동하는데 요구되는 시간에 기초한다. 그러나, 이 시간 차이는, 상이한 시간 차이들을 이용하는 챔버의 퍼포먼스를 측정하고, 그 후, 최선의 결과들을 제공하는 시간 차이를 선택함으로써 더 미세-조정될 수 있다. 또 다른 실시예에서, 그래픽 사용자 인터페이스를 통해, 사용자는 상부 및 하부 RF 신호들에 대한 시간 차이를 선택한다.
도 10은 본 발명의 실시예들을 구현하기 위한 컴퓨터 시스템의 간소화된 개략적인 다이어그램이다. 본 명세서에서 설명된 방법들은, 종래의, 일반적-목적의 컴퓨터 시스템과 같은, 디지털 프로세싱 시스템으로 수행될 수도 있다고 이해되어야 한다. 단 하나의 기능을 수행하도록 프로그램되거나 설계된, 특수 목적 컴퓨터들은 대안으로 사용될 수도 있다. 컴퓨터 시스템은, 랜덤 액세스 메모리 (RAM) (1028) 에 버스 (1010) 를 통해 커플링되는, 중앙 처리 장치 (CPU) (1004), 읽기-전용 메모리 (ROM) (1012), 및 대용량 저장 디바이스 (1014) 를 포함한다. 페이즈 제어 프로그램 (1008) 은 랜덤 액세스 메모리 (RAM) (1028) 에 위치하지만, 대용량 저장 디바이스 (1014) 또는 ROM (1012) 에도 위치할 수 있다.
대용량 저장 디바이스 (1014) 는, 로컬 또는 원격일 수도 있는, 고정 디스크 드라이브 또는 플로피 (floppy) 디스크 드라이브와 같은, 영구 데이터 저장 디바이스를 나타낸다. 네트워크 인터페이스 (1030) 는, 다른 디바이스들과의 통신을 허용하는 네트워크 (1032) 를 통한 연결을 제공한다. CPU (1004) 는 일반적-목적 프로세서, 특수 목적 프로세서, 또는 특별히 프로그램된 로직 디바이스에서 구현될 수도 있다고 이해되어야 한다. 입력/출력 (I/O) 인터페이스는 상이한 주변부들과의 통신을 제공하고 CPU (1004), RAM (1028), ROM (1012), 및 대용량 저장 디바이스 (1014) 와 버스 (1010) 를 통해 연결된다. 예시적인 주변부들은 디스플레이 (1018), 키보드 (1022), 커서 제어 (1024), 착탈형 미디어 디바이스 (1034), 카메라 (1040) 등을 포함한다.
디스플레이 (1018) 는 본 명세서에서 설명되는 사용자 인터페이스들을 디스플레이하도록 구성된다. 키보드 (1022), 커서 제어 (1024), 착탈형 미디어 디바이스 (1034), 및 다른 주변부들은, CPU (1004) 로 명령 선택에 관한 정보를 통신하도록 I/O 인터페이스 (1020) 에 커플링된다. 외부 디바이스들로의 데이터 및 외부 디바이스들로 그리고 이들로부터의 데이터는 I/O 인터페이스 (1020) 를 통해 통신될 수도 있다고 이해되어야 한다. 또한, 본 발명은, 작업들이 유선-기반 또는 무선 네트워크를 통해 링크된 원격 프로세싱 디바이스들에 의해 수행되는 분산 컴퓨팅 환경에서 실행될 수 있다.
본 발명의 실시예들은, 휴대용 디바이스들, 마이크로 프로세서 시스템들, 마이크로 프로세서-기반 또는 프로그램 가능한 소비자 일렉트로닉스 (electronics), 미니 컴퓨터들, 메인 프레임 (mainframe) 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실행될 수도 있다. 또한, 본 발명은 작업들이 네트워크를 통해 링크된 원격 프로세싱 디바이스들에 의해 수행되는 분산 컴퓨팅 환경에서 실행될 수 있다.
상기 실시예들을 고려하여, 본 발명은, 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 이용할 수 있다는 것이 이해되어야 한다. 이들 동작들은 물리적 양들의 물리적 조작을 요구하는 것들이다. 본 발명의 일부분을 형성하는 본 명세서에서 설명된 임의의 동작들은 유용한 기계 동작들이다. 또한, 본 발명은 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 장치는 특수 목적 컴퓨터와 같이, 요구된 목적에 대해 특별히 구성될 수도 있다. 특수 목적 컴퓨터로서 정의되는 경우, 특수 목적에 대해 여전히 동작 가능한 동안, 컴퓨터는 특수 목적의 일부분이 아닌 루틴들, 프로그램 실행, 또는 다른 프로세싱을 수행할 수 있다. 대안적으로, 동작들은, 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 확보된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 일반 목적 컴퓨터에 의해 프로세스될 수도 있다. 데이터가 네트워크를 통해 확보되는 경우, 데이터는 네트워크, 예를 들어, 컴퓨팅 리소스들의 클라우드, 상의 다른 컴퓨터들에 의해 프로세스될 수도 있다.
또한, 본 발명의 실시예들은 일 상태로부터 다른 상태로 데이터를 변형하는 기계로서 정의될 수 있다. 변형된 데이터는 저장장치에 저장되고, 그 후 프로세서에 의해 처리될 수 있다. 따라서, 프로세서는 어떤 것으로부터 다른 것으로 데이터를 변형한다. 게다가, 방법들은 네트워크를 통해 연결될 수 있는 일 이상의 기계들 또는 프로세서들에 의해 프로세스될 수 있다. 각각의 기계는 일 상태 또는 어떤 것으로부터 다른 상태 또는 다른 것으로 데이터를 변형할 수 있고, 또한, 데이터를 프로세스하거나, 저장장치에 데이터를 저장하거나, 네트워크를 통해 데이터를 송신하거나, 결과를 디스플레이하거나, 다른 기계에 결과를 통신할 수 있다.
또한, 본 발명의 일 이상의 실시예들은 컴퓨터 판독가능 매체 상에서 컴퓨터 판독가능 코드로 구현될 수 있다. 컴퓨터 판독가능 매체는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이고, 그 후에 컴퓨터 시스템에 의해 판독될 수 있다. 컴퓨터 판독가능 매체의 예시들은 하드 드라이브들, 네트워크 부착된 저장장치 (NAS), 읽기-전용 메모리, 랜덤-액세스 메모리, CD-ROM들, CD-R들, CD-RW들, 자기 테이프들 및 다른 광학 및 비-광학 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 네트워크-커플링된 컴퓨터 시스템을 통해 분포된 컴퓨터 판독가능 유형매체를 포함하여 컴퓨터 판독가능 코드는 분포된 방식으로 실행되고 저장된다.
방법 동작들이 특정한 순서로 설명되었더라도, 다른 보조 관리 연산은 동작들 사이에서 수행될 수도 있거나 동작들이 조정될 수도 있어서, 오버레이 (overlay) 동작들의 프로세싱이 바람직한 방식으로 수행되는 한, 약간 상이한 시간들에서 발생하거나, 프로세싱에 연관된 다양한 간격으로 프로세싱 동작들의 발생을 허용하는 시스템에서 분포될 수도 있는 것으로 이해되어야 한다.
전술한 발명이 이해의 명확화 목적들을 위해 일부 상세하게 설명되었더라도, 어떤 변경들 및 변형들은 첨부된 청구항들의 범위 내부에서 실행될 수 있다. 따라서, 본 실시예들은 도시적이고 비 제한적으로 고려될 것이고, 본 발명은 본 명세서에서 주어진 세부 사항들로 한정되지 않을 것이지만, 첨부된 청구항들의 범위 및 등가물들 내에서 변형될 수도 있다.

Claims (20)

  1. 하부 전극에 커플링된 하부 무선 주파수 (RF) 신호 생성기로서, 상기 하부 RF 신호는 제1 페이즈 (phase) 로 설정되는, 상기 하부 RF 신호 생성기;
    상부 전극에 커플링된 상부 RF 신호 생성기로서, 상기 상부 RF 신호는 제2 페이즈로 설정되는, 상기 상부 RF 신호 생성기; 및
    상기 하부 RF 신호를 수신하도록 동작가능하고 상기 제2 페이즈의 값을 설정하도록 동작가능한 RF 페이즈 제어기로서, 상기 RF 페이즈 제어기는, 대략 미리 결정된 값에서 상기 상부 RF 신호의 최대값과 상기 하부 RF 신호의 최소값 사이의 시간 차이를 유지하기 위해 상기 제2 페이즈와 상기 제1 페이즈를 추적하도록 동작가능한, 상기 RF 페이즈 제어기를 포함하는, 용량성 커플링된 플라즈마 챔버.
  2. 제1항에 있어서,
    상기 미리 결정된 값은, 상기 챔버 내의 상기 플라즈마의 상부 플라즈마 시스 주변에 형성된 음이온들이 상기 플라즈마의 하부 플라즈마 시스로 이동하는데 요구되는 이동 시간에 기초되는, 용량성 커플링된 플라즈마 챔버.
  3. 제2항에 있어서,
    상기 음이온들은, 상기 상부 RF 신호가 상기 최대값을 갖는 경우 상기 상부 플라즈마 시스 주변에 형성되는, 용량성 커플링된 플라즈마 챔버.
  4. 제2항에 있어서,
    상기 음이온들은, 상기 하부 RF 신호가 대략 최소값을 갖는 경우 상기 하부 플라즈마 시스에 도달하는, 용량성 커플링된 플라즈마 챔버.
  5. 제1항에 있어서,
    상기 하부 RF 신호의 주파수는 상기 상부 RF 신호의 주파수와 동일한, 용량성 커플링된 플라즈마 챔버.
  6. 제1항에 있어서,
    상기 하부 전극에 커플링된 고 주파수 RF 신호 생성기로서, 상기 상부 RF 신호와 저 RF 신호가 저 주파수 RF 신호들인, 상기 고 주파수 RF 신호 생성기를 더 포함하는, 용량성 커플링된 플라즈마 챔버.
  7. 제6항에 있어서,
    상기 고 주파수 RF 신호는 27MHz의 주파수를 갖고, 상기 상부 신호와 상기 저 RF 신호는 2MHz의 주파수를 갖는, 용량성 커플링된 플라즈마 챔버.
  8. 제1항에 있어서,
    상기 상부 신호와 상기 저 RF 신호는 0.2MHz 내지 2MHz사이의 주파수를 갖는, 용량성 커플링된 플라즈마 챔버.
  9. 제1항에 있어서,
    웨이퍼가 프로세싱을 위해 상기 챔버 내에 있는 경우, 상기 웨이퍼는 상기 하부 전극 상에 배치되는, 용량성 커플링된 플라즈마 챔버.
  10. 용량성 커플링된 플라즈마 챔버를 동작하기 위한 방법으로서,
    상기 챔버에서 하부 전극에 제1 페이즈로 설정된 하부 무선 주파수 (RF) 신호를 인가하는 단계;
    상기 하부 RF 신호의 상기 제1 페이즈를 측정하는 단계; 및
    상기 챔버에서 상부 전극에 제2 페이즈로 설정된 상부 RF 신호를 인가하는 단계로서, 상기 제1 페이즈 및 상기 제2 페이즈는 대략 미리 결정된 값에서 상기 상부 RF 신호의 최대값과 상기 하부 RF 신호의 최소값 사이의 시간 차이를 유지하도록 추적되는, 상기 상부 RF 신호를 인가하는 단계를 포함하는, 용량성 커플링된 플라즈마 챔버를 동작시키기 위한 방법.
  11. 제10항에 있어서,
    상기 챔버 내의 상기 플라즈마의 상부 플라즈마 시스 주변에 형성된 음이온들이 상기 플라즈마의 하부 플라즈마 시스로 이동하는데 요구되는 이동 시간으로서 상기 미리 결정된 값을 계산하는 단계를 더 포함하는, 용량성 커플링된 플라즈마 챔버를 동작시키기 위한 방법.
  12. 제11항에 있어서,
    상기 미리 결정된 값을 계산하는 단계는,
    상기 플라즈마에서 이동하는 상기 음이온들의 속도를 계산하는 단계; 및
    상기 음이온들의 상기 속도에 의해 분할된 상기 상부 전극과 상기 하부 전극 사이의 거리로서 상기 이동 시간을 계산하는 단계를 더 포함하는, 용량성 커플링된 플라즈마 챔버를 동작시키기 위한 방법.
  13. 제10항에 있어서,
    상기 하부 RF 신호 및 상기 상부 RF 신호는 400KHz의 주파수를 갖는, 용량성 커플링된 플라즈마 챔버를 동작시키기 위한 방법.
  14. 제10항에 있어서,
    상기 방법 동작들은, 하나 이상의 프로세서들에 의해 실행되는 경우, 컴퓨터 프로그램에 의해 수행되고, 상기 컴퓨터 프로그램은 비일시적 컴퓨터 판독가능 저장 매체 내에 내장 (embedded) 되는, 용량성 커플링된 플라즈마 챔버를 동작시키기 위한 방법.
  15. 하부 전극에 커플링된 하부 무선 주파수 (RF) 신호 생성기로서, 상기 하부 RF 신호가 제1 페이즈로 설정된, 상기 하부 무선 주파수 (RF) 신호 생성기; 및
    상기 하부 RF 신호를 수신하도록 동작가능하고 상부 전극에 대해 제2 페이즈로 설정된 상부 RF 신호를 생성하도록 동작가능한 RF 페이즈 제어기로서, 상기 RF 페이즈 제어기는, 미리 결정된 값으로 상기 상부 RF 신호의 최대값과 상기 하부 RF 신호의 최소값 사이의 시간 차이를 유지하기 위해 상기 제1 페이즈와 제2 페이즈를 추적하도록 동작가능한, 상기 RF 페이즈 제어기를 포함하는, 용량성 커플링된 플라즈마 챔버.
  16. 제15항에 있어서,
    상기 미리 결정된 값은, 상기 챔버 내의 상기 플라즈마의 상부 플라즈마 시스 주변에 형성된 음이온들이 상기 플라즈마의 하부 플라즈마 시스로 이동하는데 요구되는 이동 시간에 기초되는, 용량성 커플링된 플라즈마 챔버.
  17. 제16항에 있어서,
    상기 하부 RF 신호 및 상기 상부 RF 신호는 1MHz의 주파수를 갖는, 용량성 커플링된 플라즈마 챔버.
  18. 제15항에 있어서,
    상기 챔버는 양이온들과 음이온들로 에칭을 수행하도록 동작가능한, 용량성 커플링된 플라즈마 챔버.
  19. 제18항에 있어서,
    상기 챔버는, 상기 하부 RF 신호가 대략 최대값을 갖는 경우, 양이온들을 생성하도록 동작가능한, 용량성 커플링된 플라즈마 챔버.
  20. 제15항에 있어서,
    상기 상부 전극과 상기 하부 전극 사이의 거리는 15㎜와 100㎜ 사이인, 용량성 커플링된 플라즈마 챔버.
KR1020147004321A 2011-07-21 2012-07-09 유전체 에칭에 대한 음이온 제어 KR101982364B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/188,421 US9117767B2 (en) 2011-07-21 2011-07-21 Negative ion control for dielectric etch
US13/188,421 2011-07-21
PCT/US2012/045974 WO2013012591A1 (en) 2011-07-21 2012-07-09 Negative ion control for dielectric etch

Publications (2)

Publication Number Publication Date
KR20140046468A true KR20140046468A (ko) 2014-04-18
KR101982364B1 KR101982364B1 (ko) 2019-08-30

Family

ID=47556039

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147004321A KR101982364B1 (ko) 2011-07-21 2012-07-09 유전체 에칭에 대한 음이온 제어

Country Status (5)

Country Link
US (2) US9117767B2 (ko)
KR (1) KR101982364B1 (ko)
CN (1) CN103703870B (ko)
TW (1) TWI552222B (ko)
WO (1) WO2013012591A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极***
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9200950B2 (en) * 2014-02-25 2015-12-01 Applied Materials, Inc. Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
WO2017189221A1 (en) * 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc Plasma reactor having divided electrodes
US20170314132A1 (en) * 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc. Plasma reactor having divided electrodes
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
KR20180077392A (ko) * 2016-12-28 2018-07-09 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조 방법
KR102435263B1 (ko) 2017-07-25 2022-08-23 삼성전자주식회사 플라즈마 처리 장치 및 방법, 및 이를 이용한 반도체 장치의 제조 방법
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
KR101886755B1 (ko) * 2017-11-17 2018-08-09 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법
KR20190065854A (ko) * 2017-12-04 2019-06-12 포항공과대학교 산학협력단 이중의 고주파수를 이용한 플라즈마의 시스와 벌크의 확장방법
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
CN110752137B (zh) * 2019-11-01 2022-04-22 北京北方华创微电子装备有限公司 偏压控制方法及装置、半导体加工设备
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
US11189462B1 (en) 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
CN113089956B (zh) * 2021-04-16 2022-09-20 深圳市一么么科技有限公司 一种具有空气净化功能的易安装型装饰墙板

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020031617A1 (en) * 2000-09-12 2002-03-14 Masahiro Sumiya Plasma processing apparatus and method with controlled biasing functions
WO2009115135A1 (en) * 2008-03-20 2009-09-24 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US20090291562A1 (en) * 2008-05-20 2009-11-26 Lam Research Corporation Helium descumming

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849276A (en) 1971-03-19 1974-11-19 Ibm Process for forming reactive layers whose thickness is independent of time
JPH0747820B2 (ja) * 1989-09-22 1995-05-24 株式会社日立製作所 成膜装置
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
US6328845B1 (en) * 1993-03-18 2001-12-11 Hitachi, Ltd. Plasma-processing method and an apparatus for carrying out the same
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5779925A (en) * 1994-10-14 1998-07-14 Fujitsu Limited Plasma processing with less damage
DE69510032T2 (de) * 1995-03-31 2000-01-27 International Business Machines Corp., Armonk Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems
US5872443A (en) * 1997-02-18 1999-02-16 Williamson; Floyd L. Electronic method for controlling charged particles to obtain optimum electrokinetic behavior
KR100521120B1 (ko) * 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
US6338777B1 (en) * 1998-10-23 2002-01-15 International Business Machines Corporation Method and apparatus for sputtering thin films
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
TWI272877B (en) 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
JP2004153240A (ja) 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
JP4773079B2 (ja) * 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
JP4758159B2 (ja) * 2005-07-19 2011-08-24 株式会社日立ハイテクノロジーズ プラズマエッチング装置および微粒子除去方法
US7476556B2 (en) * 2005-08-11 2009-01-13 Micron Technology, Inc. Systems and methods for plasma processing of microfeature workpieces
EP1753011B1 (de) * 2005-08-13 2012-10-03 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zur Erzeugung von Ansteuersignalen für HF-Leistungsgeneratoren
US7402821B2 (en) * 2006-01-18 2008-07-22 Axcelis Technologies, Inc. Application of digital frequency and phase synthesis for control of electrode voltage phase in a high-energy ion implantation machine, and a means for accurate calibration of electrode voltage phase
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP2008060429A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 基板のプラズマ処理装置及びプラズマ処理方法
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
DE102006052061B4 (de) * 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US8262847B2 (en) * 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
CN101627461B (zh) * 2007-03-05 2012-01-11 朗姆研究公司 具有可变功率的边缘电极
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
CN101933402B (zh) * 2008-01-31 2013-03-27 应用材料公司 用于等离子体腔室的电极的多相射频电源
JP5224837B2 (ja) * 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
KR101625516B1 (ko) * 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
US8264154B2 (en) * 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US20090311871A1 (en) * 2008-06-13 2009-12-17 Lam Research Corporation Organic arc etch selective for immersion photoresist
JP5097632B2 (ja) * 2008-07-11 2012-12-12 株式会社日立ハイテクノロジーズ プラズマエッチング処理装置
JP5572329B2 (ja) * 2009-01-15 2014-08-13 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP5155235B2 (ja) * 2009-01-15 2013-03-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) * 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
EP2237307B1 (en) * 2009-03-30 2014-05-14 Vidal de Miguel, Guillermo Method and apparatus to produce steady beams of mobility selected ions via time-dependent electric fields
US8154209B2 (en) * 2009-04-06 2012-04-10 Lam Research Corporation Modulated multi-frequency processing method
US8344559B2 (en) * 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US20110094994A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
DE102010060910A1 (de) * 2010-11-30 2012-05-31 Roth & Rau Ag Verfahren und Vorrichtung zur Ionenimplantation
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020031617A1 (en) * 2000-09-12 2002-03-14 Masahiro Sumiya Plasma processing apparatus and method with controlled biasing functions
WO2009115135A1 (en) * 2008-03-20 2009-09-24 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
US20090291562A1 (en) * 2008-05-20 2009-11-26 Lam Research Corporation Helium descumming

Also Published As

Publication number Publication date
WO2013012591A1 (en) 2013-01-24
TWI552222B (zh) 2016-10-01
US20150357209A1 (en) 2015-12-10
US20130023064A1 (en) 2013-01-24
CN103703870A (zh) 2014-04-02
KR101982364B1 (ko) 2019-08-30
US10181412B2 (en) 2019-01-15
CN103703870B (zh) 2016-06-08
TW201316400A (zh) 2013-04-16
US9117767B2 (en) 2015-08-25

Similar Documents

Publication Publication Date Title
KR101982364B1 (ko) 유전체 에칭에 대한 음이온 제어
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
TWI501289B (zh) A plasma processing method and a plasma processing apparatus
TWI593010B (zh) 具有多重射頻功率之三極體反應器設計
US20180166256A1 (en) Multi-radiofrequency impedance control for plasma uniformity tuning
US20180082861A1 (en) Selective etch using material modification and rf pulsing
US20090194508A1 (en) Substrate plasma processing apparatus and plasma processing method
JP2014531753A5 (ko)
JP2003264183A (ja) 半導体装置の製造方法
KR20220152136A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant