KR20130115099A - 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합 - Google Patents

플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합 Download PDF

Info

Publication number
KR20130115099A
KR20130115099A KR1020127033514A KR20127033514A KR20130115099A KR 20130115099 A KR20130115099 A KR 20130115099A KR 1020127033514 A KR1020127033514 A KR 1020127033514A KR 20127033514 A KR20127033514 A KR 20127033514A KR 20130115099 A KR20130115099 A KR 20130115099A
Authority
KR
South Korea
Prior art keywords
heater
chamber
liner
plasma
chamber liner
Prior art date
Application number
KR1020127033514A
Other languages
English (en)
Other versions
KR101976852B1 (ko
Inventor
대니 브라운
레오나르드 샤플레스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130115099A publication Critical patent/KR20130115099A/ko
Application granted granted Critical
Publication of KR101976852B1 publication Critical patent/KR101976852B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/12Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating the heat being generated by friction; Friction welding
    • B23K20/122Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating the heat being generated by friction; Friction welding using a non-consumable tool, e.g. friction stir welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49888Subsequently coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 반응 챔버를 보호하고, 플라즈마 밀도 및 균일성을 향상시키고, 프로세스 가스 소비를 감소시키기 위한, 플라즈마 반응 챔버 내의 이동가능 대칭적 챔버 라이너로서, 그 챔버 라이너는 원통형 벽, 복수의 개구들을 구비한 저부 벽, 매립된 히터를 구비한 볼록한 내측 림, 히터 컨택들, 및 RF 접지 복귀 컨택들을 포함한다. 챔버 라이너는, 기판들이 챔버 내로 그리고 챔버 외부로 반송될 수 있는 상위 포지션과, 기판이 챔버 내에서 프로세싱되는 하위 포지션 사이에서, 액츄에이터들에 의해 이동된다. 액츄에이터들은 또한 히터들 및 RF 접지 복귀 컨택들에게 전기적 연결을 제공한다.

Description

플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합{MOVABLE CHAMBER LINER PLASMA CONFINEMENT SCREEN COMBINATION FOR PLASMA PROCESSING APPARATUSES}
각각의 지속적 반도체 기술 세대에 따라, 웨이퍼 직경들이 증가되는 경향이 있고 트랜지스터 사이즈들은 감소하여, 결과적으로 웨이퍼 프로세싱에 있어서 더욱 높은 정밀도 및 반복가능성을 필요로 하게 되었다. 실리콘 웨이퍼들과 같은 반도체 기판 재료들은 진공 챔버들의 사용을 포함하는 기술들에 의해 프로세싱된다. 이들 기술들은, 전자 빔 증착 (evaporation) 과 같은 비플라즈마 애플리케이션들, 및 스퍼터 증착 (deposition), 플라즈마-인핸스드 화학 기상 증착 (PECVD), 레지스트 스트립, 및 프라즈마 에치와 같은 플라즈마 애플리케이션들을 포함한다.
오늘날 이용가능한 플라즈마 프로세싱 시스템들은, 개선된 정밀도 및 반복가능성을 더욱 필요로 해야 하는 이들 반도체 제조 툴들 가운데에 있다. 플라즈마 프로세싱 시스템들에 대한 중요한 성공 지표는 증가된 균일성이고, 이는 반도체 기판 표면상의 프로세스 결과들의 균일성 및 명목상으로 (nominally) 동일한 입력 파라미터들로 프로세싱되는 일련의 웨이퍼들의 프로세스 결과들의 균일성을 포함한다. 온-웨이퍼 균일성의 지속적인 개선이 바람직하다. 다른 것들 중에서, 이것은 개선된 균일성, 일관성 및 자기 진단들을 구비한 플라즈마 챔버들을 필요로 한다.
예를 들어, 폴리실리콘 게이트 에칭은, 직경 약 300 mm의 기판에 대해 달성되도록 더욱더 작은 임계 치수 균일성 (CDU) 을 추진하고 있다. 그러한 불균일 (variation) 은 에지 근처의 기판 온도에서의 반경 방향의 변동 (radial variation), 플라즈마 화학물질 (chemistry) 또는 밀도, 돌출한 에지 링, 또는 다른 제약들에 기인할 수 있다. CDU 요건들은 노드 (node) 사이즈에서의 계속적인 감소에 더욱더 엄격할 것으로 예상된다
반도체 기판들을 프로세싱하기 위한 플라즈마 반응 챔버 내의 챔버 라이너 (chamber liner) 가 여기서 설명된다. 이 챔버 라이너는 형상, 전기 접지 및 온도에 있어서 대칭적이다. 액츄에이터들은, 기판 로딩 및 언로딩을 용이하게 하기 위해 그 챔버 라이너의 축을 따라 챔버 라이너를 이동시킬 수 있다. 이 챔버 라이너는, 내측 둘레에 근접하여 히터를 포함한다. 히터에 대한 전력 및 챔버 라이너의 전기 접지는 챔버 라이너의 저부 상의 전기 수용부들 및 액츄에이터들 내부의 전기 배선을 통해 제공된다.
도 1은, 챔버 라이너 (chamber liner) 가 정상 동작을 위해 하위 포지션에 있는 경우의, 이동가능하고 대칭적이며 가열된 챔버 라이너를 포함하는 플라즈마 반응 챔버의 횡단면 개략도이다.
도 2는, 챔버 라이너가 기판 로딩 (loading) 및 언로딩 (unloading) 을 위해 상위 포지션에 있는 경우의, 도 1에서의 플라즈마 반응 챔버의 횡단면 개략도이다.
도 3은 일 실시형태에 따른 챔버 라이너의 등측 (isometric) 평면도이다.
도 4는 도 3에서의 챔버 라이너의 평면도이다.
도 5는 도 3에서의 챔버 라이너의 횡단면 개략도이다.
도 6은 도 5에서의 세목 (detail) A의 확대된 횡단면 개략도이다.
도 7은 도 3에서의 챔버 라이너의 상이한 횡단면의 일부분의 확대된 개략도이다.
도 8a는 도 3에서의 챔버 라이너의 저면도이다.
도 8b는 도 8a에서의 부분 A의 확대도이다.
플라즈마 반응 챔버들은 종종 챔버 라이너를 포함한다. 그 챔버 라이너는 몇몇 기능들을 수행한다.
첫 번째로, 챔버 라이너는 플라즈마를 한정 (confine) 하는데 사용될 수 있다. 플라즈마에 근접하여 챔버 라이너가 존재하는 것은 전기장의 분포를 변경시킬 수 있고, 챔버 라이너 내부에 본질적으로 플라즈마를 한정할 수 있고, 플라즈마 밀도를 증가시킬 수 있다.
두 번째로, 챔버 라이너는, 플라즈마가 플라즈마 반응 챔버의 다른 부분들을 부식시키는 것을 방지함으로써 플라즈마 반응 챔버를 보호하고 따라서 손상으로부터 플라즈마 반응 챔버를 보호하는데 사용될 수도 있다. 챔버 라이너는 보통 주기적으로 세정될 수 있고/있거나 교체될 수 있는 소모품이다.
세 번째로, 챔버 라이너는 프로세스 가스 압력 균일성을 향상시킬 수 있다. 프로세스 가스 압력은 반응 속도 (rate) 에 직접적으로 영향을 미친다. 따라서, 플라즈마 프로세싱을 거치는 반도체 기판 위에서의 균일한 프로세스 가스 압력 분포를 유지하는 것은 그 기판상의 디바이스 다이 (die) 들에서의 균일한 임계 치수들을 유지하는데 도움이 된다. 통상적인 플라즈마 반응 챔버 내의 압력은, 프로세스 가스를 도입하고 동시에 챔버를 배기 (evacuate) 함으로써 제어된다. 플라즈마 반응 챔버 내의 프로세스 가스 흐름에 관한 어느 제한 없이, 프로세스 가스 압력은 가스 공급의 유출구 근처에서의 상대적으로 높은 압력으로부터 배기 포트 근처의 상대적으로 낮은 압력까지의 기울기 (gradient) 를 형성할 수도 있다. 프로세스 가스 흐름을 부분적으로 제한하는 챔버 라이너는 챔버 라이너 내의 압력 기울기를 감소시킬 수도 있다. 다른 이점은, 챔버 라이너가 프로세스 가스를 더 작은 체적 (volume) 으로 한정할 수 있고 따라서 프로세스 가스의 공급 속도 및 소비 속도를 낮출 수 있다는 것이다.
챔버 라이너의 이들 이점들은 여러 피처 (feature) 들에 의존할 것이다. 기판 표면 위로 연장되는 외측 벽을 갖는 챔버 라이너에 있어서, 높은 정도의 프로세스 가스 압력의 균일성 및 플라즈마 밀도를 달성하기 위해, 챔버 라이너는 바람직하게는 대칭적이고 그 외측 벽 내에 개구 (opening) 들이 없다. 그러한 대칭적인 챔버 라이너는, 제자리에 고정된 경우, 기판을 챔버 내로 그리고 챔버 밖으로의 반송을 차단할 것이고, 따라서 기판 반송을 위해 챔버 내의 진공을 파괴하는 것을 필요로 하고, 감소된 효율을 초래할 것이다.
이동가능 대칭적 챔버 라이너가 여기서 설명된다. 이 챔버 라이너는, 기판을 로딩 또는 언로딩 할 때 측면으로부터 기판 지지부에 대한 액세스를 허용하도록 올려지거나 내려질 수 있으며, 따라서 대칭적 챔버 라이너와 측면-로딩 플라즈마 반응 챔버의 이점들을 조합할 수 있다.
도 1은 이동가능하고 대칭적이며 가열된 챔버 라이너 (200) 를 포함하는 플라즈마 반응 챔버 (100) 의 횡단면 개략도이다.
플라즈마 반응 챔버 (100) 는 챔버 벽 (9) 및 유전체 윈도우 (dielectric window; 13) (예컨대, 균일한 두께의 평면 유전체 윈도우) 를 포함한다. 안테나 (11) 는 유전체 윈도우 (13) 위에 배치된다. 안테나 (11) 는 평면 멀티턴 나선형 코일 (planar multiturn spiral coil), 비평면 멀티턴 코일, 또는 다른 형상을 갖는 안테나일 수 있으며, 이는 (플라즈마 (예를 들어, 고밀도 플라즈마) 를 발생시키기 위해 챔버 (100) 로 RF 에너지를 유도성으로 결합하는) 적합한 RF 소스 및 적합한 RF 임피던스 매칭 회로 (미도시) 에 의해 전력이 공급된다. 가스 소스 (15) 에 연결된 가스 배관 (14) 은 프로세스 가스들을 챔버 (100) 로 공급한다.
유전체 윈도우 (13) 바로 밑에서 반도체 기판 (5) 이 프로세싱되고 있다. 반도체 기판 (5) 은, RF 바이어싱될 수 있는 하부 전극을 일체로 하여 구성하는 기판 지지부 (6) 상에서 지지된다. 기판 지지부 (6) 는, 전기적 절연을 위해 그것의 둘레 주위에 장착 (fit) 되고/되거나 RF를 반도체 기판 및 플라즈마에 결합하는 하나 이상의 유전체 링들 (미도시) 을 포함할 수도 있다. 기판 지지부 (6) 의 상세한 구조는 간략화를 위해 나타나 있지 않다. 기판 지지부 (6) 및 복수의 액츄에이터들 (7) 은 챔버 벽 (9) 에 마운팅된 (mounted) 탈착가능 밑판 (18) 및 지지 부재 (19) 로 둘러싸일 (enclosed) 수도 있다. 기판 지지부 (6) 및 액츄에이터들 (7) 에 대한 전기적 연결들 및 가스 공급들은 지지 부재 (19) 상의 피드스루 (feedthrough) 들을 통해 제공될 수도 있다. 예시적인 플라즈마 반응 챔버는, 여기서 참조로서 병합되는 통상 양도된 미국 특허 번호 6,013,155에 설명되어 있다.
기판 지지부 (6) 주위에는 이동가능하고 대칭적이며 가열되는 챔버 라이너 (200) 가 있다. 이 챔버 라이너는, 바람직하게는 복수의 가스 통로들을 갖는 균일한 두께의 저부 벽 (1) 을 갖는다. 균일한 두께의 연속적인 외측 원통형 벽 (3) 은, 개구들이 없고, 저부 벽 (1) 의 외측 둘레로부터 상향 축방향으로 (axially upward) 연장된다. 플라즈마를 효과적으로 한정하기 위해, 원통형 벽 (3) 의 상부 표면 (3a) 은 바람직하게는 기판 (5) 표면 위에 있다. 저부 벽 (1) 보다 더 큰 두께의 내측 림 (inner rim; 2) 은 저부 벽 (1) 의 내측 둘레로부터 상향 축방향으로 연장된다. 내측 림 (2) 은, 하나 이상의 가열 엘리먼트들을 포함하고 내측 림 (2) 주위에서 전체적으로 또는 실질적으로 연장되는 매립된 (embedded) 히터 (4) 를 하우징한다 (house). 대안적으로 (미도시), 내측 림 (2) 은, 히터 (4) 가 내측 림 (2) 의 저부 표면상에 부착된 상태로 저부 벽 (1) 과 동일한 두께를 가질 수 있다. 히터 (4) 는 높은 온도까지 챔버 라이너 (200) 를 가열하도록 동작가능하다.
기판을 로딩 및 언로딩하는 경우, 액츄에이터들 (7) (예를 들어, 4 개의 액츄에이터들) 은 상위 포지션으로 수직축을 따라 챔버 라이너 (200) 를 이동시키며, 여기서 그 상위 포지션에서는 외측 원통형 벽 (3) 이 기판 로딩 포트 (10) 를 차단하지 않는다 (도 2 참조). 그러나, 임의의 적합한 구동 메커니즘이 상위 포지션과 하위 포지션 사이에서 챔버 라이너 (200) 를 이동시키는데 사용될 수 있다. 일 실시형태에서, 액츄에이터들 (7) 은 공기압으로 (pneumatically) 구동되고 지지 부재 (19) 로 둘러싸인다. 가스 공급들 및/또는 전기적 연결들은 지지 부재 (19) 상의 피드스루를 통해 제공된다. 각각의 액츄에이터 (7) 에서의 액츄에이터 암 (actuator arm; 7A) 은 저부 벽 (1) 의 저부 표면상에서 전기 수용부에 부착된다. 액츄에이터 암 (7A) 은, 외부 가스 소스 (미도시) 로부터 액츄에이터 (7) 에 배치된 공기압 작동형 피스톤 또는 실린더 (미도시) 로, 가압된 가스를 공급하거나 공급하지 않음으로써, 올려지거나 내려질 수 있다. 로딩 또는 언로딩의 시퀀스에서, 외측 원통형 벽 (3) 이 기판 로딩 포트 (10) 를 지나갈 때까지, 액츄에이터 암 (7A) 은 챔버 라이너 (200) 를 위쪽으로 이동시키도록 올려진다. 기판 로딩 포트 (10) 는 개방되고 로보틱 암 (21) 은 기판 (5) 을 챔버 내부로 또는 챔버 외부로 반송한다. 기판 지지부 (6) 는 바람직하게는, 기판 지지부 (6) 의 상부 표면 위에 및 기판 지지부 (6) 의 상부 표면상으로 기판 (5) 을 올리고 내리기 위해 기판 지지부 (6) 내에 일체로 구성된 리프트 핀 (lift pin) 을 포함한다. 기판 (5) 이 그 상부 표면상으로 내려진 후, 액츄에이터 암 (7A) 은 챔버 라이너 (200) 가 그것의 하위 포지션으로 복귀하도록 내려진다. 액츄에이터들 (7) 은 다른 적합한 수단, 예컨대 전기 모터, 케이블 작동형 리프터들, 또는 스코치 요크 (Scotch Yoke) 메커니즘 등에 의해 구동될 수도 있다는 것이 이해되어야 한다.
도 3 및 도 4는 일 실시형태의 챔버 라이너 (200) 의 투시도 및 평면도를 각각 나타낸다. 이 실시형태에서, 저부 벽은, 챔버 라이너의 내측 및 외측 둘레에 실질적으로 수직인 가스 통로들 (20) 의 세로 축들을 갖는 방사상의 패턴으로 배치된 슬롯-형상의 가스 통로들 (20) 을 갖는다. 이들 가스 통로들은 프로세스 가스 및 부산물들의 배기를 위한 배기 루트들로서 기능할 수 있다. 또한, 4 개의 보스 (boss) 들 (400a, 400b, 400c 및 400d) 은 내측 림 (2) 으로부터 외향 반경 방향으로 (radially outwardly) 연장된다. 이들 보스들은 내측 림 (2) 을 따라 90°떨어져 배열된다. 각각의 보스는, 내측 림 (2) 의 상부 표면 (2a) 과 동일한 공간에 걸치는 상부 표면, 보스의 둘레를 에워싸는 경사 측면, 및 수직 마운팅 홀 (29) 을 포함한다. 이들 보스들은 플라즈마 반응 챔버로 공급되는 무선 주파수 (RF) 전력에 대한 저 임피던스 접지 복귀 경로를 위한 연결을 제공한다. 이들 보스들 (젼력 보스들) 중의 2 개 (400a 및 400c) 는 히터 (4) 에 연결된 전기 리드 (lead) 들을 하우징한다.
챔버 라이너 (200) 는, 적어도 저부 벽 (1), 외측 원통형 벽 (3), 및 내측 림 (2) 의 플라즈마 노출면들 상에서, 조면화되고 (roughened), 양극산화처리되고 (anodized), 그리고/또는 세라믹 코팅 (예를 들어, 플라즈마-분무된 이트리어 (yttria)) 될 수 있다. 챔버 라이너 (200) 의 바람직한 재료는 알루미늄이다.
도 5는 도 3 및 도 4에서의 챔버 라이너 (200) 의 2 개의 전력 보스들 (400a 및 400c) 을 관통하는 횡단면을 나타낸다. 2 개의 전력 보스들 (400a 및 400c) 은 동일하다. 도 6은 도 5의 영역 A의 확대 개략도이다. 둘레를 둘러싸게 연장되는 히터 (4) 는 바람직하게는 내측 림 (2) 의 실질적으로 전체 길이를 따라 하우징되거나 매립된다. 일 실시형태에서, 히터 (4) 는 2 개의 반원 가열 엘리먼트들을 포함하며, 각각은 내측 림 (2) 의 절반을 따라 연장된다. 히터들 (4) 의 각각의 가열 엘리먼트는 각각의 전력 보스들 (400a 및 400c) 을 관통하여 반경 방향으로 연장되는 단부 (end section) 들을 포함하고 그 단부들은 전력 리드 (30) 에 전기적으로 연결된다. 리드 (30) 는 그 라이너 상의 저부 표면상에서 전기 컨택 (히터 컨택) (70) 에 연결된다. 환형의 전기 절연 슬리브 (31) 는 히터 컨택 (heater contact; 70) 에 대해 동심이며, 여기서 환형 전기 절연 슬리브 (31) 는 라이너로부터 히터 컨택 (70) 을 전기적으로 절연시킨다. 라이너와 전기적으로 접촉하고 있는 도전체 링 (32) (예를 들어, 알루미늄) 은 환형 전기 절연 슬리브 (31) 에 대해 동심이다. 이 금속 링 (32) 은 저부 외측 플랜지 (flange) 의 저부 표면 (71) (RF 접지 복귀 버튼 (RF ground return button)) 이 라이너의 전부 표면과 동일한 공간에 걸치는 그 저부 외측 플랜지를 포함한다. RF 접지 복귀 버튼 (71) 및 히터 컨택 (70) 은 바람직하게는 양극산화처리되어 그들의 노출 표면들이 적합한 내식 재료 예컨대 Ni, Rh, 또는 Ir으로 도금될 수 있다. 히터 컨택 (70), 절연 슬리브 (31) 및 RF 접지 복귀 버튼 (71) 은 전력 보스 내에 전기 수용부를 형성한다.
전력 보스들 (400a 및 400c) 아래의 액츄에이터 암들 (7A) 은, 전력 공급부 (60) 에 연결되고 히터 컨택 (70) 에 전기적으로 및 기계적으로 접촉하고 있는 중심 와이어 (40), 환형 전기 절연 슬리브 (41), RF 접지에 연결되고 RF 접지 복귀 버튼 (71) 과 전기적으로 및 기계적으로 접촉하고 있는 환형 도전체 (42) 를 구비한, 동심 전극 구조를 갖는다.
도 7은 보스 (400b) 를 관통하는 횡단면을 나타낸다. 보스 (400d) 는 보스 (400b) 와 동일하다. 히터 (4) 는 내측 림 (2) 내에 하우징되거나 매립된다. 보스 (400b) 는 히터 컨택을 포함하지 않거나 가열 엘리먼트들의 단부들을 하우징하지 않는다. 보스 (400b) 내의 RF 접지 복귀 버튼 (71) 은, Ni, Rh, 또는 Ir과 같은 적합한 내식 재료로 도금되고, 비-양극산화처리된 (non-anodized) 알루미늄과 같은 도전성 재료의, 원형 디스크이다. RF 접지 복귀 버튼 (71) 은 라이너의 저부 표면 (2b) 과 동일한 공간에 걸치는 저부 플랜지를 포함할 수 있다. 보스들 (400b 및 400d) 에서는, RF 복귀 버튼 (71) 혼자서 전기 수용부를 형성한다.
보스들 (400b 및 400d) 밑의 액츄에이터 암들 (7A) 은 히터에 전력을 공급하기 위한 와이어를 포함하지 않으며, 대신에 RF 접지에 연결되고 RF 접지 복귀 버튼 (71) 과 전기적으로 및 기계적으로 접촉하는 도전체 (42) 를 포함한다.
도 8a는 도 3 및 도 4에서의 챔버 라이너 (200) 의 저면도이다. 도 8b는 전력 보스 (400a 또는 400c) 밑의 전기 수용부의 확대된 저면도를 나타낸다. 히터 컨택 (70) 은 전기 수용부의 중심에 있다. 절연체 슬리브 (31) 는 히터 컨택 (70) 을 에워싼다. RF 접지 복귀 버튼 (71) 은 절연체 슬리브 (31) 를 에워싼다.
임의의 적합한 히터 장치가 하나 이상의 히터 엘리먼트들에 대한 여러 전기적 연결들에 사용될 수 있다는 것이 이해되어야 한다. 예를 들어, 히터 장치는 림 (2) 내에 감싸지거나 (encased) 림 (2) 의 표면 (2b) 상에 위치될 수 있고/있거나, 단일 보스 내에서 2 개의 히터 컨택들에 연결된 2 개의 단자들을 구비한 단일 가열 엘리먼트 (예를 들어, 원형 로드 (rod), 또는 패턴 필름 히터 등) 를 포함할 수 있는 반면, 다른 보스들의 각각은 단지 RF 접지 복귀 버튼을 포함한다. RF 접지 복귀 버튼들은, 바람직하게는, 챔버 라이너에 의해 한정되는 플라즈마의 균일성을 향상시키도록 챔버 라이너 주위에 대칭적으로 배치된다.
가열 엘리먼트들의 단부들이 내측 림 (2) 바로 밑에서 수직으로 연장되도록 그 가열 엘리먼트들의 단부들이 재배치되는 것도 또한 가능하며, 여기서 내측 림 (2) 은 보스들 (400a 내지 400d) 을 제거하고, 원형의 대칭적 내측 림을 유지하며, 챔버 라이너에 의해 한정되는 플라즈마의 균일성을 향상시킨다.
도 3 내지 도 8에 의해 묘사되는 챔버 라이너의 실시형태는 다음의 단계들에 의해 제조될 수도 있다: 금속판을 하이드로포밍 (hydroforming) 하여 저부 벽 (1) 및 외측 원통형 벽 (3) 을 형성하는 단계; 금속의 단편 (piece) 을 머시닝 (machining) 하거나 용융 금속을 캐스팅 (casting) 하여 내측 림 (2) 을 형성하는 단계; 히터 (4) 를 내측 림 (2) 에 매립하거나 부착하는 단계; 저부 벽 (1) 및 외측 원통형 벽 (3) 의 어셈블리를 용접 라인 (300) 을 따라 내측 림 (2) 에 용접하는 단계 (예를 들어, 마찰-교반 용접 (friction-stir welding)); 저부 벽 (1) 에 슬롯들 (20) 을 머시닝하는 단계; 선택적으로, 플라즈마 노출 표면들을 양극산화처리하는 단계; 및 선택적으로, 플라즈마 노출 표면들을 열 분무된 이트리어로 코팅하는 단계.
플라즈마 반응 챔버 (100) 내에서 반도체 기판을 프로세싱하는 예시적인 방법은 다음을 포함할 수도 있다: (a) 반도체 기판 (5) 을 플라즈마 반응 챔버 (100) 내로 반송하고 반도체 기판 (5) 을 기판 지지부 (6) 상으로 내리는 단계; (b) 액츄에이터 암들 (7A) 을 낮춤으로써 챔버 라이너 (200) 를 하위 포지션으로 이동시키는 단계; (c) 히터 (4) 를 가동시킴으로써 챔버 라이너 (200) 를 원하는 온도 (예를 들어, 20 내지 50°C, 50 내지 100°C, 또는 100 내지 200°C) 로 가열하는 단계; (d) 프로세스 가스를 챔버 (100) 로 공급하고 RF 전력 소스로 플라즈마를 발생시키는 단계; (e) 반도체 기판 (5) 을 플라즈마로 프로세싱 (예를 들어, 플라즈마 에칭) 하는 단계; (f) 액츄에이터 (7) 로 챔버 라이너 (200) 를 상위 포지션으로 이동시키는 단계; (g) 플라즈마 반응 챔버 (100) 외부로 반도체 기판 (5) 을 반송하는 단계; 및 다른 기판으로 (a)-(g) 를 반복하는 단계.
본 발명은 특정 실시형태들을 참조하여 상세하여 설명되었지만, 첨부된 청구항들의 범위로부터 벗어남 없이, 여러 변경들 및 수정들이 행해질 수 있고, 균등물들이 채용될 수 있다는 것은 당업자에게 명백할 것이다. 예를 들어, 이동가능 라이너가 용량성 결합 플라즈마 챔버 내에 일체로 구성될 수 있다.

Claims (20)

  1. 반도체 기판을 프로세싱하는데 유용한 플라즈마 반응 챔버 내에서 기판 지지부의 둘레 주위에 맞도록 구성된 이동가능 챔버 라이너로서,
    복수의 가스 통로들을 구비한 환형 저부 벽으로서, 상기 이동가능 챔버 라이너가 상기 플라즈마 반응 챔버 내의 하위 포지션에 있는 경우 상기 환형 저부 벽이 상기 기판 지지부의 기판 지지면 밑에 있도록 구성되는, 상기 환형 저부 벽;
    관통하는 개구들이 없는 연속적인 외측 원통형 벽으로서, 상기 외측 원통형 벽은 상기 저부 벽의 외측 둘레로부터 상향 축방향으로 연장되고, 상기 이동가능 챔버 라이너가 상기 하위 포지션에 있는 경우 상기 외측 원통형 벽의 상부 표면이 상기 기판 지지부의 상기 기판 지지면 위로 연장되는, 상기 외측 원통형 벽;
    상기 저부 벽의 내측 둘레로부터 상향 축방향으로 연장되는 내측 림 (rim) 으로서, 상기 이동가능 챔버 라이너가 상기 하위 포지션에 있는 경우 상기 내측 림의 최상부 표면이 상기 기판 지지부의 상기 기판 지지면 위로 연장되지 않는, 상기 내측 림; 및
    상기 내측 림에 의해 지지되고 상기 이동가능 챔버 라이너를 높은 온도까지 가열하도록 동작가능한 히터를 포함하는, 이동가능 챔버 라이너.
  2. 제 1 항에 있어서,
    상기 내측 림은, 상기 저부 벽의 내측 둘레부터 상향 축방향으로 연장되는 경사면을 포함하는, 이동가능 챔버 라이너.
  3. 제 1 항에 있어서,
    상기 히터는 상기 내측 림에 매립되는 (embedded), 이동가능 챔버 라이너.
  4. 제 1 항에 있어서,
    상기 내측 림은,
    90°떨어져 이격된, 4 개의 외향 반경 방향으로 연장된 보스 (boss) 들로서, 상기 보스들 중의 적어도 하나는 상기 히터에 대한 전기적 연결들을 하우징 (housing) 하는, 상기 보스들을 포함하는, 이동가능 챔버 라이너.
  5. 제 1 항에 있어서,
    상기 외측 원통형 벽 및 상기 저부 벽은 하이드로포밍된 (hydroformed) 알루미늄의 단일 판으로 이루어지는, 이동가능 챔버 라이너.
  6. 제 1 항에 있어서,
    상기 내측 림은 상기 저부 벽에 마찰-교반 용접되는 (friction-stir welded), 이동가능 챔버 라이너.
  7. 제 1 항에 있어서,
    상기 라이너의 하부 표면상의 적어도 하나의 전기 수용부로서, 상기 히터에 전기적으로 연결되고 상기 라이너로부터 전기적으로 절연되는 하나 이상의 도전성 컨택들 (히터 컨택들) 을 포함하는, 상기 전기 수용부; 및
    상기 라이너의 상기 하부 표면상의 적어도 하나의 전기 수용부로서, 상기 라이너에 전기적으로 연결되고 어느 히터 컨택들로부터도 전기적으로 절연되는 도전성 컨택 (RF 접지 복귀 버튼) 을 포함하는, 상기 전기 수용부를 더 포함하는, 이동가능 챔버 라이너.
  8. 제 7 항에 있어서,
    상기 전기 수용부들은 방위각으로 대칭적으로 위치되는, 이동가능 챔버 라이너.
  9. 제 7 항에 있어서,
    상기 히터 컨택들의 노출 표면들 및 상기 RF 접지 복귀 버튼들의 노출 표면들의 재료는 니켈, 로듐, 이리듐, 또는 이들의 합금인, 이동가능 챔버 라이너.
  10. 제 7 항에 있어서,
    상기 전기 수용부들 중의 2개는 히터 컨택들을 포함하고;
    상기 전기 수용부들 각각은 RF 접지 복귀 버튼을 포함하는, 이동가능 챔버 라이너.
  11. 제 1 항에 있어서,
    상기 저부 벽에서의 개구들은 방사상 패턴으로 배치된 슬롯들이고, 상기 개구들의 세로 축들은 상기 챔버 라이너의 내측 둘레 및 외측 둘레에 실질적으로 수직인, 이동가능 챔버 라이너.
  12. 제 1 항에 있어서,
    상기 저부 벽, 상기 외측 원통형 벽 및 상기 내측 림은 양극산화처리된 (anodized) 알루미늄, 또는 조면화되고 (roughened) 양극산화처리된 알루미늄인, 이동가능 챔버 라이너.
  13. 제 1 항에 있어서,
    상기 히터는 2 개의 반원형 히터 엘리먼트들을 포함하는, 이동가능 챔버 라이너.
  14. 제 1 항에 있어서,
    상기 저부 벽, 상기 외측 원통형 벽 및 상기 내측 림의 플라즈마 노출 표면들은 세라믹 코딩으로 코팅되는, 이동가능 챔버 라이너.
  15. 제 13 항에 있어서,
    상기 반원형 히터 엘리먼트들 각각은, 대향 단부 (opposite end) 들에서 외향 반경 방향으로 연장되는 한 쌍의 세그먼트들을 포함하는, 이동가능 챔버 라이너.
  16. 플라즈마 반응 챔버로서,
    제 7 항에 기재된 상기 이동가능 챔버 라이너;
    상기 반도체 기판이 지지되는 기판 지지부;
    상기 플라즈마 반응 챔버의 동작 동안 적어도 하나의 종들 (species) 의 프로세스 가스를 플라즈마로 활성화 (energize) 하도록 구성되는 적어도 하나의 무선 주파수 (RF) 전력 소스로서, 상기 플라즈마는 상기 반도체 기판을 프로세싱하는데 유용한, 상기 무선 주파수 전력 소스;
    원통형 챔버 벽; 및
    상기 이동가능 챔버 라이너에서의 상기 전기 수용부들에 부착되고, 상기 챔버 라이너를 상기 챔버 라이너의 축을 따라 상위 포지션까지 이동시켜 상기 챔버 벽에서의 슬롯을 통해 반도체 기판을 로딩 및 언로딩하는 것을 허용하도록 구성되는, 수직 이동가능 액츄에이터들을 포함하는, 플라즈마 반응 챔버.
  17. 제 16 항에 있어서,
    상기 액츄에이터들 중의 적어도 하나는, 상기 전기 수용부들 중의 하나에서의 제 1 히터 컨택에 그리고 전력 공급부에 전기적으로 연결되는 리드 (lead) 를 포함하고;
    상기 액츄에이터들 중의 적어도 하나는, 상기 전기 수용부들 중의 하나에서의 제 2 히터 컨택에 그리고 전기 접지에 전기적으로 연결되는 다른 리드를 포함하며;
    상기 액츄에이터들 각각은, 전기 수용부에서의 RF 복귀 버튼에 그리고 RF 접지에 전기적으로 연결되는 리드를 포함하는, 플라즈마 반응 챔버.
  18. 제 16 항에 있어서,
    상기 액츄에이터들은 공기압으로 구동되는, 플라즈마 반응 챔버.
  19. 제 1 항에 기재된 상기 이동가능 챔버 라이너를 제조하는 방법으로서,
    금속판을 하이드로포밍 (hydroforming) 하여 상기 저부 벽 및 상기 외측 원통형 벽으로 이루어지는 어셈블리를 형성하는 단계;
    금속의 단편 (piece) 을 머시닝 (machining) 하거나 용융 금속을 캐스팅 (casting) 하여 상기 내측 림을 형성하는 단계;
    상기 내측 림과 열 접촉하는 상기 히터를 지지하는 단계;
    상기 하이드로포밍된 상기 저부 벽 및 상기 외측 원통형 벽의 어셈블리를 상기 내측 림에 용접하는 단계;
    상기 저부 벽에 개구들을 머시닝하거나 드릴링 (drilling) 하는 단계를 포함하는, 이동가능 챔버 라이너를 제조하기 위한 방법.
  20. 제 16 항에 기재된 상기 플라즈마 반응 챔버 내에서 반도체 기판들을 프로세싱하는 방법으로서,
    (a) 반도체 기판을 상기 플라즈마 반응 챔버 내로 로딩하고 상기 반도체 기판을 상기 기판 지지부 상에 위치시키는 단계;
    (b) 상기 액츄에이터들을 이동시켜 상기 챔버 라이너를 상기 하위 포지션으로 내리는 단계;
    (c) 상기 히터로 상기 챔버 라이너의 온도를 조절하는 단계;
    (d) 프로세스 가스를 상기 챔버 내로 공급하고 상기 RF 전력 소스로 상기 프로세스 가스를 플라즈마로 활성화하는 단계;
    (e) 상기 반도체 기판을 상기 플라즈마로 플라즈마 에칭하는 단계;
    (f) 상기 액츄에이터들을 이동시켜 상기 챔버 라이너를 상기 상위 포지션으로 올리는 단계;
    (g) 상기 플라즈마 반응 챔버 외부로 상기 반도체 기판을 반송하는 단계를 포함하는, 플라즈마 반응 챔버 내에서 반도체 기판들을 프로세싱하는 방법.
KR1020127033514A 2010-05-21 2011-05-13 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합 KR101976852B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/785,232 US8597462B2 (en) 2010-05-21 2010-05-21 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US12/785,232 2010-05-21
PCT/US2011/000857 WO2011146108A2 (en) 2010-05-21 2011-05-13 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
KR20130115099A true KR20130115099A (ko) 2013-10-21
KR101976852B1 KR101976852B1 (ko) 2019-05-10

Family

ID=44972834

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127033514A KR101976852B1 (ko) 2010-05-21 2011-05-13 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합

Country Status (7)

Country Link
US (2) US8597462B2 (ko)
JP (2) JP5902153B2 (ko)
KR (1) KR101976852B1 (ko)
CN (1) CN102947920B (ko)
SG (1) SG185669A1 (ko)
TW (2) TWI501288B (ko)
WO (1) WO2011146108A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180043439A (ko) 2016-10-19 2018-04-30 세메스 주식회사 기판을 처리하는 장치의 부품 세정 방법 및 장치
KR20190101432A (ko) * 2016-12-27 2019-08-30 에바텍 아크티엔게젤샤프트 Rf 용량성 결합 에칭 반응기
KR20200088917A (ko) * 2017-12-15 2020-07-23 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR102152905B1 (ko) 2019-06-24 2020-09-08 세메스 주식회사 부품 세정 방법 및 장치

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101204496B1 (ko) * 2007-05-18 2012-11-26 가부시키가이샤 아루박 플라즈마 처리 장치 및 방착 부재의 제조 방법
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN103403852B (zh) * 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
SG10201604037TA (en) 2011-11-24 2016-07-28 Lam Res Corp Symmetric rf return path liner
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
CN103887138B (zh) * 2014-03-31 2017-01-18 上海华力微电子有限公司 一种刻蚀设备的边缘环
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
CN105789008B (zh) * 2014-12-22 2017-12-19 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体刻蚀方法
CN105789010B (zh) * 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
CN106783490B (zh) * 2015-11-23 2018-09-18 北京北方华创微电子装备有限公司 内衬接地组件、反应腔室及半导体加工设备
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
WO2017165016A1 (en) 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
US11145495B2 (en) * 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
JP6683575B2 (ja) * 2016-09-01 2020-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR102587615B1 (ko) 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
JP2019009185A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
US20190006154A1 (en) * 2017-06-28 2019-01-03 Chaolin Hu Toroidal Plasma Chamber
US11124659B2 (en) 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
CN110534391B (zh) * 2018-05-23 2022-04-22 北京北方华创微电子装备有限公司 腔室内衬、反应腔室及半导体加工设备
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
CN109175666B (zh) * 2018-07-20 2020-08-25 靖江先锋半导体科技有限公司 一种带磁铁环的直冷阴极衬套的焊接工艺
KR20210039422A (ko) * 2018-07-30 2021-04-09 노드슨 코포레이션 플라즈마로 작업편을 처리하기 위한 시스템
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
WO2020024859A1 (zh) 2018-08-01 2020-02-06 北京北方华创微电子装备有限公司 反应腔室以及等离子体设备
KR102642790B1 (ko) 2018-08-06 2024-03-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
CN111326386B (zh) * 2018-12-14 2023-04-14 北京北方华创微电子装备有限公司 聚焦环和预清洗腔室
US11415147B2 (en) * 2019-05-28 2022-08-16 Applied Materials, Inc. Pumping liner for improved flow uniformity
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
CN112447474B (zh) * 2019-09-04 2022-11-04 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
CN110919216A (zh) * 2019-11-26 2020-03-27 中国电建集团山东电力建设第一工程有限公司 一种盐碱地区火力发电厂接地线焊接的防腐方法
CN112928007B (zh) * 2019-12-06 2023-09-12 中微半导体设备(上海)股份有限公司 等离子体处理设备及用于等离子体处理设备的下电极组件
JP7422531B2 (ja) * 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20210285101A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for conductance liners in semiconductor process chambers
CN111725106B (zh) * 2020-06-22 2023-09-08 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
JP7446177B2 (ja) 2020-08-03 2024-03-08 東京エレクトロン株式会社 基板処理装置および中継部材の駆動方法
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
CN114664622A (zh) * 2020-12-23 2022-06-24 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及调节方法
CN113337810B (zh) * 2021-05-26 2022-04-22 北京北方华创微电子装备有限公司 内衬装置及半导体加工设备
CN114284128B (zh) * 2021-12-27 2024-01-26 合肥综合性国家科学中心能源研究院(安徽省能源实验室) 一种控制离子源引出粒子密度的调节装置及其控制方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
KR20010071688A (ko) * 1998-06-30 2001-07-31 리차드 에이치. 로브그렌 플라즈마 공정을 위한 탄성중합체 접착된 부품들 및 이의제조 및 사용을 위한 방법
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
JP2005521229A (ja) * 2001-06-29 2005-07-14 ラム リサーチ コーポレーション プラズマの容量を設定可能であるエッチングチャンバ
JP2007012724A (ja) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法
KR100887014B1 (ko) * 2000-11-01 2009-03-04 어플라이드 머티어리얼스, 인코포레이티드 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4013415A (en) * 1974-06-07 1977-03-22 Igor Sergeevich Burov Plasma-chemical reactor for treatment of disperse materials
US4289598A (en) * 1980-05-03 1981-09-15 Technics, Inc. Plasma reactor and method therefor
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
EP1120817B8 (en) * 1991-03-26 2007-10-10 Ngk Insulators, Ltd. Use of a corrosion-resistant member
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5685942A (en) 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JP4183099B2 (ja) 1995-11-17 2008-11-19 ゲゼルシャフト・フュア・ビオテヒノロジッシェ・フォルシュング・ミット・ベシュレンクテル・ハフツング(ゲー・ベー・エフ) エポチロンcおよびd、製造法ならびに組成物
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6001426A (en) * 1996-07-25 1999-12-14 Utron Inc. High velocity pulsed wire-arc spray
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3598717B2 (ja) 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6617538B1 (en) * 2000-03-31 2003-09-09 Imad Mahawili Rotating arc plasma jet and method of use for chemical synthesis and chemical by-products abatements
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
JP2002270484A (ja) 2001-03-07 2002-09-20 Tokyo Electron Ltd 冷却処理装置及び冷却処理方法
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
KR100426816B1 (ko) 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
EP1602748B1 (en) * 2003-03-12 2014-07-09 Toyo Seikan Group Holdings, Ltd. Microwave plasma processing device
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
KR101141488B1 (ko) 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
JP2004356311A (ja) * 2003-05-28 2004-12-16 Sony Corp プラズマ処理装置
KR100826432B1 (ko) * 2003-10-31 2008-04-29 엘지디스플레이 주식회사 반도체 공정 장비용 서셉터 및 이를 구비한 반도체 공정 장비
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US20060289397A1 (en) * 2005-05-16 2006-12-28 Imad Mahawili Arc plasma jet and method of use for chemical scrubbing system
JP4825459B2 (ja) * 2005-06-28 2011-11-30 株式会社東芝 熱処理装置、熱処理方法及び半導体装置の製造方法
US7416677B2 (en) 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7699634B2 (en) 2007-03-16 2010-04-20 Lam Research Corporation High power electrical connector for a laminated heater
KR101204496B1 (ko) * 2007-05-18 2012-11-26 가부시키가이샤 아루박 플라즈마 처리 장치 및 방착 부재의 제조 방법
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
JP2010084169A (ja) * 2008-09-30 2010-04-15 Canon Anelva Corp 真空排気方法、真空排気プログラム、および真空処理装置
US20100116823A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Hydroformed fluid channels
US8877001B2 (en) * 2009-05-07 2014-11-04 Applied Materials, Inc. Shuttered gate valve
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8826855B2 (en) * 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010071688A (ko) * 1998-06-30 2001-07-31 리차드 에이치. 로브그렌 플라즈마 공정을 위한 탄성중합체 접착된 부품들 및 이의제조 및 사용을 위한 방법
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
KR20010079914A (ko) * 1998-09-30 2001-08-22 리차드 로브그렌 반도체공정 체임버용 체임버라이너
KR100887014B1 (ko) * 2000-11-01 2009-03-04 어플라이드 머티어리얼스, 인코포레이티드 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
JP2005521229A (ja) * 2001-06-29 2005-07-14 ラム リサーチ コーポレーション プラズマの容量を設定可能であるエッチングチャンバ
KR100883948B1 (ko) * 2001-06-29 2009-02-18 램 리써치 코포레이션 구성가능한 플라즈마 부피 에칭챔버
JP2007012724A (ja) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180043439A (ko) 2016-10-19 2018-04-30 세메스 주식회사 기판을 처리하는 장치의 부품 세정 방법 및 장치
US10622226B2 (en) 2016-10-19 2020-04-14 Semes Co. Ltd. Method and apparatus for cleaning component of substrate processing apparatus
KR20190101432A (ko) * 2016-12-27 2019-08-30 에바텍 아크티엔게젤샤프트 Rf 용량성 결합 에칭 반응기
KR20200088917A (ko) * 2017-12-15 2020-07-23 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR102152905B1 (ko) 2019-06-24 2020-09-08 세메스 주식회사 부품 세정 방법 및 장치

Also Published As

Publication number Publication date
US20110287632A1 (en) 2011-11-24
JP2016136636A (ja) 2016-07-28
TWI588866B (zh) 2017-06-21
WO2011146108A2 (en) 2011-11-24
SG185669A1 (en) 2012-12-28
US9490135B2 (en) 2016-11-08
US8597462B2 (en) 2013-12-03
KR101976852B1 (ko) 2019-05-10
JP2013531368A (ja) 2013-08-01
CN102947920B (zh) 2015-06-03
US20140051254A1 (en) 2014-02-20
JP6196697B2 (ja) 2017-09-13
TW201537614A (zh) 2015-10-01
TWI501288B (zh) 2015-09-21
TW201201245A (en) 2012-01-01
CN102947920A (zh) 2013-02-27
JP5902153B2 (ja) 2016-04-13
WO2011146108A3 (en) 2012-04-05

Similar Documents

Publication Publication Date Title
KR101976852B1 (ko) 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합
CN211578693U (zh) 衬底支撑组件
CN100423196C (zh) 螺旋谐振器型等离子体处理设备
US7837828B2 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
US8607731B2 (en) Cathode with inner and outer electrodes at different heights
US5858100A (en) Substrate holder and reaction apparatus
US10741368B2 (en) Plasma processing apparatus
US20180358211A1 (en) Substrate treating apparatus
CN206877967U (zh) 处理套件和等离子体腔室
US11810758B2 (en) Plasma processing apparatus
JP4540797B2 (ja) 基板処理チャンバ及び基板処理チャンバ用のアンテナコイルアセンブリ
CN112652514A (zh) 基板处理装置和基板处理方法
TWI677006B (zh) 用於基板之電漿處理之方法及裝置
KR20140073687A (ko) 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US20210005424A1 (en) Shower head unit and system for treating substrate with the shower head unit
JP3193575B2 (ja) マイクロ波プラズマ処理装置
CN220155485U (zh) 一种等离子体处理设备及其升降环结构
CN113035683B (zh) 一种下电极组件、等离子体处理器
TWI837214B (zh) 形成在用於電漿處理腔室的基板支撐組件的靜電吸盤中的接地電極
KR102344523B1 (ko) 지지 유닛 및 이를 포함하는 기판 처리 장치
KR20230092685A (ko) 포커스 링을 포함하는 기판 처리 장치
KR20230030709A (ko) 리프트 핀 유닛 및 이를 구비하는 기판 지지 유닛
KR20240016705A (ko) 기판 지지 장치 및 이를 포함하는 기판 처리 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right