KR20130014608A - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR20130014608A
KR20130014608A KR1020127033290A KR20127033290A KR20130014608A KR 20130014608 A KR20130014608 A KR 20130014608A KR 1020127033290 A KR1020127033290 A KR 1020127033290A KR 20127033290 A KR20127033290 A KR 20127033290A KR 20130014608 A KR20130014608 A KR 20130014608A
Authority
KR
South Korea
Prior art keywords
film
insulating film
barrier layer
sic
semiconductor device
Prior art date
Application number
KR1020127033290A
Other languages
English (en)
Other versions
KR101443999B1 (ko
Inventor
시로우 오자키
요시히로 나카타
야스시 고바야시
유이치 미노우라
Original Assignee
후지쯔 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지쯔 가부시끼가이샤 filed Critical 후지쯔 가부시끼가이샤
Publication of KR20130014608A publication Critical patent/KR20130014608A/ko
Application granted granted Critical
Publication of KR101443999B1 publication Critical patent/KR101443999B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 기판(10) 상에 실리콘 화합물계 절연 재료로 이루어진 절연막(38, 40, 42)을 형성하는 공정과, 절연막(38, 40, 42)에 개구부(48)를 형성하는 공정과, 탄화수소계 가스를 함유하는 분위기 내에서 활성 에너지선을 조사함으로써, 개구부(48)의 내면에 결정성 SiC로 이루어진 배리어층(50)을 형성하는 공정과, 배리어층(50)이 형성된 개구부(48) 내에 구리로 이루어진 배선 구조체(52)를 형성하는 공정을 포함한다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME}
본 발명은, 반도체 장치 및 그 제조 방법에 관한 것으로서, 특히, 구리 배선을 갖는 반도체 장치 및 그 제조 방법에 관한 것이다.
반도체 장치의 미세화 및 고성능화의 요구에 따라, 최근의 반도체 장치에서의 배선층의 형성에는, 층간 절연막에 홈 패턴이나 홀 패턴을 형성한 후, 이 홈이나 홀에 배선 재료를 메우는, 소위 다마신법이라 지칭되는 프로세스가 채용되고 있다. 배선 재료로는, 종래의 알루미늄 대신에 보다 저저항 재료로서 구리(Cu)가 이용되고 있다.
구리는, 층간 절연막의 주재료인 실리콘 산화막 내로 확산되기 쉬운 금속 재료이다. 구리가 층간 절연막 내로 확산되면, 배선의 단락이나 배선 불량 등을 일으킬 우려가 있다. 이 때문에 배선 재료로서 구리를 이용하는 경우, 홈이나 홀의 내벽에, 구리의 확산을 방지하는 배리어층을 설치하고, 구리를 층간 절연막 내로 확산시킬 필요가 있다. 종래, 배리어층 재료로서는, 티탄(Ti)이나 탄탈(Ta) 등의 배리어 메탈 재료가 이용되고 있었다.
[선행기술문헌]
(특허문헌 1) 일본 특허 공개 평성9-252095호 공보
그러나, 종래부터 이용되어 온 배리어층 재료인 티탄이나 탄탈은, 내산화성이 낮기 때문에, 백앤드 프로세스의 가열이나 동작시의 발열에 의해 산화되는 경우가 있었다. 배리어층이 산화되면, 배선 저항이 상승하여 배선 지연이 증대되는 등, 수율 및 신뢰성의 저하를 일으킬 우려가 있다. 이 때문에, 배리어층의 산화를 억제하는 프로세스 기술이나, 내산화성이 높은 배리어층 재료가 요구되고 있다. 또한, 구리 배선용 배리어층은, 백앤드 프로세스에 적용 가능한 저온에서 형성되는 것도 중요하다.
본 발명의 목적은, 구리 배선을 갖는 반도체 장치에 있어서, 구리에 대한 배리어성 및 내산화성이 우수한 배리어층을 저온에서 형성할 수 있는 반도체 장치의 제조 방법 및 이것에 따라 형성한 고성능의 반도체 장치를 제공하는 것에 있다.
실시형태의 하나의 관점에 따르면, 기판 상에, 실리콘 화합물계 절연 재료로 이루어진 절연막을 형성하는 공정과, 상기 절연막에, 개구부를 형성하는 공정과, 상기 개구부를 형성한 상기 절연막에, 탄화수소계 가스를 함유하는 분위기 내에서 활성 에너지선을 조사함으로써, 적어도 상기 개구부의 내면에, 결정성 SiC로 이루어진 배리어층을 형성하는 공정과, 상기 배리어층이 형성된 상기 절연막의 상기 개구부 내에, 구리로 이루어진 배선 구조체를 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
또한, 실시형태의 다른 관점에 따르면, 개구부를 갖는 절연막과, 상기 절연막의 상기 개구부의 내면에 형성된 결정성 SiC로 이루어진 배리어층과, 상기 배리어층이 형성된 상기 개구부 내에 매립된 구리로 이루어진 배선 구조체를 포함하는 반도체 장치가 제공된다.
개시된 반도체 장치 및 그 제조 방법에 따르면, 배선 구조체로부터 구리가 확산되는 것을 방지하기 위한 배리어층을 결정성 SiC에 의해 구성하기 때문에, 구리의 확산 배리어성을 확보하고, 배리어층의 내산화성도 높일 수 있다. 이에 따라, 배선 구조체의 신뢰성을 높일 수 있어 고성능의 반도체 장치를 제조할 수 있다.
또한, 결정성 SiC로 이루어진 배리어층은, 탄화수소계 가스 분위기 내에서 활성 에너지선을 조사함으로써 형성되기 때문에, 그 때의 가열 온도를 50℃~250℃ 정도까지 저온화할 수 있다. 따라서, 400℃ 이상의 열처리가 곤란한 반도체 장치의 백앤드 프로세스에도 적용할 수 있다.
도 1은 본 발명의 일 실시형태에 따른 반도체 장치의 구조를 나타낸 개략 단면도이다.
도 2는 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제1 공정 단면도이다.
도 3은 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제2 공정 단면도이다.
도 4는 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제3 공정 단면도이다.
도 5는 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제4 공정 단면도이다.
도 6은 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제5 공정 단면도이다.
도 7은 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제6 공정 단면도이다.
도 8은 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제7 공정 단면도이다.
도 9는 본 발명의 일 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 제8 공정 단면도이다.
본 발명의 일 실시형태에 따른 반도체 장치 및 그 제조 방법에 대해서 도 1 내지 도 9를 이용하여 설명한다.
도 1은 본 실시형태에 따른 반도체 장치의 구조를 나타낸 개략 단면도, 도 2 내지 도 9는 본 실시형태에 따른 반도체 장치의 제조 방법을 나타낸 공정 단면도이다.
처음에, 본 실시형태에 따른 반도체 장치의 구조에 대해서 도 1을 이용하여 설명한다.
실리콘 기판(10) 상에는 소자 영역(14)을 구획하는 소자 분리막(12)이 형성되어 있다. 소자 영역(14)에는, 실리콘 기판(10) 상에 게이트 절연막(16)을 사이에 두고 형성된 게이트 전극(18)과, 게이트 전극 양측의 반도체 기판(10) 내에 형성된 소스/드레인 영역(20, 22)을 갖는 MIS 트랜지스터(24)가 형성되어 있다.
MIS 트랜지스터(24)가 형성된 실리콘 기판(10) 상에는, 층간 절연막(26) 및 스토퍼막(28)이 형성되어 있다. 층간 절연막(26) 및 스토퍼막(28)에는 소스/드레인 영역(22)에 접속된 컨택트 플러그(36)가 매립되어 있다.
컨택트 플러그(36)가 매립된 스토퍼막(28) 상에는, 절연막(38), 층간 절연막(40) 및 절연막(42)이 형성되어 있다. 절연막(38), 층간 절연막(40) 및 절연막(42)에는 배선홈(48)이 형성되어 있다. 컨택트 플러그(36) 위를 제외한 배선홈(48)의 내벽에는 SiC막으로 이루어진 배리어층(50)이 형성되어 있다. 배리어층(50)이 형성된 배선롬(48) 내에는, Cu막으로 이루어진 배선(52)이 매립되어 있다.
배리어층(50) 및 배선(52)이 매립된 절연막(42) 상에는 절연막(54), 층간 절연막(56), 절연막(58), 층간 절연막(60) 및 절연막(62)이 형성되어 있다. 절연막(54) 및 층간 절연막(56)에는, 배선(52)에 이르는 비아홀(68)이 형성되어 있다. 절연막(58), 층간 절연막(60) 및 절연막(62)에는, 비아홀(68)에 접속된 배선홈(74)이 형성되어 있다. 배선(52) 위를 제외한 비아홀(68) 및 배선홈(74)의 내벽에는 SiC막으로 이루어진 배리어층(76)이 형성되어 있다. 배리어층(76)이 형성된 비아홀(68) 내에는 Cu막으로 이루어진 컨택트 플러그(78)가 매립되어 있다. 배리어층(76)이 형성된 배선홈(74) 내에는 Cu막으로 이루어진 배선(80)이 매립되어 있다. 컨택트 플러그(78) 및 배선(80)은 일체로 형성되어 있다.
배선(80)이 매립된 절연막(62) 상에는 절연막(82)이 형성되어 있다.
이와 같이 본 실시형태에 따른 반도체 장치에서는, 배선(52)이 매립된 배선홈의 내벽에 SiC막으로 이루어진 배리어층(50)이 형성되고, 컨택트 플러그(78) 및 배선(80)이 매립된 비아홀(68) 및 배선홈(74)의 내벽에 SiC막으로 이루어진 배리어층(76)이 형성되어 있다.
SiC는 금속에 대한 배리어성(확산 방지)이 높은 재료로서, 배선 재료의 금속(Cu)이 층간 절연막 방향으로 확산되는 것을 방지하기 위한 배리어층으로서 매우 유효하다. 또한, SiC는, 내산화성이 높은 재료이기도 하여 백앤드 프로세스 과정의 열처리나 동작시의 발열 등일 때에 산화되는 일은 없다. 따라서, 배리어층으로서 SiC막을 이용함으로써, 금속에 대한 배리어성 및 내산화성을 향상시킬 수 있고, 반도체 장치의 수율 및 신뢰성을 향상시킬 수 있다.
배리어층으로서 이용하는 SiC로서는, 특별히 한정되지 않지만, 치밀한 결정 구조를 가지며, 확산 방지 효과나 내산화성이 매우 높다고 하는 관점에서, 결정성 α-SiC나 β-SiC, 특히 β-SiC가 바람직하다. β-SiC는 3C-SiC라고도 불리며, 다이아몬드 구조의 치환형인 섬아연광형 결정 구조를 갖고 있다. Si와 C의 공유 결합은 매우 강고하여 안정적이며, 내열성, 고강도, 고경도, 내식성 등 우수한 특성을 갖고 있다. β-SiC는 금속에 대한 배리어성이나 내산화성이 우수하여 배리어층 재료로서 특히 적합하다.
통상, β-SiC는 600℃를 초과하는 고온에서 형성되는 것으로서, 400℃ 정도 이하의 온도에서의 처리가 필요한 반도체 장치의 백앤드 프로세스에는 적용할 수 없었다. 그러나, 후술하는 본 발명의 방법을 적용함으로써, 50℃~250℃ 정도의 저온에 의해 β-SiC막을 형성할 수 있어 백앤드 프로세스에 대한 적용이 가능해진다.
다음에, 본 실시형태에 따른 반도체 장치의 제조 방법에 대해서 도 2 내지 도 9를 이용하여 설명한다.
우선, 예컨대 실리콘으로 이루어진 반도체 기판(10)에, 예컨대 LOCOS(LOCal Oxidation of Silicon)법에 의해 소자 영역(14)을 구획하는 소자 분리막(12)을 형성한다. 소자 분리막(12)은 STI(Shallow Trench Isolation)법에 의해 형성하여도 좋다.
계속해서, 소자 영역(14) 상에, 통상의 MOS 트랜지스터의 제조 방법과 동일하게 하여 반도체 기판(10) 상에 게이트 절연막(16)을 사이에 두고 형성된 게이트 전극(18)과, 게이트 전극(18)의 양측의 반도체 기판(10) 내에 형성된 소스/드레인 영역(20, 22)을 갖는 MIS 트랜지스터(24)를 형성한다[도 2의 (a)].
계속해서, MIS 트랜지스터(24)가 형성된 반도체 기판(10) 상에, 예컨대 CVD 법에 의해, 예컨대 막 두께 500 ㎚의 실리콘 산화막(SiO2)을 퇴적시킨다.
계속해서, 예컨대 CMP(Chemical Mechanical Polishing: 화학적 기계적 연마)법에 의해 이 실리콘 산화막의 표면을 연마하여 평탄화하고, 실리콘 산화막으로 이루어져 표면이 평탄화된 층간 절연막(26)을 형성한다.
계속해서, 층간 절연막(26) 상에, 예컨대 플라즈마 CVD법에 의해, 예컨대 막 두께 50 ㎚의 실리콘 질화막(SiN)을 퇴적시키고, 실리콘 질화막으로 이루어진 스토퍼막(28)을 형성한다. 스토퍼막(28)은, 후술하는 공정에 있어서, CMP에 의해 연마할 때의 연마 스토퍼로서, 절연막(38) 등에 배선홈(48)을 형성할 때의 에칭 스토퍼로서 각각 기능한다. 스토퍼막(28)은 상층에 형성하는 막과는 에칭 특성이 상이한 재료에 의해 구성되며, 실리콘 질화막 이외에, 예컨대, SiC:H막, SiC:O:H막, SiC:N막 등을 적용할 수도 있다.
계속해서, 포토리소그래피 및 건식 에칭에 의해 스토퍼막(28) 및 층간 절연막(26)에, 소스/드레인 영역(22)에 이르는 컨택트 홀(30)을 형성한다[도 2의 (b)].
계속해서, 전체면에, 예컨대 스퍼터법에 의해, 예컨대 막 두께 50 ㎚의 질화티탄(TiN)막을 퇴적시키고, TiN막으로 이루어진 밀착층(32)을 형성한다.
계속해서, 밀착층(32) 상에, 예컨대 CVD법에 의해, 예컨대 막 두께 1 ㎛의 텅스텐(W)막(34)을 형성한다.
계속해서, 예컨대 CMP법에 의해, 스토퍼막(28)의 표면이 노출될 때까지 텅스텐막(34) 및 밀착층(32)을 연마하고, 컨택트 홀(30) 내에 매립되며, 밀착층(32) 및 텅스텐막(34)으로 이루어진 컨택트 플러그(36)를 형성한다[도 2의 (c)].
계속해서, 컨택트 플러그(36)가 매립된 스토퍼막(28) 상에, 예컨대 플라즈마 CVD법에 의해, 예컨대 막 두께 30 ㎚의 SiC:O:H막을 퇴적시키고, SiC:O:H막으로 이루어진 절연막(38)을 형성한다. SiC:O:H막은, SiC막 내에 산소와 수소를 존재시켜 이루어지는 조밀성이 높은 막으로서, 수분 등의 확산을 방지하는 배리어층으로서 기능한다.
계속해서, 절연막(38) 상에, 예컨대 막 두께 160 ㎚의 다공질 실리카 재료로 이루어진 층간 절연막(40)을 형성한다.
층간 절연막(40)으로서는, 배선 지연을 저감시키는 관점에서, 저유전율의 실리콘 화합물계 절연 재료, 예컨대, CHx(x는 0~2의 정수를 나타냄), Si-O-Si 결합, Si-CH3 결합 및 Si-CHx 결합을 구조의 일부에 갖는 실리콘 폴리머를 적어도 포함하는 실리콘 화합물계 절연 재료를 적용하는 것이 바람직하다.
이러한 실리콘 화합물계 절연 재료로서는, 하기 일반식 1~3으로 표시되는 실리콘 화합물로부터 선택되는 1종 이상과, 하기 일반식 4~7로 표시되는 실리콘 화합물로부터 선택되는 1종 이상을, 가수분해 축중합 반응시켜 얻어지는 재료를 적용할 수 있다.
Figure pat00001
Figure pat00002
Figure pat00003
Figure pat00004
Figure pat00005
Figure pat00006
Figure pat00007
(단, n은 0 또는 1을 나타내고; R1은 서로 동일하여도 좋고 상이하여도 좋으며, n=0일 때, 염소, 브롬, 불소 및 수소 중 적어도 어느 하나를 나타내고, n=1일 때, 탄소수 1~4의 탄화수소, 방향족 탄화수소, 수소 및 카르복실기 중 어느 하나를 나타내며; R2는 탄소수 1~4의 탄화수소, 방향족 탄화수소 및 수소 중 어느 하나를 나타내고; R3은 서로 동일하여도 좋고 상이하여도 좋으며, 탄소수 1~3의 탄화수소 및 방향족 탄화수소 중 어느 하나를 나타낸다.)
일반식 1~7로 표시되는 실리콘 화합물은, 모두 알콕시실란이라 불리는 물질이다. 일반식 1~3의 재료는, Si-R-Si 결합을 가지며, 각각의 Si가 3개의 치환기를 갖고 있다. 한편, 일반식 4~7의 재료는, 1개의 Si가 4개의 치환기를 갖고 있다.
다공질 실리카로서는, 예컨대 유기 SOG에 열분해성 수지 등을 첨가하고, 가열에 의해 열분해시킴으로써 구멍을 형성하는 템플릿 타입과, 알칼리 내에서 실리카 입자를 형성하고, 입자간의 간극을 이용하여 구멍을 형성한 논템플릿 타입을 들 수 있다. 이 중, 미세한 구멍을 균일하게 형성할 수 있는 논템플릿 타입이 적합하다.
논템플릿 타입의 다공질 실리카 재료로서는, 쇼쿠바이카가꾸고교사에서 제조한 NCS 시리즈, JSR사에서 제조한 LKD 시리즈 등을 들 수 있다.
또한, 그 밖의 논템플릿 타입의 다공질 실리카 재료로서는, 예컨대, 테트라알킬암모늄 하이드로옥사이드(TAAOH)의 존재 하에서 가수분해하여 얻어지는 유기 규소 화합물을 함유하는 액상 조성물이 적합하다. 이 재료는 탄성률을 10 GPa 이상 또한 경도를 1 GPa 이상 갖고 있고, 저유전율과 고강도의 양립이 가능하다.
유기 규소 화합물로서는, 예컨대, 테트라알콕시실란, 트리알콕시실란, 메틸트리알콕시실란, 에틸트리알콕시실란, 프로필트리알콕시실란, 페닐트리알콕시실란, 비닐트리알콕시실란, 알릴트리알콕시실란, 글리시딜트리알콕시실란, 디알콕시실란, 디메틸디알콕시실란, 디에틸디알콕시실란, 디프로필디알콕시실란, 디페닐디알콕시실란, 디비닐디알콕시실란, 디알릴디알콕시실란, 디글리시딜디알콕시실란, 페닐메틸디알콕시실란, 페닐에틸디알콕시실란, 페닐프로필트리알콕시실란, 페닐비닐디알콕시실란, 페닐알릴디알콕시실란, 페닐글리시딜디알콕시실란, 메틸비닐디알콕시실란, 에틸비닐디알콕시실란, 프로필비닐디알콕시실란 등을 적용할 수 있다.
도포형 다공질 실리카막의 형성시에 이용하는 도포 용액으로서는, 다공질 피실리카 전구체의 실록산 수지를 용해시킬 수 있으면 특별히 한정되지 않고, 메틸알코올, 에틸알코올, 프로필알콜, 이소프로필알코올, 부틸알코올, 이소부틸알코올, tert-부틸알코올 등의 알코올계, 페놀, 크레졸, 디에틸페놀, 트리에틸페놀, 프로필페놀, 노닐페놀, 비닐페놀, 알릴페놀, 노닐페놀 등의 페놀계, 시클로헥사논, 메틸이소부틸케톤, 메틸에틸케톤 등의 케톤계, 메틸셀로솔브, 에틸셀로솔브 등의 셀로솔브계, 헥산, 옥탄, 데칸 등의 탄화수소계, 프로필렌글리콜, 프로필렌글리콜모노메틸에테르, 프로필렌글리콜모노메틸에테르아세테이트 등의 글리콜계 등을 적용할 수 있다.
또한, 도포형의 절연 재료를 이용한 절연막은, 예컨대, 상기 절연 재료를 하지(下地) 기판 상에 도포하는 공정과, 하지 기판을 80℃~350℃의 온도로 가열 처리하는 공정과, 하지 기판을 350℃~450℃의 온도로 경화시키는 공정에 의해 형성할 수 있다. 또한, 기판을 80℃~350℃의 온도로 가열 처리하는 공정 및 기판을 350℃~450℃의 온도로 경화시키는 공정은, 산소 농도가 100 ppm 이하인 불활성 가스 분위기 내에서 행하는 것이 바람직하다. 이것은, 절연막의 산화에 의한 내습성의 저하를 방지하기 위함이다.
계속해서, 층간 절연막(40) 상에, 예컨대 플라즈마 CVD법에 의해, 예컨대 막 두께 30 ㎚의 실리콘 산화막(SiO2)을 퇴적시키고, 실리콘 산화막으로 이루어진 절연막(42)을 형성한다[도 3의 (a)].
계속해서, 포토리소그래피에 의해, 층간 절연막(40) 상에, 배선 폭 100 ㎚, 스페이스 100 ㎚의 첫 번째 층의 배선(52)의 형성 예정 영역을 노출시키는 개구부(46)가 형성된 포토레지스트막(44)을 형성한다.
계속해서, 예컨대 CF4 가스 및 CHF3 가스를 이용한 건식 에칭에 의해 포토레지스트막(44)을 마스크로서 및 스토퍼막(28)을 스토퍼로서, 개구부(46) 내의 절연막(42), 층간 절연막(40) 및 절연막(38)을 순차 에칭하고, 절연막(42), 층간 절연막(40) 및 절연막(38)에, 배선(52)을 매립하기 위한 배선홈(48)을 형성한다[도 3(b)]. 이 에칭에 의해, 배선홈(48)의 바닥부에는 컨택트 플러그(36)의 상면이 노출된다.
계속해서, 예컨대 산소 플라즈마를 이용한 애싱에 의해 포토레지스트막(44)을 제거한다.
계속해서, 탄화수소계의 가스를 함유하는 분위기 내에서 50℃~250℃의 온도로 가열한 상태에서 활성 에너지선을 조사한다. 이 처리에 의해, 실리콘 화합물계 절연 재료로 이루어진 스토퍼막(28), 절연막(38), 층간 절연막(40) 및 절연막(42)의 노출면 상에 있어서, 활성 에너지선에 의해 분해되어 라디칼이 된 탄화수소계 재료와 실리콘 화합물계 절연 재료와의 반응(라디칼 중합)이 촉진되어 결정성 SiC막이 형성된다. 이렇게 해서, 스토퍼막(28), 절연막(38), 층간 절연막(40) 및 절연막(42)의 노출면 상에 결정성 SiC막으로 이루어진 배리어층(50)을 형성한다[도 4의 (a)].
또한, 본 발명에서는, 탄화수소계 재료와 실리콘 화합물계 절연 재료를 반응시켜 배리어층(50)을 형성하기 위해서, 층간 절연막[스토퍼막(28), 절연막(38), 층간 절연막(40) 및 절연막(42)]은, 실리콘 화합물계 절연 재료에 의해 형성할 필요가 있다. 실리콘 화합물계 절연 재료로서는, 특별히 한정되지 않지만, 전술한 실리콘 폴리머 재료, SiO2, PSG, BPSG막 등의 실리콘 산화물계 절연 재료, SiN, SiON, SiC, SiOC, SiC:H, SiC:O:H, SiC:N 등을 들 수 있다.
또한, 실리콘 화합물계 절연 재료로서, 전술한, CHx(x는 0~2의 정수를 나타냄), Si-O-Si 결합, Si-CH3 결합 및 Si-CHx 결합을 구조의 일부에 갖는 실리콘 폴리머를 적어도 함유하는 실리콘 화합물계 절연 재료를 적용하는 경우, 활성 에너지선의 조사에 의해, 이 실리콘 화합물계 절연 재료로부터도 탄화수소계 재료의 라디칼이 생성되기 때문에, 탄화수소계 재료와 실리콘 화합물계 절연 재료와의 반응이 더욱 촉진된다.
배리어층(50)을 형성할 때에 이용하는 탄화수소계 가스로서는, 탄화수소계 재료라면 특별히 한정되지 않지만, 메탄, 에탄, 프로판, 부탄, 에틸렌, 프로필렌, 부틸렌, 아세틸렌 등 중에서, 단독으로 또는 복수개를 조합하여 사용할 수 있다. Si-C-Si의 결합을 조밀하게 형성하여 치밀한 배리어층(50)을 형성한다고 하는 관점에서는, 분자량이 너무 크지 않은 것이 바람직하고, 메탄이나 에탄이 적합하다.
또한, 활성 에너지선으로서는, 전자선, 자외선, 플라즈마 중에서, 단독으로 또는 복수개를 조합하여 사용할 수 있다. 활성 에너지선은, 탄화수소계 가스를 활성화하기 위한 것으로서, 탄화수소계 가스의 흡수대에 따라 적절하게 선택한다. 탄화수소계 가스로서 예컨대 흡수대가 250 ㎚ 이하인 메탄을 이용하는 경우에는, 활성 에너지선으로서는, 전자선, UV 고압 수은 램프, 엑시머 UV 램프, 수소 플라즈마에 의한 발광 등을 적용할 수 있다.
배리어층(50)의 막 두께는, 1 ㎚~20 ㎚, 바람직하게는 2 ㎚~20 ㎚인 것이 바람직하다. 1 ㎚보다도 얇으면 배리어층(50)에 의한 배리어성을 충분히 얻을 수 없고, 한편, 20 ㎚를 초과하는 막 두께를 형성하면 배선홈(48)의 용적 감소에 따른 배선 저항의 증가가 현저해지기 때문이다.
형성하는 SiC막은, 특별히 한정되지 않지만, 치밀한 결정 구조를 가지며, 확산 방지 효과나 내산화성이 매우 높다고 하는 관점에서, 결정성 α-SiC나 β-SiC, 특히 β-SiC가 바람직하다. β-SiC는 3C-SiC라고도 불리며, 다이아몬드 구조의 치환형인 섬아연광형의 결정 구조를 갖고 있다. Si와 C의 공유 결합은 매우 강고하여 안정적이며, 내열성, 고강도, 고경도, 내식성 등의 우수한 특성을 갖고 있다. β-SiC는 금속에 대한 배리어성(확산 방지)이나 내산화성이 우수하여 배리어층 재료로서 적합하다.
탄화수소계 가스로서 메탄을 이용한 경우, 예컨대, 기판 온도를 250℃, 처리실 압력을 10 Pa, 전자선의 가속 전압을 2.5 keV로 하여 30분의 처리를 행함으로써, 막 두께 10 ㎚의 β-SiC막을 형성할 수 있다. β-SiC막의 막 두께는, 처리 시간 등에 의해 제어할 수 있다.
통상, β-SiC막은 600℃를 초과하는 고온에서 형성되는 것으로서, 400℃ 정도 이하의 온도에서의 처리가 필요한 반도체 장치의 백앤드 프로세스에는 적용할 수 없었다. 그러나, 탄화수소계 가스 분위기 내에서 활성 에너지선을 조사하는 본 발명의 방법에서는, 활성 에너지선에 의해 라디칼 중합을 촉진하여 결정화하기 위해서, 50℃~250℃ 정도의 저온에 의해 β-SiC막을 형성할 수 있다. 따라서, 본 발명의 방법에 의해 β-SiC으로 이루어진 배리어층(50)을 백앤드 프로세스에 적용할 수 있게 된다.
계속해서, 전체면에, 예컨대 스퍼터법에 의해, 예컨대 막 두께 10 ㎚의 Cu막을 퇴적시키고, Cu막으로 이루어진 시드막(도시하지 않음)을 형성한다.
계속해서, 예컨대 전기 도금법에 의해 시드막을 시드로 하여 Cu막을 퇴적시키고, 시드층과 합한 총 막 두께가 예컨대 600 ㎚인 Cu막을 형성한다.
계속해서, CMP법에 의해, 절연막(42) 상의 Cu막 및 배리어층(50)을 연마에 의해 제거하고, 배선홈(48) 내에 매립된 Cu막으로 이루어진 배선(52)을 형성한다[도 4(b)]. 이러한 배선(52)의 제조 프로세스는, 싱글 다마신법이라고 지칭된다. 또한, 본 실시형태에서는 절연막(42) 상의 배리어층(50)을 Cu막과 함께 제거하고 있지만, 배리어층(50)은 절연막이기 때문에, 절연막(42) 상에 잔존하도록 하여도 좋다.
계속해서, 전체면에, 예컨대 CVD법에 의해, 예컨대 막 두께 30 ㎚의 SiC:O:H막을 퇴적시키고, SiC:O:H막으로 이루어진 절연막(54)을 형성한다. 절연막(54)은, 수분의 확산 및 Cu 배선으로부터의 Cu의 확산을 방지하는 배리어층으로서 기능하는 것이다.
계속해서, 절연막(54) 상에 다공질 실리카 재료로 이루어진 층간 절연막(56)을 형성한다. 다공질 실리카 재료로 이루어진 층간 절연막(56)의 형성 방법에는, 예컨대 전술한 층간 절연막(40)과 동일한 방법을 적용할 수 있다. 층간 절연막(56)의 막 두께는, 예컨대 180 ㎚로 한다.
계속해서, 층간 절연막(56) 상에, 예컨대 플라즈마 CVD법에 의해, 예컨대 막 두께 30 ㎚의 SiO2(산화실리콘)막을 퇴적시키고, SiO2막으로 이루어진 절연막(58)을 형성한다.
계속해서, 절연막(58) 상에 다공질 실리카 재료로 이루어진 층간 절연막(60)을 형성한다. 다공질 실리카 재료로 이루어진 층간 절연막(60)의 형성 방법에는, 예컨대 전술한 층간 절연막(40)과 동일한 방법을 적용할 수 있다. 층간 절연막(60)의 막 두께는, 예컨대 160 ㎚로 한다.
계속해서, 층간 절연막(60) 상에, 예컨대 플라즈마 CVD법에 의해, 예컨대 막 두께 30 ㎚의 SiO2(산화실리콘)막을 퇴적시키고, SiO2막으로 이루어진 절연막(62)을 형성한다(도 5).
계속해서, 포토리소그래피에 의해 절연막(62) 상에, 배선(52)에 이르는 비아홀(68)의 형성 예정 영역을 노출시키는 개구부(66)가 형성된 포토레지스트막(64)을 형성한다.
계속해서, 예컨대 CF4 가스 및 CHF3 가스를 이용한 건식 에칭에 의해 포토레지스트막(64)을 마스크로 하여 개구부(66) 내의 절연막(62), 층간 절연막(60), 절연막(58), 층간 절연막(56) 및 절연막(54)을 순차적으로 에칭하고, 절연막(62), 층간 절연막(60), 절연막(58), 층간 절연막(56) 및 절연막(54)에, 배선(52)에 이르는 비아홀(68)을 형성한다(도 6). 또한, 각 절연막은, 에칭 가스의 조성비나 에칭시의 압력 등을 적절하게 변화시킴으로써, 순차적으로 에칭할 수 있다.
계속해서, 예컨대 애싱에 의해 포토레지스트막(64)을 제거한다. 또한, 비아홀(68)을 형성할 때의 건식 에칭에 있어서, 비아홀(68)의 내벽에 측벽 퇴적물이 형성되어 있는 경우에는, 이 애싱 공정에서 동시에 제거할 수 있다.
계속해서, 포토리소그래피에 의해 비아홀(68)이 개구된 절연막(62) 상에 두 번째 층의 배선(80)의 형성 예정 영역을 노출시키는 개구부(72)가 형성된 포토레지스트막(70)을 형성한다.
계속해서, 예컨대 CF4 가스 및 CHF3 가스를 이용한 건식 에칭에 의해, 포토레지스트막(70)을 마스크로 하여 개구부(72) 내의 절연막(62), 층간 절연막(60) 및 절연막(58)을 순차적으로 에칭하고, 절연막(62), 층간 절연막(60) 및 절연막(58)에, 배선(80)을 매립하기 위한 배선홈(74)을 형성한다(도 7). 배선홈(74)은 비아홀(68)과 이어진 상태가 된다.
계속해서, 예컨대 애싱에 의해, 포토레지스트막(70)을 제거한다. 또한, 배선홈(74)을 형성할 때의 건식 에칭에 있어서, 배선홈(74)의 내벽에 측벽 퇴적물이 형성되어 있는 경우에는, 이 애싱 공정에서 동시에 제거할 수 있다.
계속해서, 배리어층(50)의 형성과 마찬가지로 하여 탄화수소계의 가스를 함유하는 분위기 내에서 50℃~250℃의 온도로 가열한 상태에서 활성 에너지선을 조사하고, 실리콘 화합물계 절연 재료로 이루어진 절연막(54), 층간 절연막(56), 절연막(58), 층간 절연막(60) 및 절연막(62)의 노출면 상에 SiC막으로 이루어진 배리어층(76)을 형성한다(도 8). 배리어층(76)의 형성 조건은, 전술한 배리어층(50)의 형성 조건과 동일하다.
또한, 본 발명에서는, 탄화수소계 재료와 실리콘 화합물계 절연 재료를 반응시켜 배리어층(76)을 형성하기 위해서, 층간 절연막[절연막(54), 층간 절연막(56), 절연막(58), 층간 절연막(60) 및 절연막(62)]은, 실리콘 화합물계 절연 재료에 의해 형성할 필요가 있다. 실리콘 화합물계 절연 재료로서는, 특별히 한정되지 않지만, 전술한 실리콘 폴리머 재료, SiO2, PSG, BPSG 등의 실리콘 산화물계 절연 재료, SiN, SiON, SiC, SiOC, SiC:H, SiC:O:H, SiC:N 등을 들 수 있다.
형성하는 SiC막은, 특별히 한정되지 않지만, 치밀한 결정 구조를 가지며 확산 방지 효과나 내산화성이 매우 높다고 하는 관점에서, 결정성 α-SiC나 β-SiC, 특히 β-SiC가 바람직하다. β-SiC는 금속에 대한 배리어성(확산 방지)이나 내산화성이 우수하여 배리어층 재료로서 적합하다.
본 공정에서는, 이미 하층에 Cu막으로 이루어진 배선층(52)이 형성되어 있기 때문에, 400℃를 초과하는 고온의 열처리를 행할 수는 없다. 그러나, 탄화수소계 가스 분위기 내에서 활성 에너지선을 조사하는 본 발명의 방법에서는, 50℃~250℃ 정도의 저온에 의해 β-SiC막을 형성할 수 있다. 따라서, 본 발명의 방법에 의해, β-SiC막으로 이루어진 배리어층(76)을 백앤드 프로세스에 적용할 수 있게 된다.
계속해서, 전체면에, 예컨대 스퍼터법에 의해, 예컨대 막 두께 10 ㎚의 Cu막을 퇴적시키고, Cu막으로 이루어진 시드막(도시하지 않음)을 형성한다.
계속해서, 예컨대 전기 도금법에 의해 시드막을 시드로 하여 Cu막을 퇴적시키고, 시드층과 합한 총 막 두께가 예컨대 1400 ㎚인 Cu막을 형성한다.
계속해서, CMP법에 의해, 절연막(62) 상의 Cu막 및 배리어층(76)을 연마에 의해 제거하고, 비아홀(68) 내에 매립된 Cu막으로 이루어진 컨택트 플러그(78)와, 배선홈(74) 내에 매립된 Cu막으로 이루어진 배선(80)을 일체 또한 일괄하여 형성한다. 이와 같이 컨택트 플러그(78)와 배선(80)을 일괄하여 형성하는 제조 프로세스는, 듀얼 다마신법이라고 지칭된다. 또한, 본 실시형태에서는 절연막(62) 상의 배리어층(76)을 Cu막과 함께 제거하고 있지만, 배리어층(76)은 절연막이기 때문에, 절연막(22) 상에 잔존하도록 하여도 좋다.
계속해서, 전체면에, 예컨대 CVD법에 의해, 예컨대 막 두께 30 ㎚의 SiC:O:H 막을 퇴적시키고, SiC:O:H막으로 이루어진 절연막(82)을 형성한다(도 9). 절연막(82)은, 수분의 확산 및 Cu 배선으로부터의 Cu의 확산을 방지하는 배리어층으로서 기능하는 것이다.
이 후, 필요에 따라 상기와 같은 공정을 적절하게 반복하여 도시하지 않는 세 번째 층의 배선 등을 형성하고, 본 실시형태에 따른 반도체 장치를 완성한다.
본 발명의 효과를 검증하기 위해서, 전술한 제조 프로세스를 기본으로 하여 이하에 나타내는 6종류의 평가 시료를 작성하고, 특성 평가를 행하였다.
[실시예 1]
전술한 제조 프로세스를 이용하여 배리어층(50, 76)으로서 막 두께 5 ㎚의 β-SiC를 적용한 반도체 장치를 제조하였다.
[실시예 2]
전술한 제조 프로세스를 이용하여 배리어층(50, 76)으로서 막 두께 10 ㎚의 β-SiC를 적용한 반도체 장치를 제조하였다.
[실시예 3]
전술한 제조 프로세스를 이용하여 배리어층(50, 76)으로서 막 두께 20 ㎚의 β-SiC를 적용한 반도체 장치를 제조하였다.
[비교예 1]
배리어층(50, 76) 대신에 막 두께 20 ㎚의 Ti로 이루어진 배리어 메탈을 적용한 것 이외에는, 전술한 제조 프로세스와 동일하게 하여 반도체 장치를 제조하였다.
[비교예 2]
배리어층(50, 76) 대신에 막 두께 20 ㎚의 Ta로 이루어진 배리어 메탈을 적용한 것 이외에는, 전술한 제조 프로세스와 동일하게 하여 반도체 장치를 제조하였다.
[비교예 3]
배리어층(50, 76) 대신에 막 두께 20 ㎚의 Ti/Ta의 적층막으로 이루어진 배리어 메탈을 적용한 것 이외에는, 전술한 제조 프로세스와 동일하게 하여 반도체 장치를 제조하였다.
이와 같이 하여 형성한 각 시료에 대해서, 배선 수율과, 고온 방치 시험 후의 배선 저항을 평가하였다. 배선 수율의 평가는, 100만개의 컨택트가 전기적으로 직렬 접속되도록 배선 및 컨택트 플러그를 형성한 평가 소자를 이용하여 행하였다. 고온 방치 시험 후의 배선 저항의 평가는, 200℃의 온도에서 168시간 및 504시간 방치한 후의 배선 저항의 상승률을 측정하였다. 표 1에 각 시료의 특성 평가를 행한 결과를 통합한다.
[표 1]
Figure pat00008
표 1에 나타낸 바와 같이, 배리어층(50, 76)을 β-SiC에 의해 형성한 실시예 1~3의 시료에서는 배선 수율이 96.1%~100%인 데 반하여, 배리어층(50, 76)을 금속 재료에 의해 형성한 비교예 1~3의 시료에서는 배선 수율이 51.1%~57.6%로서, 큰 유의차(有意差)를 나타내었다.
또한, 200℃ 고온 방치 시험 후의 배선 저항에 대해서는, 배리어층(50, 76)을 β-SiC에 의해 형성한 실시예 1~3의 시료에서의 상승률은, 배리어층(50, 76)을 금속 재료에 의해 형성한 비교예 1~3의 시료에서의 상승률보다도 크게 작았다. 또한, 168시간 방치 후와 504시간 방치 후에 있어서의 배선 저항의 상승률의 변화도, 배리어층(50, 76)을 β-SiC에 의해 형성한 실시예 1~3의 시료에서는, 배리어층(50, 76)을 금속 재료에 의해 형성한 비교예 1~3의 시료에 비하여 크게 작았다.
이들의 결과로부터, β-SiC가 배리어층 재료로서 우수한 특성을 갖고 있는 것이 확인되었다.
이와 같이, 본 실시형태에 따르면, 배선 구조체로부터 구리가 확산되는 것을 방지하기 위한 배리어층을 결정성 SiC에 의해 구성하기 때문에, 구리의 확산 배리어성을 확보하고, 배리어층의 내산화성도 높일 수 있다. 이에 따라, 배선 구조체의 신뢰성을 높일 수 있어 고성능의 반도체 장치를 제조할 수 있다.
또한, 결정성 SiC로 이루어진 배리어층은, 탄화수소계 가스 분위기 내에서 활성 에너지선을 조사함으로써 형성되기 때문에, 그 때의 가열 온도를 50℃~250℃ 정도까지 저온화시킬 수 있다. 따라서, 400℃ 이상의 열처리가 곤란한 반도체 장치의 백앤드 프로세스에도 적용할 수 있다.
[변형 실시형태]
본 발명은 상기 실시형태에 한정되지 않고 여러 가지 변형이 가능하다.
본 발명은, 상기 실시형태에 기재된 반도체 장치의 구조 및 그 제조 방법에 한정되지 않고, 실리콘 화합물계 절연 재료로 이루어진 절연막과, 이것에 매립 형성된 구리 배선을 갖는 반도체 장치 및 그 제조 방법에 널리 적용할 수 있다. 반도체 장치를 구성하는 각 층의 막 두께나 구성 재료 등에 대해서도, 그 범위 내에서 적절하게 변경할 수 있다.
또한, 본 발명은, 반도체 장치뿐만 아니라, 실리콘 화합물계 절연 재료로 이루어진 절연막과, 이것에 매립 형성된 구리 배선을 갖는 배선 기판(예컨대, 회로 기판)에도 적용할 수 있다.
또한, 본 명세서에 있어서, 기판이란, 실리콘 기판 등의 반도체 기판 그 자체뿐만 아니라, MIS 트랜지스터 등의 소자나 1층 또는 복수층의 배선이 형성된 반도체 기판도 포함하는 것이다. 또한, 배선 구조체란, 상기 실시형태에 기재된 컨택트 플러그나 배선뿐만 아니라, 이들과 동시에 형성되는 도체 패턴, 예컨대 CMP용 더미 패턴이나 내습(耐濕)링 등도 포함하는 것이다.
10 : 실리콘 기판(반도체 기판) 12 : 소자 분리막
14 : 소자 영역 16 : 게이트 절연막
18 : 게이트 전극 20, 22 : 소스/드레인 영역
24 : MIS 트랜지스터 26, 40, 56, 60 : 층간 절연막
28 : 스토퍼막 30 : 컨택트 홀
32 : 밀착층 34 : 텅스텐막
36, 78 : 컨택트 플러그
38, 42, 54, 58, 62, 82 : 절연막
44, 64, 70 : 포토레지스트막 46, 66, 72 : 개구부
48, 74 : 배선홈 50, 76 : 배리어층
52, 80 : 배선 68 : 비아홀

Claims (6)

  1. 기판 상에, 실리콘 화합물계 절연 재료로 이루어진 절연막을 형성하는 공정과,
    상기 절연막에, 개구부를 형성하는 공정과,
    상기 개구부를 형성한 상기 절연막에, 탄화수소계 가스를 함유하는 분위기 내에서 활성 에너지선을 조사함으로써, 적어도 상기 개구부의 내면에, 결정성 SiC로 이루어진 배리어층을 형성하는 공정과,
    상기 배리어층이 형성된 상기 절연막의 상기 개구부 내에, 구리로 이루어진 배선 구조체를 형성하는 공정을 포함하고,
    상기 절연막을 형성하는 공정에서는, CHx(x는 0~2의 정수를 나타냄), Si-O-Si 결합, Si-CH3 결합 및 Si-CHx 결합(x는 0~2의 정수를 나타냄)을 구조의 일부에 포함하는 실리콘 폴리머로 이루어진 막을 갖는 상기 절연막을 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 배리어층을 형성하는 공정에서는, β-SiC로 이루어진 상기 배리어층을 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 배리어층을 형성하는 공정에서는, 상기 기판을 50℃~250℃의 온도로 가열한 상태에서 상기 활성 에너지선을 조사하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 실리콘 폴리머로 이루어진 막은, 다공질막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 활성 에너지선은, 전자선, 자외선 또는 플라즈마로부터 방출되는 방사선인 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 탄화수소계 가스는, 메탄, 에탄, 프로판, 부탄, 에틸렌, 프로필렌, 부틸렌 및 아세틸렌을 함유하는 군으로부터 선택되는 1종류 이상의 기체를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
KR1020127033290A 2008-06-17 2008-06-17 반도체 장치의 제조 방법 KR101443999B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2008/061023 WO2009153857A1 (ja) 2008-06-17 2008-06-17 半導体装置及びその製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107025083A Division KR20100134733A (ko) 2008-06-17 2008-06-17 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20130014608A true KR20130014608A (ko) 2013-02-07
KR101443999B1 KR101443999B1 (ko) 2014-09-23

Family

ID=41433787

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107025083A KR20100134733A (ko) 2008-06-17 2008-06-17 반도체 장치 및 그 제조 방법
KR1020127033290A KR101443999B1 (ko) 2008-06-17 2008-06-17 반도체 장치의 제조 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107025083A KR20100134733A (ko) 2008-06-17 2008-06-17 반도체 장치 및 그 제조 방법

Country Status (5)

Country Link
US (1) US8461041B2 (ko)
JP (1) JPWO2009153857A1 (ko)
KR (2) KR20100134733A (ko)
CN (1) CN102047411B (ko)
WO (1) WO2009153857A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6269467B2 (ja) * 2013-12-27 2018-01-31 富士フイルム株式会社 カラーフィルターの製造方法および固体撮像素子の製造方法
KR20230033128A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 반도체 소자
US20230077760A1 (en) * 2021-09-14 2023-03-16 International Business Machines Corporation Top via interconnects without barrier metal between via and above line

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09252095A (ja) 1996-03-18 1997-09-22 Toshiba Corp 薄膜キャパシタ及び半導体装置
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
JPH11121748A (ja) * 1997-08-13 1999-04-30 Matsushita Electric Ind Co Ltd 半導体基板および半導体素子
US20030089992A1 (en) * 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
JP4763131B2 (ja) * 1998-10-01 2011-08-31 アプライド マテリアルズ インコーポレイテッド 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
JP2002064140A (ja) * 2000-08-22 2002-02-28 Nec Corp 半導体装置およびその製造方法
JP2002289810A (ja) * 2001-03-28 2002-10-04 Toshiba Corp 半導体装置およびその製造方法
JP2004535065A (ja) 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
KR100448592B1 (ko) 2001-12-29 2004-09-13 주식회사 하이닉스반도체 반도체 소자의 구리배선 형성 방법
US7056826B2 (en) * 2003-01-07 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming copper interconnects
JP2005217371A (ja) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7015150B2 (en) * 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
JP4540504B2 (ja) * 2005-03-03 2010-09-08 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4897244B2 (ja) * 2005-06-14 2012-03-14 昭和電工株式会社 炭化珪素層製造方法、窒化ガリウム系半導体素子およびシリコン基板
EP1891663A4 (en) 2005-06-14 2011-10-12 Showa Denko Kk PROCESS FOR PRODUCING SILICON CARBIDE LAYER, GALLIUM NITRIDE SEMICONDUCTOR DEVICE, AND SILICON SUBSTRATE
JP2007281114A (ja) * 2006-04-05 2007-10-25 Sony Corp 半導体装置の製造方法および半導体装置

Also Published As

Publication number Publication date
KR20100134733A (ko) 2010-12-23
WO2009153857A1 (ja) 2009-12-23
US8461041B2 (en) 2013-06-11
CN102047411B (zh) 2015-08-05
CN102047411A (zh) 2011-05-04
KR101443999B1 (ko) 2014-09-23
US20110068471A1 (en) 2011-03-24
JPWO2009153857A1 (ja) 2011-11-24

Similar Documents

Publication Publication Date Title
KR100875692B1 (ko) 절연막, 다층 배선 장치의 제조 방법 및 다층 배선 장치
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP4689026B2 (ja) 極限低誘電率膜のためのキャッピング層
JP4328725B2 (ja) 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法
JP5326202B2 (ja) 半導体装置及びその製造方法
JP5567588B2 (ja) 酸素含有前駆体を用いる誘電体バリアの堆積
KR100974042B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
JP5006428B2 (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
JP2004172590A (ja) シリコンオキシカーバイド、シリコンオキシカーバイド層の成長方法、半導体装置、および半導体装置の製造方法
JP2007273494A (ja) 絶縁膜形成用組成物及び半導体装置の製造方法
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
KR20130014608A (ko) 반도체 장치 및 그 제조 방법
KR101013483B1 (ko) 반도체 장치의 제조 방법
JP4408816B2 (ja) 半導体装置の製造方法
KR101053960B1 (ko) 실리콘 화합물, 자외선 흡수체, 다층 배선 장치의 제조방법 및 다층 배선 장치
JP5565314B2 (ja) 半導体装置の製造方法及びその製造装置
KR20070072868A (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170818

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 5