KR20120058595A - Method for etching silicon-containing film - Google Patents

Method for etching silicon-containing film Download PDF

Info

Publication number
KR20120058595A
KR20120058595A KR1020127008237A KR20127008237A KR20120058595A KR 20120058595 A KR20120058595 A KR 20120058595A KR 1020127008237 A KR1020127008237 A KR 1020127008237A KR 20127008237 A KR20127008237 A KR 20127008237A KR 20120058595 A KR20120058595 A KR 20120058595A
Authority
KR
South Korea
Prior art keywords
etching
silicon
film
raw material
gas
Prior art date
Application number
KR1020127008237A
Other languages
Korean (ko)
Other versions
KR101200139B1 (en
Inventor
?스께 구누기
사또시 마유미
다까시 사또
Original Assignee
세키스이가가쿠 고교가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세키스이가가쿠 고교가부시키가이샤 filed Critical 세키스이가가쿠 고교가부시키가이샤
Publication of KR20120058595A publication Critical patent/KR20120058595A/en
Application granted granted Critical
Publication of KR101200139B1 publication Critical patent/KR101200139B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

유기막의 들뜸이나 박리를 방지하면서 실리콘 함유막을 에칭한다. 수소 원자를 실질적으로 함유하지 않는 에칭 원료 가스를 대기압 근방의 플라즈마 공간(23)에 도입해서 에칭 가스를 생성한다. 실리콘 함유막(92) 및 유기막(93)을 포함하는 피처리물(90)에 에칭 가스를 접촉시킨다. 실리콘 함유막(92)은 산화질소(NOx)로 산화 가능하다. 에칭 원료 가스는 수소 원자를 함유하지 않는 불소계 원료를 7 내지 80 부피%, 질소(N2)를 7 내지 80 부피%, 산소(O2)를 5 내지 60 부피% 함유한다.The silicon-containing film is etched while preventing the organic film from lifting or peeling off. An etching source gas containing substantially no hydrogen atoms is introduced into the plasma space 23 near atmospheric pressure to generate an etching gas. The etching gas is brought into contact with the workpiece 90 including the silicon-containing film 92 and the organic film 93. The silicon containing film 92 is oxidizable with nitrogen oxides (NOx). And etching source gas containing a fluorine-based material that does not contain hydrogen atoms from 7 to 80 vol.%, 7 to 80% by volume of nitrogen (N 2), oxygen (O 2) 5 to 60% by volume.

Description

실리콘 함유막의 에칭 방법{METHOD FOR ETCHING SILICON-CONTAINING FILM}Etching method of a silicon containing film {METHOD FOR ETCHING SILICON-CONTAINING FILM}

본 발명은 불소계 성분을 포함하는 가스를 플라즈마화해서 얻은 에칭 가스를 사용하여 질화 실리콘 등의 실리콘 함유막을 에칭하는 방법에 관한 것이다.The present invention relates to a method of etching a silicon-containing film such as silicon nitride using an etching gas obtained by plasmalizing a gas containing a fluorine-based component.

대기압 플라즈마에 의한 실리콘 함유막 에칭에서는 CF4 등의 불소계 원료에 물(H2O)을 첨가함으로써 HF를 생성하고 HF에 의해 에칭을 행하는 방법이 알려져 있다(하기 특허문헌 1 내지 3 참조). In silicon-containing film etching by atmospheric pressure plasma, a method of generating HF by adding water (H 2 O) to fluorine-based raw materials such as CF 4 and etching with HF is known (see Patent Documents 1 to 3 below).

예를 들어, 특허문헌 1에서는 비정질 실리콘이나 결정 실리콘 등의 실리콘막을 오존으로 산화해서 산화 실리콘으로 하고(식 1), CF4 등의 불소계 원료에 물을 첨가해서 대기압 근방의 플라즈마 공간에 통하게 함으로써, HF를 생성하고(식 2), 이 HF나 그 수용액에 의해 산화 실리콘을 에칭하고 있다(식 3). 상기 플라즈마 공간에서는 HF 외에 COF2 등이 생성된다. COF2는 물과 반응시켜서 HF로 하고(식 4), 산화 실리콘의 에칭에 제공된다(식 3). For example, in Patent Literature 1, a silicon film such as amorphous silicon or crystalline silicon is oxidized with ozone to be silicon oxide (Equation 1), and water is added to a fluorine-based raw material such as CF 4 to allow it to pass through a plasma space near atmospheric pressure. HF is produced (formula 2), and silicon oxide is etched by this HF or its aqueous solution (formula 3). In the plasma space, COF 2 or the like is generated in addition to HF. COF 2 is reacted with water to form HF (Equation 4) and is provided for etching of silicon oxide (Equation 3).

Figure pct00001
Figure pct00001

Figure pct00002
Figure pct00002

Figure pct00003
Figure pct00003

Figure pct00004
Figure pct00004

일본 특허 공개 제2007-294642호 공보Japanese Patent Laid-Open No. 2007-294642 일본 특허 공개 제2000-58508호 공보Japanese Patent Laid-Open No. 2000-58508 일본 특허 공개 제2002-270575호 공보Japanese Patent Laid-Open No. 2002-270575

HF 가스 또는 HF 수용액은 많은 유기 화합물에 침투, 투과하는 성질을 갖고 있다. 그로 인해, 피처리 기재 상에 에칭 대상의 실리콘 함유막에 첨가하여, 예를 들어 패턴 형성용 포토레지스트 등의 유기막이 설치되어 있는 경우, CF4 등의 불소계 원료에 물을 첨가해서 플라즈마화시킨 에칭 가스로 에칭을 행하면, 유기막 중을 HF가 침투, 투과한다. 그로 인해, 유기막의 계면 밀착력이 저하하고, 경우에 따라서는 유기막이 들뜨거나 박리되거나 하는 경우가 있다. 특히, 에칭 가스 중의 물이 피처리물의 표면에 흡착하면, 이 물에 HF가 용해해서 침투, 투과가 촉진되어 유기막의 들뜸이나 박리가 현저해진다. 한편, 물의 첨가량을 저감시키거나 HF의 생성량을 억제하거나 하면 에칭 레이트가 감소되어 실용적이지 않다.HF gas or HF aqueous solution has the property to permeate and permeate many organic compounds. Therefore, etching is performed by adding water to a fluorine-based raw material such as CF 4 by plasma addition to a silicon-containing film to be etched on the substrate to be treated, for example, when an organic film such as a patterning photoresist is provided. When etching is performed with gas, HF penetrates and penetrates the organic film. Therefore, the interfacial adhesion of an organic film falls, and in some cases, an organic film may float or peel. In particular, when water in an etching gas adsorb | sucks on the surface of a to-be-processed object, HF melt | dissolves in this water, and permeation | transmission and permeation | stimulation are accelerated | stimulated, and the lifting and peeling of an organic film become remarkable. On the other hand, reducing the amount of water added or suppressing the amount of HF produced decreases the etching rate and is not practical.

산화 실리콘, 질화 실리콘, 실리콘(비정질 실리콘, 단결정 실리콘, 폴리실리콘) 등의 실리콘 함유물은 HF 뿐만 아니라 이불화카르보닐(COF2), 불화산소(OF2, O2F2) 등의 산소 함유 불소계 활성종에 의해서도 에칭 반응을 일으킨다. 그 반응 속도는, 통상 산화 실리콘의 쪽이 다른 실리콘 함유물보다 크다. 또한, 산화 실리콘 이외의 질화 실리콘이나 실리콘의 실리콘 함유물은 산화질소에 의해 산화될 수 있다.Silicon-containing materials such as silicon oxide, silicon nitride, and silicon (amorphous silicon, single crystal silicon, polysilicon) may contain not only HF but also oxygen such as carbonyl difluoride (COF 2 ) and oxygen fluoride (OF 2 , O 2 F 2 ). Etching reaction also occurs with fluorine-based active species. The reaction rate is usually greater in silicon oxide than in other silicon-containing materials. In addition, silicon nitride other than silicon oxide or the silicon-containing content of silicon can be oxidized by nitrogen oxide.

본 발명은 상기의 지견에 기초해서 이루어진 것이며, 산화질소(NOx)로 산화 가능한 실리콘 함유막 및 유기막을 포함하는 피처리물에 있어서의 상기 실리콘 함유막을 에칭하는 에칭 방법에 있어서,The present invention has been made on the basis of the above findings, and in the etching method of etching the silicon-containing film in a workpiece including a silicon-containing film and an organic film that can be oxidized with nitrogen oxides (NOx),

수소 원자를 실질적으로 함유하지 않는 에칭 원료 가스를 대기압 근방의 플라즈마 공간에 도입해서 에칭 가스를 생성하는 생성 공정과, A production step of introducing an etching source gas substantially free of hydrogen atoms into a plasma space near atmospheric pressure to generate an etching gas;

상기 에칭 가스를 상기 피처리물에 접촉시키는 에칭 반응 공정을 구비하고,An etching reaction step of bringing the etching gas into contact with the workpiece,

상기 에칭 원료 가스가 수소 원자를 함유하지 않는 불소계 원료를 7 내지 80 부피%, 질소(N2)를 7 내지 80 부피%, 산소(O2)를 5 내지 60 부피% 함유하는 것을 특징으로 한다.The etching raw material gas contains 7 to 80% by volume of a fluorine-based raw material containing no hydrogen atom, 7 to 80% by volume of nitrogen (N 2 ), and 5 to 60% by volume of oxygen (O 2 ).

상기 생성 공정에서는, 상기 조성의 에칭 원료 가스를 플라즈마화(분해, 여기, 활성화, 이온화를 포함함)함으로써, 산소 함유 불소계 활성종과 산화질소(NOx)를 포함하고, 또한 HF를 거의 또는 전혀 포함하지 않은 에칭 가스를 생성할 수 있다.In the production step, the etching source gas of the composition is plasmatized (including decomposition, excitation, activation, and ionization) to include oxygen-containing fluorine-based active species and nitrogen oxides (NOx), and almost or no HF. Unetched gas may be produced.

상기 에칭 반응 공정에서는, 산소 함유 불소계 활성종에서 상기 실리콘 함유막의 에칭 반응을 일으킬 수 있다. 또한, 산화질소에서 상기 실리콘 함유막을 산화해서 산화 실리콘으로 변환하고, 산소 함유 불소계 활성종에 대한 에칭 레이트를 높게 할 수 있다. 에칭 가스에는 HF가 거의 또는 전혀 포함되어 있지 않기 때문에, 유기막 중으로의 HF의 침투, 투과 현상이 거의 또는 전혀 일어나지 않는다. 따라서, 유기막의 계면 밀착력이 저하하는 것을 피할 수 있고, 유기막의 들뜸이나 박리를 억제 또는 방지할 수 있다. 또한, 상기 산화질소에 의한 산화 작용 나아가서는 에칭 레이트 향상 작용에 추가로, 에칭 원료 가스의 각 성분의 유량비를 상기의 범위 내로 함으로써 에칭 레이트를 확실하게 높일 수 있다. 따라서, 에칭 처리 시간의 단축에 의해 분위기 가스 중의 수분이 피처리물에 흡착하는 기회를 저감시킬 수 있기 때문에 에칭 원료 가스가 수소 원자를 함유하지 않는 작용과 아울러, 유기막의 들뜸이나 박리를 보다 확실하게 억제 또는 방지할 수 있다. 또한, 피처리물의 표면에 부분적으로 물의 응축층이 형성되는 것을 피할 수 있다. 따라서, 산화 반응 나아가서는 에칭 반응이 응축층에 의해 방해받을 수 있는 것을 피할 수 있다. 나아가, 응축층이 형성된 개소와 응축층이 형성되지 않은 개소와의 사이에 에칭 레이트의 편차가 발생하는 것을 피할 수 있다. 따라서, 피처리물의 표면 거칠음을 방지할 수 있다.In the etching reaction step, the etching reaction of the silicon-containing film can be caused in the oxygen-containing fluorine-based active species. In addition, the silicon-containing film is oxidized in nitrogen oxide to be converted into silicon oxide, and the etching rate for the oxygen-containing fluorine-based active species can be increased. Since little or no HF is contained in the etching gas, little or no penetration of HF into the organic film occurs. Therefore, the fall of the interfacial adhesion of an organic film can be avoided, and lifting or peeling of an organic film can be suppressed or prevented. Moreover, in addition to the oxidation effect by nitrogen oxide, and also the etching rate improvement effect, an etching rate can be reliably raised by making the flow volume ratio of each component of an etching source gas into the said range. Therefore, the shortening of the etching processing time can reduce the chance of adsorption of moisture in the atmospheric gas to the object to be treated, so that the etching source gas does not contain hydrogen atoms, and the lifting and peeling of the organic film more reliably. Can be suppressed or prevented. It is also possible to avoid the formation of a condensation layer of water partially on the surface of the workpiece. Thus, it is possible to avoid that the oxidation reaction and hence the etching reaction can be disturbed by the condensation layer. Furthermore, variation in the etching rate can be avoided between the location where the condensation layer is formed and the location where the condensation layer is not formed. Therefore, the surface roughness of the to-be-processed object can be prevented.

상기 실리콘 함유막이 실리콘(Si), 질화 실리콘(SiNx), 탄화 실리콘(SiC), 산화 질화 실리콘(SiON), 산화 탄화 실리콘(SiOC), 탄화 질화 실리콘(SiCN) 중 어느 하나를 포함하는 것이 바람직하다. 이들 실리콘 함유물(Si, SiNx, SiC, SiON, SiOC, SiCN)은, 통상 산소 함유 불소계 활성종에 의한 에칭 반응 속도가 산화 실리콘보다 작고, 산화질소로 산화 가능하다. 실리콘(Si)은 비정질 실리콘일 수도 있고, 단결정 실리콘일 수도 있고, 다결정 실리콘일 수도 있다.It is preferable that the silicon-containing film contains any one of silicon (Si), silicon nitride (SiNx), silicon carbide (SiC), silicon oxynitride (SiON), silicon oxide carbide (SiOC), and silicon carbide nitride (SiCN). . These silicon-containing materials (Si, SiNx, SiC, SiON, SiOC, SiCN) usually have an etching reaction rate lower than that of silicon oxide by oxygen-containing fluorine-based active species and can be oxidized with nitrogen oxide. Silicon (Si) may be amorphous silicon, single crystal silicon, or polycrystalline silicon.

상기 에칭 원료 가스가 산소를 45 부피% 이하, 바람직하게는 30 부피% 이하 함유하고 있을 수도 있고, 또한 20 부피% 이하 함유하고 있을 수도 있다. 이 때, 상기 에칭 원료 가스의 잔량부가 질소 및 불소계 원료를 포함하고, 그 부피비가 질소:불소계 원료=10:90 내지 90:10일 수도 있다. 이에 의해, 상기 실리콘 함유막이 특히 질화 실리콘을 포함하는 경우에 고 에칭 레이트를 확보할 수 있다.The said etching raw material gas may contain 45 volume% or less, Preferably it is 30 volume% or less, and may contain 20 volume% or less. At this time, the remainder of the etching source gas may include nitrogen and a fluorine-based raw material, and the volume ratio may be nitrogen: fluorine-based raw material = 10: 90 to 90:10. Thereby, a high etching rate can be ensured when the said silicon containing film contains silicon nitride especially.

상기 에칭 원료 가스의 상기 불소계 원료 및 산소의 합계와 질소의 부피 유량비가 70:30 내지 20:80이며, 상기 불소계 원료와 산소의 부피 유량비가 75:25 내지 40:60일 수도 있다. 이 경우, 상기 에칭 원료 가스의 상기 불소계 원료 및 산소의 합계와 질소의 부피 유량비는 바람직하게는 60:40 내지 30:70이며, 보다 바람직하게는 50:50 내지 40:60이다. 또한 이 경우, 상기 에칭 원료 가스의 상기 불소계 원료와 산소의 부피 유량비는 바람직하게는 60:40 내지 40:60이며, 보다 바람직하게는 50:50 정도이다. 이에 의해, 상기 실리콘 함유막이 특히 질화 실리콘을 포함하는 경우에 에칭 레이트를 확실하게 향상시킬 수 있다. 상기 에칭 원료 가스 중의 질소의 함유율이 지나치게 높으면, 불소계 원료 및 산소의 함유율이 지나치게 낮아지기 때문에, 산소 함유 불소계 활성종의 생성량이 적어지고, 에칭 레이트가 저하한다고 생각된다. 상기 에칭 원료 가스 중의 질소의 함유율이 지나치게 낮으면 산화질소의 생성량이 적어지고, 실리콘 함유막의 산화 작용이 약해지고, 에칭 레이트가 저하한다고 생각된다. 상기 에칭 원료 가스 내의 상기 불소계 원료의 함유율이 지나치게 낮아도, 산소의 함유율이 지나치게 낮아도, 산소 함유 불소계 활성종의 생성량이 줄어들기 때문에 에칭 레이트가 저하한다고 생각된다.The volume flow rate ratio of the sum total of the said fluorine-type raw material and oxygen and nitrogen of the said etching source gas may be 70: 30-20: 80, and the volume flow rate ratio of the said fluorine-type raw material and oxygen may be 75: 25-40: 60. In this case, the volume flow rate ratio of the sum total of the said fluorine-type raw material, oxygen, and nitrogen of the said etching source gas becomes like this. Preferably it is 60: 40-30: 70, More preferably, it is 50: 50-40: 60. In this case, the volume flow ratio of the fluorine-based raw material and oxygen in the etching raw material gas is preferably 60:40 to 40:60, more preferably about 50:50. Thereby, an etching rate can be reliably improved when the said silicon containing film contains especially silicon nitride. When the content rate of nitrogen in the said etching source gas is too high, since the content rate of fluorine-type raw material and oxygen becomes too low, it is thought that the production | generation amount of oxygen-containing fluorine-type active species decreases and an etching rate falls. When the content rate of nitrogen in the said etching raw material gas is too low, the amount of nitrogen oxides produced will become small, the oxidation action of a silicon containing film will weaken, and an etching rate will be considered to be low. Even if the content rate of the said fluorine-type raw material in the said etching source gas is too low, and even if the content rate of oxygen is too low, since the production | generation amount of oxygen containing fluorine-type active species reduces, it is thought that an etching rate falls.

상기 에칭 원료 가스가 상기 불소계 원료를 20 내지 80 부피%, 질소를 7 내지 60 부피%, 산소를 5 내지 60 부피% 함유할 수도 있고, 또한 상기 불소계 원료를 40 내지 80 부피%, 질소를 7 내지 40 부피%, 산소를 5 내지 40 부피% 함유하고 있을 수도 있다. 이에 의해, 상기 실리콘 함유막이 특히 비정질 실리콘 등이 실리콘을 포함하는 경우에 고 에칭 레이트를 확보할 수 있다. 상기 실리콘 함유막이 비정질 실리콘 등의 실리콘을 포함하는 경우, 상기 에칭 원료 가스가 상기 불소계 원료를 30 부피% 이상, 또한 50 부피% 이상 함유하고, 상기 에칭 원료 가스의 잔부가 질소 및 산소를 N2:O2=10:90 내지 90:10의 부피비로 함유하고 있을 수도 있다.The etching raw material gas may contain 20 to 80% by volume of the fluorine-based raw material, 7 to 60% by volume of nitrogen and 5 to 60% by volume of oxygen, and 40 to 80% by volume of the fluorine-based raw material and 7 to nitrogen. It may contain 40 volume% and 5-40 volume% of oxygen. Thereby, a high etching rate can be ensured in the said silicon containing film especially when amorphous silicon etc. contain silicon. When the silicon-containing film contains silicon such as amorphous silicon, the etching source gas contains 30% by volume or more and 50% by volume or more of the fluorine-based material, and the remainder of the etching material gas contains N 2 : O 2 may be contained in a volume ratio of 10:90 to 90:10.

상기 피처리물의 온도를 50 ℃ 이상으로 하고, 바람직하게는 50 ℃ 내지 120 ℃ 정도, 보다 바람직하게는 50 ℃ 내지 100 ℃ 정도로 하는 온도 조절 공정을 추가로 실행하는 것이 바람직하다. 이에 의해, 대기 등의 분위기 가스 내의 수분이 피처리물에 흡착하는 것을 방지할 수 있다. 따라서, 피처리물의 표면 상에서 수분과 에칭 가스 중의 산소 함유 불소계 활성종에 의해 HF가 생성되는 것을 방지할 수 있다. 따라서, 유기막의 들뜸이나 박리를 확실하게 억제 또는 방지할 수 있다.It is preferable to further carry out the temperature control process which makes the temperature of the said to-be-processed object 50 degreeC or more, Preferably it is about 50 degreeC-120 degreeC, More preferably, it is about 50 degreeC-100 degreeC. Thereby, adsorb | sucking to to-be-processed object by the moisture in atmospheric gas, such as air | atmosphere, can be prevented. Therefore, HF can be prevented from being generated by the oxygen-containing fluorine-based active species in the moisture and the etching gas on the surface of the workpiece. Therefore, lifting or peeling of the organic film can be reliably suppressed or prevented.

상기 실리콘 함유막이 특히 질화 실리콘 등을 포함하는 경우, 상기 피처리물의 온도는 상기 피처리물을 구성하는 유기막 등의 내열 능력을 초과하지 않는 범위 내에서 가능한 한 높게 하는 것이 바람직하고, 100 ℃ 정도까지 가열할 수도 있고, 유기막의 내열 능력에 의해 120 ℃ 정도까지 가열할 수도 있다. 이에 의해 에칭 레이트를 높게 유지하면서 피처리물에 수분이 흡착하는 것을 확실하게 방지할 수 있고, 나아가서는 유기막의 들뜸이나 박리를 한층 확실하게 억제 또는 방지할 수 있다. 게다가, 유기막의 변성(수축 등의 물성 변화)을 방지할 수 있다. 덧붙여서 말하면, 유기막이 변성을 초래하는 온도는 상기 유기막의 성분에도 의하지만, 일반적으로 100 ℃ 내지 200 ℃ 이상이다.In the case where the silicon-containing film particularly contains silicon nitride or the like, it is preferable that the temperature of the workpiece is as high as possible within the range not exceeding the heat resistance of the organic film or the like constituting the workpiece, and about 100 ° C. It may be heated to or may be heated to about 120 ° C. by the heat resistance of the organic film. Thereby, while adsorbing a to-be-processed object can be reliably prevented, keeping an etching rate high, Furthermore, floating and peeling of an organic film can be suppressed more reliably or prevented. In addition, it is possible to prevent denaturation (change in physical properties such as shrinkage) of the organic film. Incidentally, the temperature at which the organic film causes denaturation depends on the components of the organic film, but is generally 100 ° C to 200 ° C or more.

상기 실리콘 함유막이 비정질 실리콘 등을 포함하는 경우, 상기 온도 조절 공정에서 상기 피처리물의 온도를 50 ℃ 초과 내지 100 ℃로 하는 것이 바람직하고, 60 ℃ 내지 80 ℃로 하는 것이 보다 바람직하다. 이에 의해, 비정질 실리콘 등의 에칭 레이트를 높게 할 수 있다(실시예 8 및 도 7 참조). 상기 피처리물을 상온보다 높게 함으로써, 피처리물에 수분이 흡착하는 것을 방지할 수 있고, 유기막의 들뜸이나 박리를 억제 또는 방지할 수 있다. 게다가, 유기막의 변성을 확실하게 방지할 수 있다.When the said silicon containing film contains amorphous silicon etc., it is preferable to make the temperature of the said to-be-processed object into 50 to 100 degreeC at the said temperature control process, and it is more preferable to set it as 60 to 80 degreeC. Thereby, the etching rate of amorphous silicon etc. can be made high (refer Example 8 and FIG. 7). By making the to-be-processed object higher than normal temperature, it can prevent that water adsorb | sucks to a to-be-processed object, and it can suppress or prevent lifting or peeling of an organic film. In addition, it is possible to reliably prevent denaturation of the organic film.

피처리물로서는, 예를 들어 액정 표시 장치 등의 플랫 패널 디스플레이나 반도체 장치를 들 수 있다. 예를 들어, 플랫 패널 디스플레이의 각 화소의 스위칭 소자로서 사용되는 TFT(Thin Film Transistor; 박막 트랜지스터)로 되어야 할 피처리물에서는 순차 적층된 비정질 실리콘막과 금속막과 유기막을 포함한다. 상기 비정질 실리콘막의 상기 금속막측의 막 부분에 불순물이 도핑되어 있다. 상기 유기막은 상기 금속막 및 상기 비정질 실리콘막 중 상기 불순물이 도핑된 막 부분(불순물 도핑 비정질 실리콘막)을 에칭할 때의 마스크가 된다.As a to-be-processed object, flat panel displays, such as a liquid crystal display device, and a semiconductor device are mentioned, for example. For example, an object to be treated as a TFT (Thin Film Transistor) used as a switching element of each pixel of a flat panel display includes an amorphous silicon film, a metal film, and an organic film sequentially stacked. Impurities are doped in the film portion of the amorphous silicon film on the metal film side. The organic film serves as a mask when etching the film portion (impurity doped amorphous silicon film) doped with the impurity among the metal film and the amorphous silicon film.

상기 불순물 도핑 비정질 실리콘막을 에칭할 때, 상기 에칭 가스를 사용할 수 있다. 상기 에칭 가스의 원료 가스는 수소 원자를 함유하지 않는 불소계 원료를 7 내지 80 부피%, 질소(N2)를 7 내지 80 부피%, 산소(O2)를 5 내지 60 부피% 함유한다. 바람직하게는, 상기 에칭 가스의 원료 가스가 상기 불소계 원료를 20 내지 80 부피%, 질소(N2)를 7 내지 60 부피%, 산소(O2)를 5 내지 60 부피% 함유한다. 보다 바람직하게는, 상기 에칭 가스의 원료 가스가 상기 불소계 원료를 40 내지 80 부피%, 질소(N2)를 7 내지 40 부피%, 산소(O2)를 5 내지 40 부피% 함유한다. 상기 피처리물의 온도는 바람직하게는 50 ℃ 초과 내지 100 ℃로 하고, 보다 바람직하게는 60 ℃ 내지 80 ℃로 한다. 이에 의해, 상기 불순물 도핑 비정질 실리콘막을 확실하게 에칭할 수 있고, TFT의 채널 부분을 형성할 수 있다. 게다가, 에칭 가스에는 HF 및 H2O가 거의 또는 전혀 포함되어 있지 않기 때문에 불소가 이온화해서 상기 유기막에 침투하는 것을 피할 수 있다. 따라서, 유기막의 계면 밀착력이 저하하는 것을 피할 수 있다. 따라서, 유기막의 들뜸 및 박리를 방지할 수 있고, 유기막과 금속막의 밀착 상태를 유지할 수 있다. 또한, 금속막이 HF에 의해 용해(에칭)되는 것을 피할 수 있다. 이 결과, 양호한 채널 부분을 형성할 수 있다.When etching the impurity doped amorphous silicon film, the etching gas may be used. The raw material gas of the etching gas containing a fluorine-based material that does not contain hydrogen atoms from 7 to 80 vol.%, 7 to 80% by volume of nitrogen (N 2), oxygen (O 2) 5 to 60% by volume. Preferably, the source gas of the etching gas contains 20 to 80% by volume of the fluorine-based raw material, 7 to 60% by volume of nitrogen (N 2 ) and 5 to 60% by volume of oxygen (O 2 ). And more preferably contains the raw material gas is 40 to 80% by volume of the fluorine-based material of the etching gas, and 7 to 40% by volume of nitrogen (N 2), 5 to 40% by volume of oxygen (O 2). Preferably the temperature of the said to-be-processed object is more than 50 degreeC-100 degreeC, More preferably, it is 60 degreeC-80 degreeC. As a result, the impurity doped amorphous silicon film can be etched reliably, and the channel portion of the TFT can be formed. In addition, since little or no HF and H 2 O are contained in the etching gas, fluorine can be ionized to penetrate the organic film. Therefore, the fall of the interface adhesive force of an organic film can be avoided. Therefore, lifting and peeling of the organic film can be prevented and the adhesion state between the organic film and the metal film can be maintained. In addition, it is possible to avoid dissolving (etching) the metal film by HF. As a result, a good channel portion can be formed.

여기서, 「수소 원자를 실질적으로 함유하지 않는」이란, 수소 원자를 전혀 함유하지 않는 경우에 한정되지 않고, 에칭 원료 가스가 유기막의 들뜸 및 박리를 초래하지 않는 정도의 미량의 수분 등의 수소 원자 함유물을 포함하는 경우도 포함한다. 예를 들어, 에칭 원료 가스가 바람직하게는 노점 온도 -40 ℃ 이하, 보다 바람직하게는 -60 ℃ 이하의 수분을 함유하고 있을 수도 있고, 이 노점 온도의 에칭 원료 가스의 수분 함유량은 실질적으로 제로의 범위에 포함되고, 에칭 원료 가스는 수소 원자를 실질적으로 함유하지 않는다.Here, "it does not contain a hydrogen atom substantially" is not limited to the case where it does not contain a hydrogen atom at all, It contains hydrogen atoms, such as trace amount of moisture of the grade which does not cause the etching raw material gas to lift and peel an organic film. It also includes the case of containing water. For example, the etching raw material gas may preferably contain moisture at a dew point temperature of -40 ° C or lower, more preferably -60 ° C or lower, and the water content of the etching source gas at this dew point temperature is substantially zero. It is included in the range, and etching raw material gas does not contain a hydrogen atom substantially.

에칭 원료 가스가 불소계 원료, 산소(O2), 질소(N2) 외에, Ar, He 등의 희석 가스를 포함하고 있을 수도 있다. 산소와 질소의 적어도 일부의 대용으로서 공기를 사용할 수도 있다.The etching raw material gas may contain diluent gases such as Ar and He, in addition to the fluorine raw material, oxygen (O 2 ), and nitrogen (N 2 ). Air may be used as a substitute for at least a portion of oxygen and nitrogen.

수소 원자를 함유하지 않는 불소계 원료로서는 CF4, C2F6, C3F6, C3F8 등의 퍼플루오로 카본(PFC) 외에 F2, SF6, NF3, XeF2 등을 들 수 있다.Fluorine-based raw materials containing no hydrogen atoms include F 2 , SF 6 , NF 3 , XeF 2 in addition to perfluorocarbons (PFC) such as CF 4 , C 2 F 6 , C 3 F 6 , and C 3 F 8 . And the like.

산소 함유 불소계 활성종으로서는 COF2, OF2, O2F2 등을 들 수 있다.Oxygen-containing fluorine-based active species is COF 2 , OF 2 , O 2 F 2 And the like.

대기압 근방이란 1.013×104 내지 50.663×104Pa의 범위를 말하며, 압력 조정의 용이화나 장치 구성의 간편화를 고려하면 1.333×104 내지 10.664×104Pa가 바람직하고, 9.331×104 내지 10.397×104Pa가 보다 바람직하다.The vicinity of atmospheric pressure refers to the range of 1.013 × 10 4 to 50.663 × 10 4 Pa, and considering the ease of pressure adjustment and the simplification of device configuration, 1.333 × 10 4 to 10.664 × 10 4 Pa is preferable, and 9.331 × 10 4 to 10.397 10 4 Pa is more preferable.

유기막은 액정 표시 장치나 반도체 장치 등의 제조 공정에서 피막되어 최종적으로는 제거되는 것(예를 들어 마스크층)일 수도 있고, 최종적으로 액정 표시 장치나 반도체 장치의 일부를 구성하는 것(예를 들어 절연층, 보호층)일 수도 있다. 유기막은 에칭 대상의 실리콘 함유막의 표측에 적층되어 있을 수도 있고, 실리콘 함유막의 직하층을 구성하고 있을 수도 있다. 실리콘 함유막의 표측에 적층되는 유기막으로서는 마스크층, 절연층, 보호층 등을 들 수 있다. 실리콘 함유막의 직하층을 구성하는 유기막으로서는 절연층을 들 수 있다. 마스크층은, 예를 들어 포토레지스트로 구성된다. 절연층이나 보호층을 구성하는 유기막으로서는, 예를 들어 에폭시 수지, 아크릴 수지, 폴리이미드 수지, 노볼락 수지 등을 들 수 있다.The organic film may be a film formed in a manufacturing process such as a liquid crystal display device or a semiconductor device and finally removed (for example, a mask layer), or finally forming a part of the liquid crystal display device or a semiconductor device (for example, Insulating layer, protective layer). The organic film may be laminated on the front side of the silicon-containing film to be etched, or may constitute a layer directly below the silicon-containing film. As an organic film laminated | stacked on the front surface of a silicon containing film, a mask layer, an insulating layer, a protective layer, etc. are mentioned. An insulating layer is mentioned as an organic film which comprises the direct layer of a silicon containing film. The mask layer is composed of, for example, a photoresist. As an organic film which comprises an insulating layer and a protective layer, an epoxy resin, an acrylic resin, a polyimide resin, a novolak resin, etc. are mentioned, for example.

본 발명에 따르면 피처리물의 유기막의 들뜸이나 박리를 억제 또는 방지하면서, 실리콘 함유막을 에칭할 수 있다.According to the present invention, the silicon-containing film can be etched while suppressing or preventing the lifting and peeling of the organic film of the workpiece.

도 1은 본 발명의 제1 실시 형태를 나타내고, 플라즈마 에칭 장치의 개략 구성도이다.
도 2는 본 발명의 제2 실시 형태를 나타내는 개략 구성도이다.
도 3은 실시예 1, 비교예 1-1, 비교예 1-2의 에칭 레이트의 측정 결과를 나타내는 그래프이다.
도 4는 실시예 2에서의 에칭 원료 가스 중의 질소 함유율에 대한 질화 실리콘막의 에칭 레이트의 측정 결과를 나타내는 그래프이다.
도 5는 실시예 4에서의 에칭 원료 가스 중의 CF4와 산소의 유량비에 대한 질화 실리콘막의 에칭 레이트의 측정 결과를 나타내는 그래프이다.
도 6은 실시예 5에서의 질화 실리콘의 처리 전 및 처리 후의 표면 원자의 분석 결과를 나타내는 스펙트럼 도이다.
도 7은 실시예 8에서의 비정질 실리콘의 에칭 레이트의 온도 의존성의 측정 결과를 나타내는 그래프이다.
1 shows a first embodiment of the present invention and is a schematic configuration diagram of a plasma etching apparatus.
It is a schematic block diagram which shows 2nd Embodiment of this invention.
It is a graph which shows the measurement result of the etching rate of Example 1, the comparative example 1-1, and the comparative example 1-2.
4 is a graph showing measurement results of an etching rate of a silicon nitride film with respect to nitrogen content in an etching source gas in Example 2. FIG.
FIG. 5 is a graph showing a measurement result of an etching rate of a silicon nitride film with respect to a flow rate ratio of CF 4 and oxygen in an etching source gas in Example 4. FIG.
FIG. 6 is a spectral diagram showing an analysis result of surface atoms before and after treatment of silicon nitride in Example 5. FIG.
7 is a graph showing a measurement result of temperature dependency of etching rate of amorphous silicon in Example 8. FIG.

이하, 본 발명의 실시 형태를 도면에 따라서 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, embodiment of this invention is described according to drawing.

도 1은, 본 발명의 제1 실시 형태를 나타낸 것이다. 피처리물(90)은, 예를 들어 액정 표시 장치나 반도체 장치이지만, 이들에 한정되는 것은 아니다. 피처리물(90)의 기재(91)는 특별히 한정되지 않으며, 유리일 수도 있고, 반도체 웨이퍼일수도 있고, 연속 형상 또는 낱장 형상의 수지 필름일 수도 있다. 기재(91)의 상면에는, 에칭 대상인 실리콘 함유막(92)이 피막되어 있다. 실리콘 함유막(92)은 예를 들어 질화 실리콘으로 구성되어 있다.1 shows a first embodiment of the present invention. Although the to-be-processed object 90 is a liquid crystal display device or a semiconductor device, for example, it is not limited to these. The base material 91 of the to-be-processed object 90 is not specifically limited, It may be glass, may be a semiconductor wafer, and may be a continuous film or a sheet-shaped resin film. On the upper surface of the base material 91, a silicon-containing film 92 as an etching target is coated. The silicon-containing film 92 is made of, for example, silicon nitride.

실리콘 함유막(92) 상(표측)에, 유기막(93)이 적층되어 있다. 유기막(93)은 예를 들어 패터닝된 포토레지스트로 구성되어 있다. 실리콘 함유막(92) 중 포토레지스트(93)가 피막 되어 있지 않은 부분이 에칭되어야 할 부분이 된다.The organic film 93 is laminated on the silicon-containing film 92 (front side). The organic film 93 is composed of, for example, a patterned photoresist. The portion of the silicon-containing film 92 in which the photoresist 93 is not coated becomes a portion to be etched.

유기막(93)은 포토레지스트 등의 마스크층에 한정되는 것이 아니라, 에폭시 수지, 아크릴 수지, 폴리이미드 수지, 노볼락 수지 등을 포함하는 절연층이나 보호층일 수도 있다. 유기막(93)은 실리콘 함유막(92)의 표측에 적층되는 것에 한정되지 않고, 실리콘 함유막(92)의 직하층을 구성하고 있을 수도 있다.The organic film 93 is not limited to a mask layer such as a photoresist, and may be an insulating layer or a protective layer containing an epoxy resin, an acrylic resin, a polyimide resin, a novolak resin, or the like. The organic film 93 is not limited to being laminated on the front side of the silicon containing film 92, and may form a layer directly below the silicon containing film 92.

대기압 플라즈마 에칭 장치(1)는 피처리물(90)을 대기압 근방 하에서 플라즈마 에칭한다. 플라즈마 에칭 장치(1)는 지지부(2)와, 에칭 가스 공급계(3)를 구비하고 있다. 지지부(2)에 피처리물(90)이 지지되어 있다. 지지부(2)는 스테이지로 구성되어 있지만, 이에 한정되는 것이 아니고, 롤러 컨베이어나 벨트 컨베이어일 수도 있고, 복수의 가이드 롤일 수도 있고, 매니퓰레이터(manipulator)(로봇 아암)일 수도 있다. 스테이지(2)에 반송 기구(도시하지 않음)가 접속되고, 피처리물(90)이 반송되도록 할 수도 있다.The atmospheric pressure plasma etching apparatus 1 performs plasma etching of the to-be-processed object 90 under atmospheric pressure. The plasma etching apparatus 1 is provided with the support part 2 and the etching gas supply system 3. The to-be-processed object 90 is supported by the support part 2. Although the support part 2 is comprised by the stage, it is not limited to this, It may be a roller conveyor or a belt conveyor, may be a some guide roll, and may be a manipulator (robot arm). A conveyance mechanism (not shown) is connected to the stage 2, and the to-be-processed object 90 can also be conveyed.

스테이지(2) 상의 피처리물(90)은 온도 조절 수단(4)에 의해 온도 조절된다. 도에 있어서, 온도 조절 수단(4)은 스테이지(2) 내에 내장되어 있지만 스테이지(2)의 외부에 배치되어 있을 수도 있다. 온도 조절 수단(4)은 전열 히터일 수도 있고, 복사 히터일 수도 있고, 온도 조절액을 유통시키는 통로를 포함하는 열교환기일 수도 있다.The workpiece 90 on the stage 2 is temperature controlled by the temperature adjusting means 4. In the figure, the temperature regulating means 4 is built in the stage 2 but may be arranged outside the stage 2. The temperature regulating means 4 may be an electrothermal heater, a radiant heater, or a heat exchanger including a passage through which the temperature regulating liquid is circulated.

에칭 가스 공급계(3)는 에칭 원료 가스 공급계(10)와, 플라즈마 생성부(20)를 포함한다. 에칭 원료 가스 공급계(10)는 불소계 원료 공급부(11)와, 산소 공급부(12)와, 질소 공급부(13)를 포함하고, 불소 원료와 산소(O2)와 질소(N2)를 포함하는 에칭 원료 가스를 플라즈마 생성부(20)에 공급한다. 불소계 원료 공급부(11)는 수소 원자를 함유하지 않는 불소계 원료를 공급한다. 상기 불소계 원료는 예를 들어 CF4이다. 불소계 원료로서, CF4 를 대신해서, C2F6, C3F6, C3F8 등의 다른 퍼플루오로 카본(PFC)을 사용할 수도 있고, SF6, NF3, XeF2 등을 사용할 수도 있다. 산소 공급부(12)는 산소(O2)를 공급한다. 질소 공급부(13)는 질소(N2)를 공급한다.The etching gas supply system 3 includes an etching source gas supply system 10 and a plasma generation unit 20. The etching raw material gas supply system 10 includes a fluorine-based raw material supply part 11, an oxygen supply part 12, and a nitrogen supply part 13, and includes a fluorine raw material, oxygen (O 2 ), and nitrogen (N 2 ). The etching source gas is supplied to the plasma generation unit 20. The fluorine-based raw material supply unit 11 supplies a fluorine-based raw material containing no hydrogen atom. The fluorine-based material are, for example, CF 4. As the fluorine-based raw material, other perfluorocarbons (PFC) such as C 2 F 6 , C 3 F 6 , C 3 F 8, etc. may be used in place of CF 4 , and SF 6 , NF 3 , XeF 2 Etc. can also be used. The oxygen supply unit 12 supplies oxygen (O 2 ). The nitrogen supply unit 13 supplies nitrogen (N 2 ).

에칭 원료 가스 공급계(10)는 물(H2O)의 공급부를 갖고 있지 않다. 에칭 원료 가스에는 물 등의 수소 원자 함유물 또는 수소 함유 성분이 실질적으로(거의 또는 전혀) 포함되어 있지 않다.Etching source gas supply system 10 does not have a supply of water (H 2 O). The etching raw material gas contains substantially (almost or no) hydrogen atom-containing substances such as water or hydrogen-containing components.

플라즈마 생성부(20)는 서로 대향하는 한 쌍의 전극(21, 21)을 갖고 있다. 도에 있어서, 전극(21, 21)은 평행 평판 전극으로 구성되어 있지만, 이에 한정되는 것은 아니고, 동축 원통 전극일 수도 있고, 한 쌍의 롤 전극일 수도 있고, 롤 전극과 평판 전극 또는 원통 오목면 전극과의 조합일 수도 있다. 적어도 한쪽의 전극(21)의 대향면에는 고체 유전체층(도시하지 않음)이 설치되어 있다. 이들 전극(21, 21) 중 한쪽은 전원(22)에 접속되고, 다른 쪽은 전기적으로 접지되어 있다. 전원(22)에서의 공급 전압은 펄스 등의 간헐 파형일 수도 있고, 정현파와 같은 연속파일 수도 있다. 전원(22)에서의 전압 공급에 의해 전극(21, 21) 사이의 공간(23)이 대기압 근방의 플라즈마 공간이 된다. 플라즈마 공간(23)의 상류 단부에 에칭 원료 가스 공급계(10)가 이어지고 있다. 에칭 원료 가스 공급계(10)와 플라즈마 공간(23)과의 접속부에는 가스를 플라즈마 공간(23) 내에 균일하게 도입하기 위한 정류부(도시하지 않음)가 설치되어 있을 수도 있다. 플라즈마 공간(23)의 하류 단부에, 분출 노즐(24)이 이어지고 있다. 분출 노즐(24)에는 플라즈마 공간(23)에서의 가스를 균일하게 분출하기 위한 정류부가 설치되어 있다. 노즐(24)이 지지부(2) 상의 피처리물(90)에 면하고 있다.The plasma generation unit 20 has a pair of electrodes 21 and 21 facing each other. In the figure, the electrodes 21 and 21 are constituted by parallel flat electrodes, but the present invention is not limited thereto, and may be a coaxial cylindrical electrode, a pair of roll electrodes, a roll electrode and a flat electrode or a cylindrical concave surface. It may also be a combination with an electrode. On the opposite surface of at least one electrode 21, a solid dielectric layer (not shown) is provided. One of these electrodes 21, 21 is connected to the power supply 22, and the other is electrically grounded. The supply voltage from the power supply 22 may be an intermittent waveform such as a pulse or a continuous file such as a sine wave. By the voltage supply from the power supply 22, the space 23 between the electrodes 21, 21 becomes a plasma space near atmospheric pressure. An etching source gas supply system 10 is connected to an upstream end of the plasma space 23. A rectifying part (not shown) for uniformly introducing gas into the plasma space 23 may be provided at the connection portion between the etching source gas supply system 10 and the plasma space 23. The blowing nozzle 24 is continued to the downstream end of the plasma space 23. The jet nozzle 24 is provided with a rectifying part for uniformly jetting the gas in the plasma space 23. The nozzle 24 faces the workpiece 90 on the support 2.

노즐(24)이 플라즈마 생성부(20)와 일체가 되어 있을 수도 있다. 노즐(24)에 처리 완료된 가스를 흡입해서 배출하는 흡인부(도시 생략)가 설치되어 있을 수도 있다.The nozzle 24 may be integrated with the plasma generation unit 20. A suction unit (not shown) may be provided in the nozzle 24 to suck and discharge the processed gas.

피처리물(90)의 처리 시에는, 플라즈마 생성부(20) 나아가서는 노즐(24)이 피처리물(90)에 대해 정지하고 있을 수도 있고, 피처리물(90)에 대하여 상대 이동할 수도 있다. 플라즈마 생성부(20) 나아가서는 노즐(24)이 피처리물(90)에 대하여 상대 이동할 경우, 피처리물(90)의 양단부 사이를 1회 또는 복수회 왕복할 수도 있고, 편도 일방향으로 1회만 이동할 수도 있다.At the time of processing the workpiece 90, the plasma generating unit 20 and the nozzle 24 may be stopped with respect to the workpiece 90 or may move relative to the workpiece 90. . When the nozzle 24 is further moved relative to the workpiece 90, the plasma generating unit 20 may reciprocate once or plural times between both ends of the workpiece 90, and only once in one direction. You can also move.

상기 구성의 플라즈마 에칭 장치(1)에서 불소계 원료 공급부(11)의 CF4와 산소 공급부(12)의 O2와 질소 공급부(13)의 N2를 서로 소정의 유량비로 혼합하고, 에칭 원료 가스를 얻는다. 에칭 원료 가스의 각 성분의 부피 함유율은 CF4 7 % 내지 80 %, N2 7 % 내지 80 %, O2 5 % 내지 60 %의 범위로 설정할 수 있다.The fluorine raw material supply unit 11 in the plasma etching apparatus 1 of the above structure CF 4 and mixing the N 2 in the oxygen supply portion (12) O 2 and the nitrogen supply unit 13 of each other at a predetermined flow rate, and the etching source gas Get The volume content of each component of the etching source gas is CF 4 7% to 80%, N 2 7% to 80%, O 2 can be set in the range of 5% to 60%.

에칭 대상막(92)이 특히 질화 실리콘일 경우, 에칭 원료 가스의 O2의 부피 함유율을 바람직하게는 45 % 이하로 하고, 보다 바람직하게는 30 % 이하로 하고, 또한 20 % 이하로 할 수도 있다. 이때, 에칭 원료 가스의 잔량부가 N2 및 CF4(불소계 원료)를 N2:CF4=10:90 내지 90:10의 부피비로 포함하고 있을 수도 있다. 에칭 원료 가스 중의 CF4(불소계 원료) 및 O2의 합계와 N2의 부피 유량비를 (CF4+O2):N2=70:30 내지 20:80으로 하고, 바람직하게는 (CF4+O2):N2=60:40 내지 30:70으로 하고, 보다 바람직하게는 (CF4+O2):N2=50:50 내지 40:60으로 할 수도 있다. 에칭 원료 가스 중의 CF4(불소계 원료)와 O2의 부피 유량비를 CF4:O2=75:25 내지 40:60으로 하고, 바람직하게는 CF4:O2=40:60 내지 60:40으로 하고, 보다 바람직하게는 CF4:O2=50:50 정도로 할 수도 있다.When the etching target film 92 is particularly silicon nitride, the volume content of O 2 in the etching source gas is preferably 45% or less, more preferably 30% or less, and 20% or less. . At this time, the remainder of the etching source gas may contain N 2 and CF 4 (fluorine-based raw material) in a volume ratio of N 2 : CF 4 = 10: 90 to 90:10. The total volume of CF 4 (fluorine-based raw material) and O 2 in the etching raw material gas and the volumetric flow rate ratio of N 2 are set to (CF 4 + O 2 ): N 2 = 70: 30 to 20:80, and preferably (CF 4 + O 2 ): N 2 = 60: 40 to 30:70, and more preferably (CF 4 + O 2 ): N 2 = 50: 50 to 40:60. The volume flow ratio of CF 4 (fluorine-based raw material) and O 2 in the etching source gas is CF 4 : O 2 = 75: 25 to 40:60, preferably CF 4 : O 2 = 40: 60 to 60:40 More preferably, it may be about CF 4 : O 2 = 50: 50.

에칭 원료 가스(CF4+O2+N2)는 가스 공급계(10)에 의해 플라즈마 생성부(20)의 플라즈마 공간(23)에 도입되어 플라즈마화된다. 플라즈마화에 의해, 예를 들어 이하의 반응종 생성 반응이 일어난다(생성 공정).The etching source gas CF 4 + O 2 + N 2 is introduced into the plasma space 23 of the plasma generating unit 20 by the gas supply system 10 to be plasmaized. By plasma-forming, the following reactive species generation reaction occurs, for example (production process).

Figure pct00005
Figure pct00005

Figure pct00006
Figure pct00006

Figure pct00007
Figure pct00007

상기 식 11 내지 식 13의 각항의 계수는 무시하고 있다(후술하는 식 21, 22, 31, 41 내지 45에서 동일하다).The coefficients in the respective terms of the above formulas 11 to 13 are ignored (the same is the case in the following formulas 21, 22, 31 and 41 to 45).

이에 따라, 이불화카르보닐(COF2), 불화산소(OF2, O2F2) 등의 산소 함유 불소계 활성종 및 산화질소(NOx)를 포함하는 에칭 가스가 생성된다. 에칭 가스에는 HF 및 물(H2O)이 거의 또는 전혀 포함되어 있지 않다.This produces an etching gas containing oxygen-containing fluorine-based active species such as carbonyl difluoride (COF 2 ) and oxygen fluorides (OF 2 , O 2 F 2 ) and nitrogen oxides (NO x). The etching gas contains little or no HF and water (H 2 O).

상기 에칭 가스가 분출부(24)에서 분출되어, 피처리물(90)에 분사된다. 이에 의해, 질화 실리콘을 포함하는 실리콘 함유막(92) 중 유기막(93)으로 피복되어 있지 않은 부분에 에칭 가스의 각 성분이 접촉하고, 이하의 에칭 반응이 일어난다.The etching gas is ejected from the blowing section 24 and injected into the object 90. Thereby, each component of etching gas contacts the part which is not coat | covered with the organic film 93 among the silicon containing films 92 containing silicon nitride, and the following etching reactions arise.

Figure pct00008
Figure pct00008

Figure pct00009
Figure pct00009

이와 같이 하여, 실리콘 함유막(92)을 에칭할 수 있다. 에칭 가스에는 HF 및 H2O가 거의 또는 전혀 함유되어 있지 않기 때문에 유기막(93)으로의 HF의 침투, 투과가 거의 또는 전혀 일어나지 않는다. 따라서, 유기막(93)의 계면 밀착력이 저하하는 것을 피할 수 있고, 유기막(93)이 들뜨거나 박리되거나 하는 것을 억제 또는 방지할 수 있다. 따라서, 실리콘 함유막(92)의 에칭해야 할 부분만을 깨끗하게 에칭할 수 있다.In this manner, the silicon-containing film 92 can be etched. Since the etching gas contains little or no HF and H 2 O, little or no penetration of HF into the organic film 93 occurs. Therefore, the fall of the interfacial adhesion of the organic film 93 can be avoided, and it can suppress or prevent that the organic film 93 is lifted or peeled off. Therefore, only the part to be etched of the silicon-containing film 92 can be etched cleanly.

바람직하게는, 상기 에칭 가스의 분사와 병행하여 온도 조절 수단(4)에 의해 피처리물(90)을 50 ℃ 이상으로 가열한다. 이에 의해, 대기 중의 수분이 피처리물(90)의 표면에 흡착하는 것을 방지할 수 있다. 따라서, 대기 중의 수분과 에칭 가스 중의 산소 함유 불소계 활성종(이불화카르보닐, 불화산소)이 반응해서 HF가 생성되는 것을 방지할 수 있다. 나아가서는, 유기막(93)의 들뜸이나 박리를 한층 더 확실하게 방지할 수 있다. 피처리물(90)의 설정 온도의 상한은 120 ℃로 하고, 바람직하게는 100 ℃로 한다. 이에 의해, 유기막(93)이 열에 의해 변질되는 것을 방지할 수 있다.Preferably, in parallel with the injection of the said etching gas, the to-be-processed object 90 is heated to 50 degreeC or more by the temperature control means 4. As a result, the moisture in the air can be prevented from adsorbing onto the surface of the workpiece 90. Therefore, it is possible to prevent the generation of HF by the reaction of moisture in the air with oxygen-containing fluorine-based active species (carbonyl difluoride, oxygen fluoride) in the etching gas. Furthermore, lifting and peeling of the organic film 93 can be prevented more reliably. The upper limit of the set temperature of the to-be-processed object 90 shall be 120 degreeC, Preferably you may be 100 degreeC. This can prevent the organic film 93 from being deteriorated by heat.

실리콘 함유막(92)을 구성하는 질화 실리콘의 일부는, 식 13에서 생성된 NOx와의 접촉에 의해 산화되고(식 31), 산화 실리콘이 된다. 이 산화 실리콘이 산소 함유 불소계 활성종(이불화카르보닐, 불화산소)과 반응해서 에칭된다(식 32 및 식 33).A part of the silicon nitride constituting the silicon-containing film 92 is oxidized by contact with NOx generated in Equation 13 (Equation 31) to become silicon oxide. This silicon oxide is etched by reacting with oxygen-containing fluorine-based active species (carbonyl difluoride, oxygen fluoride) (Eqs. 32 and 33).

Figure pct00010
Figure pct00010

Figure pct00011
Figure pct00011

Figure pct00012
Figure pct00012

식 32 및 식 33으로 표시되는 산화 실리콘의 에칭 반응 속도는 상술한 식 21 및 식 22로 표시되는 질화 실리콘의 에칭 반응 속도보다 크다. 따라서, NOx에 의한 산화 반응(식 31)을 경유함으로써, 실리콘 함유막(92)의 에칭 레이트를 높일 수 있다. 질화 실리콘은 NOx에 의한 상기 산화 반응(식 31)의 속도가 비교적 크기 때문에, 에칭 레이트 향상의 효과가 크다. 이에 의해, 에칭의 처리 시간을 짧게 할 수 있다. 따라서, 에칭 중에 분위기 가스의 수분이 피처리물(90)에 흡착하는 기회를 저감시킬 수 있고, 유기막의 들뜸이나 박리를 한층 더 확실하게 억제 또는 방지할 수 있다.The etching reaction rate of the silicon oxides represented by Expressions 32 and 33 is greater than the etching reaction rates of silicon nitride represented by Expressions 21 and 22 described above. Therefore, the etching rate of the silicon-containing film 92 can be increased by passing through the oxidation reaction (NO. 31) by NOx. Since silicon nitride has a relatively high rate of the oxidation reaction (formula 31) by NOx, the effect of improving the etching rate is great. Thereby, the processing time of etching can be shortened. Therefore, the opportunity which the moisture of atmospheric gas adsorb | sucks to the to-be-processed object 90 during an etching can be reduced, and it can suppress or prevent lifting or peeling of an organic film further reliably.

에칭 가스에는 HF 및 H2O가 거의 또는 전혀 포함되어 있지 않기 때문에, 피처리물(90)의 표면에 부분적으로 물의 응축층이 형성되는 것을 피할 수 있다. 따라서, 산화 반응 나아가서는 에칭 반응이 응축층에 의해 방해받을 수 있는 것을 피할 수 있다. 나아가, 응축층이 형성된 개소와 응축층이 형성되지 않은 개소와의 사이에 에칭 레이트의 편차가 발생하는 것을 피할 수 있다. 따라서, 피처리물(90)의 표면 거칠음을 방지할 수 있다.Since the etching gas contains little or no HF and H 2 O, it is possible to avoid the formation of a condensation layer of water partially on the surface of the workpiece 90. Thus, it is possible to avoid that the oxidation reaction and hence the etching reaction can be disturbed by the condensation layer. Furthermore, variation in the etching rate can be avoided between the location where the condensation layer is formed and the location where the condensation layer is not formed. Therefore, the surface roughness of the to-be-processed object 90 can be prevented.

여기까지의 실시 형태에서는 에칭 대상의 실리콘 함유막(92)이 질화 실리콘이라고 설명했지만, 실리콘 함유막(92)은 질화 실리콘에 한정되지 않고, 산화질소(NOx)로 산화 가능한 실리콘 함유물일 수 있고, 비정질 실리콘이나 폴리실리콘 등의 실리콘일 수도 있고, 탄화 실리콘, 질화 산화 실리콘, 산화탄화 실리콘, 질화 탄화 실리콘 등일 수도 있다. 에칭 대상의 막질에 관계없이, 에칭 원료 가스의 각 성분의 부피 함유율을 불소계 원료(CF4 등) 7 내지 80 %, N2 7 내지 80 %, O2 5 내지 60 %의 범위 내에서 설정할 수 있다.In the embodiments thus far described, the silicon-containing film 92 to be etched is described as silicon nitride, but the silicon-containing film 92 is not limited to silicon nitride, but may be a silicon-containing material that can be oxidized with nitrogen oxide (NOx), Silicon, such as amorphous silicon and polysilicon, may be sufficient, and silicon carbide, silicon nitride oxide, silicon oxide oxide, silicon nitride carbide, etc. may be sufficient as it. Irrespective of the film quality of the etching target, the volume content of each component of the etching source gas can be set within the range of 7 to 80%, N 2 7 to 80%, and O 2 5 to 60% of the fluorine-based raw material (CF 4 or the like). .

에칭 대상막(92)이 특히 비정질 실리콘(a-Si) 등의 실리콘일 경우, 에칭 원료 가스의 각 성분의 부피 함유율을 바람직하게는 CF4 20 % 내지 80 %, N2 7 % 내지 60 %, O2 5 % 내지 60 %로 하고, 보다 바람직하게는 CF4 40 % 내지 80 %, N2 7 % 내지 40 %, O2 5 % 내지 40 %로 한다. 또한, 피처리물(90)의 설정 온도는 바람직하게는 50 ℃ 초과 내지 100 ℃로 하고, 보다 바람직하게는 60 ℃ 내지 80 ℃로 한다.In particular, when the etching target film 92 is silicon such as amorphous silicon (a-Si), the volume content of each component of the etching source gas is preferably CF 4. 20% to 80%, N 2 7% to 60%, O 2 5% to 60%, and more preferably CF 4 40%-80%, N 2 7%-40%, O 2 5%-40%. In addition, the set temperature of the to-be-processed object 90 becomes like this. Preferably it is more than 50 degreeC-100 degreeC, More preferably, it is 60 degreeC-80 degreeC.

실리콘 함유막(92)이 비정질 실리콘(a-Si) 등이 실리콘을 포함하는 경우, 에칭 가스와의 접촉에 의해 이하의 에칭 반응이 일어난다.When the silicon-containing film 92 contains amorphous silicon (a-Si) or the like, the following etching reaction occurs by contact with the etching gas.

Figure pct00013
Figure pct00013

Figure pct00014
Figure pct00014

상술한 식 21 및 식 22로 표시되는 질화 실리콘의 에칭 반응의 속도와, 식 23 및 식 24로 표시되는 실리콘의 에칭 반응 속도란 처리 조건에 의해 전자가 후자보다 커지거나 작아지거나 한다. 예를 들어 처리 온도가 100 ℃ 정도일 때에는 질화 실리콘의 에칭 반응의 속도가 실리콘의 에칭 반응 속도보다 크다. 처리 온도가 60 ℃ 정도일 때에는 실리콘의 에칭 반응의 속도가 질화 실리콘의 에칭 반응 속도보다 크다.The rate of etching reaction of the silicon nitride represented by the above-described formulas (21) and (22) and the etching reaction rate of the silicon represented by the formulas (23) and (24) are larger or smaller than the latter depending on the processing conditions. For example, when the processing temperature is about 100 ° C, the etching reaction rate of silicon nitride is larger than the etching reaction rate of silicon. When the treatment temperature is about 60 ° C, the etching reaction rate of silicon is larger than the etching reaction rate of silicon nitride.

또한, 비정질 실리콘 등의 실리콘은 다음 식에 나타낸 바와 같이 NOx와 반응해서 산화되어, 산화 실리콘이 된다.In addition, silicon, such as amorphous silicon, is reacted with NOx and oxidized as shown in the following formula to form silicon oxide.

Figure pct00015
Figure pct00015

이 산화 실리콘이 산소 함유 불소계 활성종(COF2, OF2, O2F2 등)과 반응해서 에칭된다(식 32 및 식 33). This silicon oxide is etched by reacting with oxygen-containing fluorine-based active species (COF 2 , OF 2 , O 2 F 2, etc.) (Eqs. 32 and 33).

또한, 실리콘 함유막(92)이 탄화 실리콘(SiC), 산화 질화 실리콘(SiON), 산화 탄화 실리콘(SiOC), 탄화 질화 실리콘(SiCN)을 포함하는 경우, 각각 하기의 식 42 내지 식 45에 나타낸 바와 같이 NOx와 반응해서 산화되어, 산화 실리콘이 된다. 이 산화 실리콘이 산소 함유 불소계 활성종(COF2, OF2, O2F2 등)과 반응해서 에칭된다(식 32 및 식 33). In addition, in the case where the silicon-containing film 92 contains silicon carbide (SiC), silicon oxynitride (SiON), silicon oxide carbide (SiOC), or silicon carbide nitride (SiCN), the following formulas 42 to 45 are shown, respectively. As described above, it reacts with NOx and oxidizes to become silicon oxide. This silicon oxide is etched by reacting with oxygen-containing fluorine-based active species (COF 2 , OF 2 , O 2 F 2, etc.) (Eqs. 32 and 33).

Figure pct00016
Figure pct00016

Figure pct00017
Figure pct00017

Figure pct00018
Figure pct00018

Figure pct00019
Figure pct00019

식 32 및 식 33으로 표시되는 산화 실리콘의 에칭 반응 속도는, 상기 실시 형태의 처리 조건 하에서는 상기 각 실리콘 함유물(Si, SiC, SiON, SiOC, SiCN 등)이 산소 함유 불소계 활성종에 의해 직접적으로 에칭되는 반응 속도보다 크다. 따라서, 이들 실리콘 함유막(Si, SiC, SiON, SiOC, SiCN 등)에 있어서도 에칭 레이트를 확실하게 높일 수 있다. 따라서, 에칭 처리 시간을 짧게 할 수 있고, 에칭 중에 분위기 가스의 수분이 피처리물(90)에 흡착하는 기회를 저감시킬 수 있다. 나아가서는, 에칭 원료 가스가 수소 원자를 실질적으로 포함하지 않은 것과 같은 효과와 아울러, 유기막의 들뜸이나 박리를 한층 더 확실하게 억제 또는 방지할 수 있다.The etching reaction rate of the silicon oxides represented by the formulas 32 and 33 is that the silicon-containing materials (Si, SiC, SiON, SiOC, SiCN, etc.) are directly produced by the oxygen-containing fluorine-based active species under the processing conditions of the above embodiment. Greater than the reaction rate being etched. Therefore, also in these silicon containing films (Si, SiC, SiON, SiOC, SiCN, etc.), an etching rate can be raised reliably. Therefore, the etching process time can be shortened, and the opportunity which the moisture of atmospheric gas adsorb | sucks to the to-be-processed object 90 during an etching can be reduced. Furthermore, in addition to the effect that an etching source gas does not contain a hydrogen atom substantially, lifting and peeling of an organic film can be suppressed or prevented more reliably.

도 2는 본 발명의 제2 실시 형태를 나타낸 것이다. 제2 실시 형태는 플랫 패널 디스플레이용의 TFT의 채널 에칭에 관계된다.2 shows a second embodiment of the present invention. The second embodiment relates to channel etching of TFTs for flat panel displays.

TFT가 되어야 할 피처리물(90A)는 유리 기판(91)을 포함한다. 유리 기판(91)에는 게이트 배선(94), 게이트 절연막(95), 반도체막(96), 금속막(97), 유기막(93)이 기판(91)측으로부터 순차 적층되어 있다. 게이트 배선(94)은, 예를 들어 Cr, Ta 등의 고융점 금속으로 구성되어 있다. 게이트 절연막(95)은, 예를 들어 SiN으로 구성되어 있다.The workpiece 90A to be a TFT includes a glass substrate 91. On the glass substrate 91, the gate wiring 94, the gate insulating film 95, the semiconductor film 96, the metal film 97, and the organic film 93 are sequentially stacked from the substrate 91 side. The gate wiring 94 is made of, for example, a high melting point metal such as Cr or Ta. The gate insulating film 95 is made of SiN, for example.

반도체막(96)은 예를 들어 비정질 실리콘으로 구성되어 있다. 반도체막(96)은 기판(91)측의 막 부분(96a)과, 금속막(97)측의 막 부분(96b)을 포함한다. 막 부분(96a)은 불순물이 도핑되어 있지 않은 비도핑 비정질 실리콘이다. 막 부분(96b)은 P 등의 불순물이 도핑된 n형 비정질 실리콘이다. 반도체막(96)의 두께는 예를 들어 200 nm 내지 300 nm 정도이다. n형 비정질 실리콘(96b)의 막 두께는, 예를 들어 60 nm 내지 100 nm 정도이다.The semiconductor film 96 is made of, for example, amorphous silicon. The semiconductor film 96 includes a film portion 96a on the side of the substrate 91 and a film portion 96b on the side of the metal film 97. The film portion 96a is undoped amorphous silicon that is not doped with impurities. The film portion 96b is n-type amorphous silicon doped with an impurity such as P. The thickness of the semiconductor film 96 is, for example, about 200 nm to 300 nm. The film thickness of the n-type amorphous silicon 96b is, for example, about 60 nm to 100 nm.

금속막(97)은 TFT의 신호 배선이 된다. 금속막(97)은, 예를 들어 Ta, Al 등의 금속으로 구성되어 있다. 유기막(93)은 포토레지스트를 포함한다. 금속막(97)의 채널 부분에 대응하는 부분이 유기막(93)을 마스크로 해서 에칭되어 있다. 이에 의해, 채널 부분의 n형 비정질 실리콘막(96b)이 노출되어 있다. 제2 실시 형태에서는 상기 채널 부분의 n형 비정질 실리콘막(96b)이 에칭 대상의 실리콘 함유막이 된다.The metal film 97 becomes a signal wiring of the TFT. The metal film 97 is comprised from metals, such as Ta and Al, for example. The organic film 93 includes a photoresist. The portion corresponding to the channel portion of the metal film 97 is etched using the organic film 93 as a mask. As a result, the n-type amorphous silicon film 96b in the channel portion is exposed. In the second embodiment, the n-type amorphous silicon film 96b of the channel portion is a silicon-containing film to be etched.

플라즈마 에칭 장치(1)의 에칭 가스 공급계(3)에서 CF4(불소계 원료), O2, N2를 포함하는 에칭 원료 가스를 방전 공간(23)에 도입해서 플라즈마화하고, 에칭 가스를 생성한다. 상기 에칭 원료 가스의 각 성분의 부피 함유율을 바람직하게는 CF4 20 % 내지 80 %, N2 7 % 내지 60 %, O2 5 % 내지 60 %로 하고, 보다 바람직하게는 CF4 40 % 내지 80 %, N2 7 % 내지 40 %, O2 5 % 내지 40 %로 한다. CF4와 N2 및O2의 합계와의 부피 유량비를 CF4:(N2+O2)=30:70 내지 80:20으로 하고 바람직하게는 CF4:(N2+O2)=50:50 내지 80:20으로 하고 N2와 O2의 부피 유량비를 N2:O2=10:90 내지 90:10으로 할 수도 있다. 피처리물(90)의 설정 온도는 바람직하게는 50 ℃ 초과 내지 100 ℃로 하고, 보다 바람직하게는 60 ℃ 내지 80 ℃로 한다.In the etching gas supply system 3 of the plasma etching apparatus 1, etching source gas containing CF 4 (fluorine-based raw material), O 2 , and N 2 is introduced into the discharge space 23 to form a plasma, thereby generating an etching gas. do. The volume content of each component of the etching raw material gas is preferably CF 4 20% to 80%, N 2 7% to 60%, O 2 5% to 60%, more preferably CF 4 40% to 80%, N 2 7% to 40%, O 2 5% to 40%. The volume flow ratio of the sum of CF 4 and N 2 and O 2 CF 4: (N 2 + O 2) = 30: 70 is preferred to be in the 80:20 CF 4: (N 2 + O 2) = 50 : 50 to 80: 20 and the volume flow ratio of N 2 and O 2 N 2: O 2 = 10: may be by 90 to 90: 10. The set temperature of the to-be-processed object 90 becomes like this. Preferably it is more than 50 degreeC-100 degreeC, More preferably, it is 60 degreeC-80 degreeC.

에칭 원료 가스에는 물(H2O)이 거의 또는 전혀 포함되어 있지 않다. 따라서, 에칭 가스에는 HF 및 물(H2O)이 거의 또는 전혀 포함되어 있지 않다. 이 에칭 가스를 피처리물(90A)에 분사한다. 에칭 가스는 n형 비정질 실리콘막(96b)의 노출 부분에 접촉한다. 이에 의해, 막(96b)을 구성하는 비정질 실리콘의 에칭 반응이 일어난다(식 23, 식 24, 식 41, 식 32, 식 33). 도 2의 이점 쇄선으로 나타낸 바와 같이, 에칭 깊이가 n형 비정질 실리콘막(96b)과 비도핑 비정질 실리콘막(96a)과의 경계 부근에 달했을 때, 에칭을 정지한다. 이에 의해, 채널 부분의 n형 비정질 실리콘막(96b)을 에칭할 수 있고, 비도핑 비정질 실리콘막(96a)을 잔치(殘置)할 수 있다.The etching source gas contains little or no water (H 2 O). Therefore, the etching gas contains little or no HF and water (H 2 O). This etching gas is injected into the workpiece 90A. The etching gas contacts the exposed portion of the n-type amorphous silicon film 96b. As a result, the etching reaction of the amorphous silicon constituting the film 96b occurs (Expressions 23, 24, 41, 32, 33). As indicated by the dashed-dotted line in FIG. 2, the etching is stopped when the etching depth reaches the vicinity of the boundary between the n-type amorphous silicon film 96b and the undoped amorphous silicon film 96a. As a result, the n-type amorphous silicon film 96b in the channel portion can be etched, and the undoped amorphous silicon film 96a can be left.

비정질 실리콘막(96b)의 에칭 시에 있어서의 피처리물(90A)의 온도는 바람직하게는 50 ℃ 초과 내지 100 ℃로 하고, 보다 바람직하게는 60 ℃ 내지 80 ℃로 조절한다. 이에 의해, 비정질 실리콘의 에칭 레이트를 높게 할 수 있다(실시예 8 및 도 7 참조). 또한, 유기막(93)이 열변성을 초래하는 것을 방지할 수 있다.Preferably the temperature of the to-be-processed object 90A at the time of the etching of the amorphous silicon film 96b is made into more than 50 degreeC-100 degreeC, More preferably, it adjusts to 60 degreeC-80 degreeC. Thereby, the etching rate of amorphous silicon can be made high (refer Example 8 and FIG. 7). In addition, it is possible to prevent the organic film 93 from causing thermal modification.

피처리물(90A)의 온도 및 에칭 원료 가스의 각 성분의 유량비를 상술한 비정질 실리콘에 대한 적합 범위로 설정함으로써, 비정질 실리콘의 SiN에 대한 선택비를 크게 할 수 있다. 따라서, 채널 에칭시에 SiN막(95)이 에칭되는 것을 억제할 수 있다.By setting the temperature of the workpiece 90A and the flow rate ratio of each component of the etching source gas to a suitable range for the amorphous silicon described above, the selectivity of the amorphous silicon to SiN can be increased. Therefore, the etching of the SiN film 95 at the time of channel etching can be suppressed.

에칭 가스에는 HF 및 H2O가 거의 또는 전혀 포함되어 있지 않기 때문에 불소가 이온화해서 유기막(93)에 침투하는 것을 피할 수 있고, 유기막(93)의 계면 밀착력이 저하하는 것을 피할 수 있다. 따라서, 유기막(93)의 들뜸 및 박리를 방지할 수 있고, 유기막(93)과 금속막(97)의 밀착 상태를 유지할 수 있다. 또한, 금속막(97)이 HF에 의해 용해(에칭)되는 것을 피할 수 있다. 이에 의해, 양호한 채널 부분을 형성할 수 있다.Since little or no HF and H 2 O are contained in the etching gas, fluorine can be ionized to penetrate the organic film 93, and a decrease in the interfacial adhesion of the organic film 93 can be avoided. Therefore, lifting and peeling of the organic film 93 can be prevented and the adhesion state of the organic film 93 and the metal film 97 can be maintained. In addition, it is possible to avoid dissolving (etching) the metal film 97 by HF. As a result, a good channel portion can be formed.

본 발명은 상기 실시 형태에 한정되지 않고, 발명의 요지를 변경하지 않는 한, 다양한 개변을 이룰 수 있다.The present invention is not limited to the above embodiments, and various modifications can be made without changing the gist of the invention.

예를 들어, 유기막(93)이 피처리물(90)의 기재(91)를 구성하고 있을 수도 있다.For example, the organic film 93 may comprise the base material 91 of the to-be-processed object 90.

피처리물(90)의 주변의 분위기 가스를 건조시킴으로써, 분위기 가스 중의 수분이 피처리물(90)에 흡착하는 것을 억제 또는 방지할 수도 있다.By drying the atmospheric gas around the to-be-processed object 90, it can also suppress or prevent the adsorption | suction of the moisture in an atmospheric gas to the to-be-processed object 90. FIG.

도 1에 도시하는 플라즈마 에칭 장치(1)는 피처리물(90)이 전극간 공간(23)의 외측에 배치되는 소위 리모트식 플라즈마 처리 장치이지만, 피처리물(90)이 전극간 공간(23)의 내부에 배치되고, 플라즈마가 피처리물(90)에 직접 조사되는 소위 다이렉트식의 플라즈마 처리 장치일 수도 있다.The plasma etching apparatus 1 shown in FIG. 1 is a so-called remote plasma processing apparatus in which the workpiece 90 is disposed outside the interelectrode space 23, but the workpiece 90 is an interelectrode space 23. May be a so-called direct plasma processing apparatus in which the plasma is directly irradiated to the target object 90.

<실시예 1>  &Lt; Example 1 >

이하, 실시예를 설명한다. 본 발명이 이하의 실시예에 한정되는 것은 아니다.Examples will be described below. The present invention is not limited to the following examples.

실시예 1에서는 CF4, 산소(O2), 질소(N2)의 혼합 가스를 에칭 원료 가스로서 질화 실리콘막의 에칭 레이트를 조사했다. 에칭 원료 가스의 각 성분의 유량은 이하대로 했다.In Example 1, the etching rate of the silicon nitride film was investigated using a mixed gas of CF 4 , oxygen (O 2 ) and nitrogen (N 2 ) as an etching raw material gas. The flow rate of each component of the etching raw material gas was as follows.

CF4: 0.2 SLM CF 4 : 0.2 SLM

O2: 0.2 SLM O 2 : 0.2 SLM

N2: 0.4 SLM N 2 : 0.4 SLM

따라서, 에칭 원료 가스의 각 성분의 함유율은 CF4 25 vol%, O2 25 vol%, N2 50 vol%이었다. 에칭 원료 가스의 노점 온도는 -45 ℃ 이하이고, 에칭 원료 가스의 수분 함유량은 실질적으로 제로였다.Therefore, the content rate of each component of the etching source gas was CF 4 25 vol%, O 2 25 vol%, and N 2 50 vol%. The dew point temperature of the etching raw material gas was -45 degrees C or less, and the moisture content of the etching raw material gas was substantially zero.

[생성 공정][Production process]

상기의 에칭 원료 가스(CF4+O2+N2)를 플라즈마 생성부(20)에 의해 대기압 하에서 플라즈마화하고, 에칭 가스를 생성했다. 플라즈마 생성부(20)의 플라즈마 방전 조건은 이하와 같았다.A plasma under an atmospheric pressure by the etching source gas (CF 4 + O 2 + N 2) of the plasma generator 20, and the etching gas were generated. The plasma discharge conditions of the plasma generation unit 20 were as follows.

전극간 공간(23)의 두께: 1 mmThickness of the interelectrode space 23: 1 mm

전극(21, 21) 사이의 인가 전압: Vpp=13 kV, 40 kHz, 펄스파Voltage applied between electrodes 21 and 21: Vpp = 13 kV, 40 kHz, pulse wave

분출 노즐(24)의 개구 폭(도 1의 지면과 직교하는 방향의 치수)은 100 mm이었다.The opening width (dimensions in the direction orthogonal to the surface of FIG. 1) of the jet nozzle 24 was 100 mm.

[에칭 반응 공정] [Etching reaction step]

한변이 5 cm인 정사각형의 유리 기재(91) 상에 질화 실리콘막(92)이 피막된 피처리물(90)을 스테이지(2)에 실어서 분출부(24)의 아랫쪽으로 이동시킨 후, 정지시킨 상태(고정 처리 방법)에서, 분출부(24)로부터 상기 에칭 가스를 분사했다. 처리 시간은 1분으로 했다. 피처리물(90)의 온도는 실온으로 했다.After the workpiece 90 having the silicon nitride film 92 coated thereon is mounted on the stage 2 on the square glass substrate 91 having one side of 5 cm, the substrate 90 is moved to the lower side of the ejection section 24, and then stopped. In the state (fixed processing method), the etching gas was injected from the blowing section 24. The processing time was 1 minute. The temperature of the to-be-processed object 90 was room temperature.

도 3에 도시한 바와 같이, 질화 실리콘막의 에칭 레이트를 측정한 바, 280 nm/분이 되었다. 반도체 장치나 액정 표시 장치의 제조 공정에 있어서 충분히 실용 가능한 에칭 레이트였다.As shown in FIG. 3, when the etching rate of the silicon nitride film was measured, it became 280 nm / min. It was the etching rate which can be fully practical in the manufacturing process of a semiconductor device and a liquid crystal display device.

[비교예 1-1] [Comparative Example 1-1]

비교예로서 CF4, 산소(O2), 아르곤(Ar)을 혼합한 에칭 원료 가스를 실시예 1과 같은 플라즈마 처리 조건으로 플라즈마화하고, 또한 실시예 1과 같은 고정 처리 방법, 처리 시간, 온도 조건에서 실시예 1과 동일 구조의 피처리물(90)에 대하여 에칭 반응 공정을 행했다. 에칭 원료 가스의 각 성분의 유량은 이하와 같았다.As a comparative example, the etching source gas in which CF 4 , oxygen (O 2 ) and argon (Ar) were mixed was plasmatized under the same plasma treatment conditions as in Example 1, and the same fixed treatment method as in Example 1, treatment time, temperature The etching reaction process was performed with respect to the to-be-processed object 90 of the same structure as Example 1 under conditions. The flow rate of each component of the etching raw material gas was as follows.

CF4: 0.2 SLM CF 4 : 0.2 SLM

O2: 0.2 SLM O 2 : 0.2 SLM

Ar: 0.4 SLM  Ar: 0.4 SLM

[비교예 1-2] Comparative Example 1-2

다른 비교예로서, 에칭 가스에 오존(O3)을 더욱 첨가했다. 오존은 산소(O2)를 원료로 해서 오조나이저에서 생성했다. 오조나이저에서의 출력 가스(O2+O3)의 유량은 0.2 SLM이며 그 오존 농도는 200 g/m3이었다. 별도, 상기 비교예 1-1과 동일한 조성의 에칭 원료 가스(CF4:0.2 SLM, O2:0.2 SLM, Ar:0.4 SLM)를 실시예 1과 같은 플라즈마 처리 조건으로 플라즈마 생성부(20)에서 플라즈마화했다. 그리고, 플라즈마 생성부(20)에서의 가스와 오조나이저에서의 가스(O2+O3)를 혼합하고, 이 혼합 가스를 피처리물(90)에 분사하여, 실시예 1과 같은 고정 처리 방법, 처리 시간, 온도 조건에서 실시예 1과 동일 구조의 피처리물(90)에 대하여 에칭 반응 공정을 행했다.As another comparative example, ozone (O 3 ) was further added to the etching gas. Ozone was produced in an ozonizer using oxygen (O 2 ) as a raw material. The flow rate of the output gas (O 2 + O 3 ) in the ozonizer was 0.2 SLM and its ozone concentration was 200 g / m 3 . Separately, the etching source gas (CF 4 : 0.2 SLM, O 2 : 0.2 SLM, Ar: 0.4 SLM) having the same composition as that of Comparative Example 1-1 was used in the plasma generating unit 20 under the same plasma processing conditions as in Example 1. Plasmaization. Then, the gas in the plasma generating unit 20 and the gas (O 2 + O 3 ) in the ozoneizer are mixed, and the mixed gas is injected into the object 90 to be treated, and the fixed processing method as in Example 1 is used. , The etching reaction process was performed on the to-be-processed object 90 of the same structure as Example 1 on process time and temperature conditions.

도 3은 실시예 1 및 상기 2개의 비교예 1-1, 1-2의 에칭 레이트를 비교한 것이다. 실시예 1에 의하면, 비교예 1-1, 1-2에 대하여 약 25배 크기의 고속 에칭 레이트를 얻을 수 있었다. 실시예 1의 산화질소(NOx)에 의한 산화 작용을 경유하는 쪽이, 비교예 1-2의 오존에 의한 산화 작용을 경유하는 쪽보다도, 에칭 레이트의 향상 효과가 훨씬 큰 것이 확인되었다.3 compares the etching rates of Example 1 and the two Comparative Examples 1-1 and 1-2. According to Example 1, a fast etching rate of about 25 times the size of Comparative Examples 1-1 and 1-2 was obtained. It was confirmed that the way of oxidizing with nitric oxide (NOx) of Example 1 was much more effective in improving the etching rate than the way of oxidizing with ozone of Comparative Example 1-2.

<실시예 2> <Example 2>

실시예 2에서는 이하와 같이 에칭 원료 가스 중의 CF4 및 O2의 유량을 일정하게 하고, 질소의 유량을 바꾸어서 질화 실리콘막의 에칭 레이트를 조사했다. 에칭 원료 가스의 각 성분의 유량은 이하대로 했다.In Example 2, the flow rate of CF 4 and O 2 in the etching raw material gas was made constant, and the flow rate of nitrogen was changed to investigate the etching rate of the silicon nitride film. The flow rate of each component of the etching raw material gas was as follows.

CF4: 0.2 SLM CF 4 : 0.2 SLM

O2: 0.2 SLM O 2 : 0.2 SLM

N2: 0 내지 1.5 SLM N 2 : 0 to 1.5 SLM

즉, 에칭 원료 가스(CF4+O2+N2)의 각 성분의 함유율을 CF4 약 10 vol% 내지 50 vol%, O2 약 10 vol% 내지 50 vol%, N2 0 내지 약 80 vol%의 범위로 조절했다. 에칭 원료 가스 중의 CF4와 산소의 부피 유량비는 CF4:O2=1:1이었다. 에칭 원료 가스의 노점 온도는 -45 ℃ 이하이고, 에칭 원료 가스의 수분 함유량은 실질적으로 제로였다.That is, the content rate of each component of the etching raw material gas (CF 4 + O 2 + N 2 ) is CF 4 about 10 vol% to 50 vol%, O 2 about 10 vol% to 50 vol%, N 2 0 to about 80 vol It adjusted to the range of%. Volume flow ratio of CF 4 and oxygen in the etching source gas is CF 4: O 2 = 1: 1. The dew point temperature of the etching raw material gas was -45 degrees C or less, and the moisture content of the etching raw material gas was substantially zero.

[생성 공정] [Production process]

상기 에칭 원료 가스를 플라즈마 생성부(20)에 의해 대기압 하에서 플라즈마화하고, 에칭 가스를 생성했다. 플라즈마 생성부(20)의 플라즈마 방전 조건은 이하와 같았다.The etching raw material gas was converted into plasma under atmospheric pressure by the plasma generating unit 20 to generate an etching gas. The plasma discharge conditions of the plasma generation unit 20 were as follows.

전극간 공간(23)의 두께: 1 mm  Thickness of the interelectrode space 23: 1 mm

전극(21, 21)간의 인가 전압: Vpp=13 kV, 40 kHz, 펄스파  Voltage applied between electrodes 21 and 21: Vpp = 13 kV, 40 kHz, pulse wave

분출 노즐(24)의 개구 폭(도 1의 지면과 직교하는 방향의 치수)은 100 mm이었다. The opening width (dimensions in the direction orthogonal to the surface of FIG. 1) of the jet nozzle 24 was 100 mm.

[에칭 반응 공정] [Etching reaction step]

한변이 5 cm인 정사각형의 유리 기재(91) 상에 질화 실리콘막(92)이 피막된 피처리물(90)을 스테이지(2)에 싣고, 분출부(24)의 아랫쪽을 복수회 왕복해서 통과시키면서(스캔 처리 방법), 분출부(24)로부터 상기 에칭 가스를 분사했다. 피처리물(90)의 반송 속도는 4 m/분으로 했다. 피처리물(90)의 온도는 실온으로 했다.The workpiece 90 having the silicon nitride film 92 coated thereon is mounted on the stage 2 on a square glass substrate 91 having one side of 5 cm, and the lower portion of the ejection portion 24 is reciprocated through a plurality of times. While etching (scan processing method), the etching gas was injected from the blowing section 24. The conveyance speed of the to-be-processed object 90 was 4 m / min. The temperature of the to-be-processed object 90 was room temperature.

왕복의 편도 이동을 1 스캔으로 하여 50회의 스캔을 행했다. 그 후, 질화 실리콘막의 에칭량을 측정하고, 이를 스캔 횟수(50회)로 나누어 1 스캔당 에칭 레이트를 산출했다.Fifty scans were performed by making one scan the round trip. Then, the etching amount of the silicon nitride film was measured, and this was divided by the number of scans (50 times) to calculate the etching rate per scan.

실시예 2의 결과를 도 4에 도시한다.The result of Example 2 is shown in FIG.

에칭 원료 가스(CF4+O2+N2)의 각 성분의 함유율을 CF4 10 vol% 내지 40 vol% 정도, O2 10 vol% 내지 40 vol% 정도, N2 20 vol% 내지 80 vol% 정도로 하면, 어느 정도 크기의 에칭 레이트를 얻을 수 있었다. CF4 10 vol% 내지 35 vol% 정도, O2 10 vol% 내지 35 vol% 정도, N2 30 vol% 내지 80 vol% 정도로 하면 비교적 높은 에칭 레이트를 얻을 수 있었다. 또한, 질소 함유율이 40 vol% 내지 70 vol% 정도의 범위로 에칭 레이트를 충분히 높게 할 수 있었다. 질소 함유율이 50 vol% 내지 60 vol% 정도의 범위로 에칭 레이트를 최대로 할 수 있었다.The content of each component of the etching source gas (CF 4 + O 2 + N 2 ) is CF 4 10 vol% to 40 vol%, O 2 10 vol% to 40 vol%, N 2 If it was about 20 vol%-80 vol%, the etching rate of a some magnitude was obtained. CF 4 10 vol% to about 35 vol%, O 2 10 vol% to about 35 vol%, N 2 30 vol% to 80 vol% or so can be obtained a relatively high etching rate. Moreover, the etching rate could be made high enough in the range whose nitrogen content rate is about 40 vol%-about 70 vol%. The etching rate was able to be maximized in the range whose nitrogen content rate is about 50 vol%-about 60 vol%.

<실시예 3> <Example 3>

실시예 3에서는, 유기막(93)을 포함하는 피처리물(90)에 대하여, 에칭 처리를 행하고, 유기막(93)에의 영향을 조사했다. 피처리물(90)로서, 한변이 5 cm인 정사각형의 유리 기재(91) 상에 질화 실리콘막(92), 유기막(93)이 적층된 샘플을 사용했다. 실리콘 함유막(92)은 질화 실리콘막으로 하고 유기막(93)은 아크릴 수지막으로 했다.In Example 3, the to-be-processed object 90 containing the organic film 93 was etched, and the influence on the organic film 93 was investigated. As the to-be-processed object 90, the sample which laminated | stacked the silicon nitride film 92 and the organic film 93 on the square glass base material 91 whose one side is 5 cm was used. The silicon-containing film 92 was a silicon nitride film and the organic film 93 was an acrylic resin film.

에칭 원료 가스의 각 성분의 유량은 이하대로 했다.The flow rate of each component of the etching raw material gas was as follows.

CF4: 0.2 SLM CF 4 : 0.2 SLM

O2: 0.2 SLM O 2 : 0.2 SLM

N2: 0.4 SLM N 2 : 0.4 SLM

따라서, 에칭 원료 가스의 각 성분의 함유율은 CF4 25 vol%, O2 25 vol%, N2 50 vol%이었다. 에칭 원료 가스의 노점 온도는 -45 ℃ 이하이고, 에칭 원료 가스의 수분 함유량은 실질적으로 제로였다.Therefore, the content rate of each component of the etching source gas was CF 4 25 vol%, O 2 25 vol%, and N 2 50 vol%. The dew point temperature of the etching raw material gas was -45 degrees C or less, and the moisture content of the etching raw material gas was substantially zero.

[생성 공정] [Production process]

상기 에칭 원료 가스(CF4+O2+N2)를 플라즈마 생성부(20)에 의해 대기압 하에서 플라즈마화하고, 에칭 가스를 생성했다. 플라즈마 생성부(20)의 플라즈마 방전 조건은 이하와 같았다.The etching raw material gas (CF 4 + O 2 + N 2 ) was converted into plasma under atmospheric pressure by the plasma generating unit 20 to generate an etching gas. The plasma discharge conditions of the plasma generation unit 20 were as follows.

전극간 공간(23)의 두께: 1 mm  Thickness of the interelectrode space 23: 1 mm

전극(21, 21)간의 인가 전압: Vpp=13 kV, 40 kHz, 펄스파  Voltage applied between electrodes 21 and 21: Vpp = 13 kV, 40 kHz, pulse wave

분출 노즐(24)의 개구 폭(도 1의 지면과 직교하는 방향의 치수)은 100 mm이었다. The opening width (dimensions in the direction orthogonal to the surface of FIG. 1) of the jet nozzle 24 was 100 mm.

[에칭 반응 공정] [Etching reaction step]

질화 실리콘막이 피막된 피처리물(90)을 스테이지(2)에 실어서 분출부(24)의 아랫쪽으로 이동시킨 후, 정지시킨 상태(고정 처리 방법)에서, 분출부(24)로부터 상기 에칭 가스를 분사했다. 처리 시간은 이하의 6가지로 했다.The workpiece 90 having the silicon nitride film coated thereon is placed on the stage 2 and moved to the lower side of the ejection section 24. Then, in the stopped state (fixed processing method), the etching gas is ejected from the ejection section 24. Sprayed. Treatment time was made into the following six types.

처리 시간: 5초, 10초, 20초, 60초, 90초, 120초. Processing time: 5 seconds, 10 seconds, 20 seconds, 60 seconds, 90 seconds, 120 seconds.

피처리물(90)의 온도는 이하의 3가지로 했다. 피처리물(90)의 가열은 스테이지(2)를 통해서 행했다.The temperature of the to-be-processed object 90 was made into the following three things. The heating of the to-be-processed object 90 was performed through the stage 2.

피처리물 온도: 실온(RT), 50 ℃, 80 ℃Workpiece temperature: room temperature (RT), 50 ° C, 80 ° C

표 1은 각 처리 시간 및 각 피처리물 온도에서의 유기막(93)의 들뜸 및 박리 상황의 검사 결과를 정리한 것이다.Table 1 puts together the inspection result of the lifting | fusing and peeling condition of the organic film 93 at each processing time and each to-be-processed object temperature.

Figure pct00020
Figure pct00020

HF 및 수분 함유량이 실질 제로인 에칭 가스를 사용함으로써, 분사 시간이 10초 정도가 되어도 유기막의 들뜸 및 박리는 보이지 않았다. 덧붙여서 말하면, CF4에 H2O를 첨가해 플라즈마화해서 생성한 HF를 사용한 에칭 반응(식 2, 3)에서는 H2O의 첨가량이 노점 온도 0 ℃ 정도에서도, HF를 포함하는 에칭 가스의 분사 개시로부터 수초에 유기막의 들뜸이 발생한다.By using the etching gas whose HF and water content were substantially zero, the organic film was not lifted and peeled even if the injection time was about 10 seconds. Incidentally, in the etching reaction using HF generated by adding H 2 O to plasma to CF 4 (Equations 2 and 3), even when the amount of H 2 O is added, even at a dew point temperature of about 0 ° C., injection of etching gas containing HF is performed. A few seconds after the start, the organic film is lifted up.

실온에서 처리 시간이 길어지면, 대기 중의 수분이 피처리물(90)에 흡착할 가능성이 높아져, 유기막의 들뜸 및 박리가 일어났다. 그러나, 피처리물(90)을 가열함으로써, 처리 시간이 길어져도 유기막의 들뜸 및 박리를 억제 또는 방지할 수 있는 것이 확인되었다.When the treatment time was long at room temperature, the possibility of the moisture in the atmosphere adsorbed to the object to be processed 90 became high, and the organic film was lifted and peeled off. However, by heating the to-be-processed object 90, it was confirmed that lifting and peeling of an organic film can be suppressed or prevented even if processing time becomes long.

<실시예 4> <Example 4>

실시예 4에서는 에칭 원료 가스(CF4+O2+N2)의 전체 유량 및 N2 유량을 일정하게 하고, CF4와 O2의 유량비를 바꾸어서 질화 실리콘의 에칭 레이트를 조사했다. 에칭 원료 가스의 전체 유량은 8 SLM으로 했다. CF4와 O2의 합계 유량은 0.4 SLM(일정)으로 했다. N2의 유량은 0.4 SLM(일정)으로 했다. 에칭 원료 가스의 각 성분의 함유율을 CF4 약 12 vol% 내지 약 45 vol%, O2 약 5 vol% 내지 약 38 vol%, N2 50 vol%(일정)의 범위로 조절했다. CF4와 O2의 합계와 N2의 부피 유량비는 (CF4+O2):N2=50:50이었다. 에칭 원료 가스의 노점 온도는 -45 ℃ 이하이고, 에칭 원료 가스의 수분 함유량은 실질적으로 제로였다.In Example 4, the total flow rate and N 2 flow rates of the etching source gas (CF 4 + O 2 + N 2 ) were made constant, and the etching rate of silicon nitride was investigated by changing the flow rate ratio of CF 4 and O 2 . The total flow rate of the etching raw material gas was 8 SLM. The total flow rate of CF 4 and O 2 was 0.4 SLM (constant). The flow rate of N 2 was 0.4 SLM (constant). It was adjusted to a content of each component in the etching source gas in the range of about 12 vol% CF 4 and about 45 vol%, O 2 of about 5 vol% to about 38 vol%, N 2 50 vol % ( constant). The volume flow ratio ratio of the total of CF 4 and O 2 to N 2 was (CF 4 + O 2 ): N 2 = 50: 50. The dew point temperature of the etching raw material gas was -45 degrees C or less, and the moisture content of the etching raw material gas was substantially zero.

[생성 공정] [Production process]

상기 에칭 원료 가스를 플라즈마 생성부(20)에 의해 대기압 하에서 플라즈마화하고, 에칭 가스를 생성했다. 플라즈마 생성부(20)의 플라즈마 방전 조건은 이하와 같았다.The etching raw material gas was converted into plasma under atmospheric pressure by the plasma generating unit 20 to generate an etching gas. The plasma discharge conditions of the plasma generation unit 20 were as follows.

전극간 공간(23)의 두께: 1 mm Thickness of the interelectrode space 23: 1 mm

전극(21, 21)간의 인가 전압: Vpp=13 kV, 40 kHz, 펄스파 Voltage applied between electrodes 21 and 21: Vpp = 13 kV, 40 kHz, pulse wave

분출 노즐(24)의 개구 폭(도 1의 지면과 직교하는 방향의 치수)은 100 mm이었다.The opening width (dimensions in the direction orthogonal to the surface of FIG. 1) of the jet nozzle 24 was 100 mm.

[에칭 반응 공정] [Etching reaction step]

한변이 5 cm인 정사각형의 유리 기재(91) 상에 질화 실리콘막(92)이 피막된 피처리물(90)을 스테이지(2)에 실어서 분출부(24)의 아랫쪽으로 이동시킨 후, 정지시킨 상태(고정 처리 방법)에서, 분출부(24)에서 상기 에칭 가스를 분사하고, 에칭 레이트를 측정했다. 처리 시간은 1분으로 했다. 피처리물(90)의 온도는 실온으로 했다.After the workpiece 90 having the silicon nitride film 92 coated thereon is mounted on the stage 2 on the square glass substrate 91 having one side of 5 cm, the substrate 90 is moved to the lower side of the ejection section 24, and then stopped. In the state (fixed processing method), the said etching gas was sprayed in the blowing part 24, and the etching rate was measured. The processing time was 1 minute. The temperature of the to-be-processed object 90 was room temperature.

도 5는 에칭 레이트의 측정 결과를 CF4와 O2의 유량비를 횡축으로 해서 나타낸 것이다. 실시예 4의 모든 유량비 범위 내에서 어느 정도의 에칭 레이트를 얻을 수 있었다. CF4와 O2의 합계에 대한 O2의 비율이 25 vol% 내지 60 vol%의 범위에서 비교적 높은 에칭 레이트를 얻을 수 있었다. 또한 O2의 비율이 40 vol% 내지 60 vol%의 범위에서 에칭 레이트를 충분히 높게 할 수 있었다. 즉, 에칭 원료 가스의 전 유량에 대하여 CF4 20 vol% 내지 38 vol% 정도, O2 12 vol% 내지 30 vol% 정도의 범위로 비교적 높은 에칭 레이트를 얻을 수 있었다. 또한 에칭 원료 가스의 전 유량에 대하여 CF4 20 vol% 내지 30 vol% 정도, O2 20 vol% 내지 30 vol% 정도의 범위로 에칭 레이트를 충분히 높게 할 수 있었다. O2가 적은 영역 및 CF4가 적은 영역에서는 에칭 레이트가 비교적 낮아졌다. 이것은 COF2, OF2, O2F2 등의 산소 함유 불소계 활성종의 생성량이 줄어들기 때문이라고 생각된다.5 shows the measurement results of the etching rate with the flow rate ratio of CF 4 and O 2 as the horizontal axis. The etching rate of some extent was obtained in all the flow ratio ratio ranges of Example 4. The ratio of O 2 to the sum of CF 4 and O 2 could be obtained a relatively high etching rate in a range of 25 vol% to 60 vol%. In addition, the ratio of O 2 could be increased sufficiently to an etching rate in a range of 40 vol% to 60 vol%. That is, CF 4 with respect to the total flow rate of the etching source gas A relatively high etching rate was obtained in the range of about 20 vol% to 38 vol% and about O 2 12 vol% to 30 vol%. In addition, CF 4 with respect to the total flow rate of the etching source gas 20 vol% to about 30 vol%, O 2 20 was sufficiently possible to increase the etching rate in vol% to 30 vol% of the extent. In the region with little O 2 and the region with little CF 4 , the etching rate was relatively low. This is considered to be because the amount of generation of oxygen-containing fluorine-based active species such as COF 2 , OF 2 , and O 2 F 2 is reduced.

<실시예 5> Example 5

실시예 5에서는 질화 실리콘을 에칭 대상으로 했다. 유리 기판(91)에 질화 실리콘이 피막된 샘플(90)을 준비했다. 샘플(90)의 크기는 50 mm×50 mm이었다. 이 샘플(90)을 플라즈마 에칭 장치(1)의 스테이지(2)에 설치하고, 에칭 가스를 분사했다.In Example 5, silicon nitride was used as an etching target. The sample 90 in which the silicon nitride was coated on the glass substrate 91 was prepared. The size of the sample 90 was 50 mm x 50 mm. This sample 90 was installed in the stage 2 of the plasma etching apparatus 1, and the etching gas was injected.

샘플(90)의 온도는 90 ℃로 했다.The temperature of the sample 90 was 90 degreeC.

에칭 원료 가스의 각 성분의 유량은 이하대로 했다.The flow rate of each component of the etching raw material gas was as follows.

CF4: 0.3 SLM CF 4 : 0.3 SLM

O2: 0.1 SLM O 2 : 0.1 SLM

N2: 0.2 SLM N 2 : 0.2 SLM

에칭 원료 가스의 노점 온도는 -45 ℃ 이하이고, 에칭 원료 가스의 수분 함유량은 실질적으로 제로였다.The dew point temperature of the etching raw material gas was -45 degrees C or less, and the moisture content of the etching raw material gas was substantially zero.

플라즈마 생성부(20)의 플라즈마 방전 조건은 이하와 같았다.The plasma discharge conditions of the plasma generation unit 20 were as follows.

전극간 갭(23)의 두께: 1 mm Thickness of the inter-electrode gap 23: 1 mm

투입 전력: 325 W(직류 130 V, 2.5 A를 펄스 변환) Input power: 325 W (pulse conversion of DC 130 V, 2.5 A)

전극(21, 21)간의 인가 전압 및 주파수: Vpp=15 kV, 40 kHz Voltage and frequency applied between electrodes 21 and 21: Vpp = 15 kV, 40 kHz

분출 노즐(24)의 개구 폭(도 1의 지면과 직교하는 방향의 치수)은 100 mm이었다.The opening width (dimensions in the direction orthogonal to the surface of FIG. 1) of the jet nozzle 24 was 100 mm.

에칭 시간은 60초로 하고 막(92)이 완전히 제거되지 않은 단계에서 에칭을 정지했다.The etching time was 60 seconds and the etching was stopped at the stage where the film 92 was not completely removed.

에칭 처리 전과 처리 후의 샘플(90)의 표면의 조성을 XPS(X-ray photoelectron Spectroscopy)로 분석했다. XPS로서, 크라토스(Kratos)사 제조, 형번 AXIS-165를 사용했다. The composition of the surface of the sample 90 before and after the etching treatment was analyzed by X-ray photoelectron spectroscopy (XPS). As XPS, model number AXIS-165 by Kratos Corporation was used.

분석 결과를 표 2에 나타낸다.Table 2 shows the analysis results.

처리 전의 샘플의 표면 조성은 산소가 36.24 %, 질소가 24.69 %이었던 것에 대해, 처리 후의 샘플의 표면 조성은 산소가 62.57 %, 질소가 1.81 %이었다.The surface composition of the sample before the treatment was 36.24% oxygen and 24.69% nitrogen, whereas the surface composition of the sample after the treatment was 62.57% oxygen and 1.81% nitrogen.

Figure pct00021
Figure pct00021

도 6은 실시예 5의 샘플의 처리 전과 처리 후의 XPS 스펙트럼의 측정 결과를 나타낸 것이다.FIG. 6 shows measurement results of XPS spectra before and after treatment of the sample of Example 5. FIG.

처리 전은 Si-N 결합의 피크가 현저하게 나타나고 있었지만, 처리 후는 Si-N 결합의 피크가 거의 없어지고, 이 대신에 Si-O 결합의 피크가 현저하게 나타났다.Before the treatment, the peak of the Si-N bond was remarkable, but after the treatment, the peak of the Si-N bond disappeared almost, and instead, the peak of the Si-O bond was remarkable.

이상의 결과에서 에칭시에 질화 실리콘의 산화 반응이 일어나는 것이 밝혀졌다.From the above results, it was found that the oxidation reaction of silicon nitride occurs at the time of etching.

<실시예 6> <Example 6>

실시예 6에서는, 질화 실리콘(SiNx)을 에칭 대상으로 하고, 에칭 원료 가스(CF4+O2+N2)의 각 성분의 유량비와 에칭 레이트와의 관계를 조사했다. 유리 기판(91)에 질화 실리콘을 포함하는 막(92)이 피막된 샘플(90)을 준비했다. 각 샘플(90)의 크기는 50 mm×50 mm이었다. 샘플(90)을 플라즈마 에칭 장치(1)의 스테이지(2)에 설치하고, 에칭 가스를 분사했다.In Example 6, silicon nitride (SiNx) was used as an etching target, and the relationship between the flow rate ratio of each component of the etching source gas (CF 4 + O 2 + N 2 ) and the etching rate was investigated. The sample 90 in which the film 92 containing silicon nitride was coated on the glass substrate 91 was prepared. The size of each sample 90 was 50 mm x 50 mm. The sample 90 was installed in the stage 2 of the plasma etching apparatus 1, and the etching gas was injected.

에칭 원료 가스의 전체 유량이 1 SLM이 되도록, 에칭 원료 가스의 3개의 성분의 유량을 표 3에 나타낸 바와 같이 서로 조절했다. 에칭 원료 가스의 노점 온도는 -45 ℃ 이하이고, 에칭 원료 가스의 수분 함유량은 실질적으로 제로였다.The flow rates of the three components of the etching source gas were adjusted to each other as shown in Table 3 so that the total flow rate of the etching source gas was 1 SLM. The dew point temperature of the etching raw material gas was -45 degrees C or less, and the moisture content of the etching raw material gas was substantially zero.

샘플(90)의 설정 온도는 100 ℃로 했다.The set temperature of the sample 90 was 100 degreeC.

플라즈마 생성부(20)과 샘플 기판 90과의 상대 이동 속도는 10 mm/초로 했다.The relative moving speed between the plasma generating unit 20 and the sample substrate 90 was 10 mm / second.

플라즈마 생성부(20)의 플라즈마 조건은 이하와 같았다.The plasma conditions of the plasma generation unit 20 were as follows.

전극간 갭(23)의 두께: 1 mm Thickness of the inter-electrode gap 23: 1 mm

투입 전력: 325 W(직류 130 V, 2.5 A를 펄스 변환) Input power: 325 W (pulse conversion of DC 130 V, 2.5 A)

전극(21, 21)간의 인가 전압 및 주파수: Vpp=15 kV, 40 kHz Voltage and frequency applied between electrodes 21 and 21: Vpp = 15 kV, 40 kHz

분출 노즐(24)의 개구 폭(도 1의 지면과 직교하는 방향의 치수)은 100 mm이었다.The opening width (dimensions in the direction orthogonal to the surface of FIG. 1) of the jet nozzle 24 was 100 mm.

실시예 6의 에칭 레이트의 측정 결과를 표 3에 나타낸다. 표 3의 에칭 레이트는 샘플(90)을 플라즈마 생성부(20)에 대하여 1회만 도 1의 좌우 방향으로 편도 이동시켰을 때의 에칭량이다.Table 3 shows the measurement results of the etching rate of Example 6. The etching rate of Table 3 is the amount of etching when the sample 90 is moved one-way in the left-right direction of FIG. 1 with respect to the plasma generation | generation part 20 only once.

Figure pct00022
Figure pct00022

상기의 결과에서 에칭 원료 가스 중의 CF4를 7 내지 80 부피%, N2를 7 내지 80 부피%, O2를 5 내지 60 부피%로 하면, SiNx를 어느 정도의 에칭 레이트로 에칭할 수 있는 것이 확인되었다. 또한, 에칭 원료 가스 중의 O2를 45 부피% 이하, 바람직하게는 30 부피% 이하, 보다 바람직하게는 20 부피% 이하로 하면, 고 에칭 레이트를 확보할 수 있었다.According to the above results, if CF 4 in the etching source gas is 7 to 80% by volume, N 2 is 7 to 80% by volume and O 2 is 5 to 60% by volume, it is possible to etch SiNx at a certain etching rate. Confirmed. In addition, when the O 2 in the etching raw material gas was 45 vol% or less, preferably 30 vol% or less, more preferably 20 vol% or less, a high etching rate could be ensured.

<실시예 7> <Example 7>

실시예 7에서는 비정질 실리콘(a-Si)을 에칭 대상으로 하고, 에칭 원료 가스(CF4+O2+N2)의 각 성분의 유량비와 에칭 레이트와의 관계를 조사했다. 유리 기판(91)에 비정질 실리콘을 포함하는 막(92)이 피막된 샘플(90)을 준비했다. 샘플(90)을 플라즈마 에칭 장치(1)의 스테이지(2)에 설치하고, 에칭 가스를 분사했다. 그 밖의 처리 조건은 실시예 6과 동일하게 했다.In Example 7, the amorphous silicon (a-Si) was used as the etching target, and the relationship between the flow rate ratio and the etching rate of each component of the etching source gas (CF 4 + O 2 + N 2 ) was investigated. The sample 90 in which the film 92 containing amorphous silicon was coated on the glass substrate 91 was prepared. The sample 90 was installed in the stage 2 of the plasma etching apparatus 1, and the etching gas was injected. Other processing conditions were the same as in Example 6.

실시예 7의 에칭 레이트의 측정 결과를 표 4에 나타낸다. 표 4의 에칭 레이트는 샘플(90)을 플라즈마 생성부(20)에 대하여 1회만 도 1의 좌우 방향으로 편도 이동시켰을 때의 에칭량이다.Table 4 shows the measurement results of the etching rate of Example 7. The etching rate of Table 4 is the amount of etching when the sample 90 is moved one-way in the left-right direction of FIG. 1 with respect to the plasma generation | generation part 20 only once.

Figure pct00023
Figure pct00023

상기의 결과에서 에칭 원료 가스 중의 CF4를 7 내지 80 부피%, N2를 7 내지 80 부피%, O2를 5 내지 60 부피%로 하면, 비정질 실리콘을 어느 정도의 에칭 레이트로 에칭할 수 있는 것이 확인되었다. 또한, 에칭 원료 가스 중의 CF4를 20 부피% 이상, N2를 60 부피% 이하로 하면 고 에칭 레이트를 확보할 수 있었다. 또한, 에칭 원료 가스 내의 CF4를 40 부피% 이상, N2를 40 부피% 이하, O2를 40 부피% 이하로 하면 고 에칭 레이트를 확실하게 얻을 수 있었다.According to the above results, when amorphous silicon is etched at a certain etching rate when CF 4 in the etching source gas is 7 to 80% by volume, N 2 is 7 to 80% by volume, and O 2 is 5 to 60% by volume. It was confirmed. Further, when the high CF 4 in the etching source gas 20 vol% or more, N 2 to 60% by volume was possible to secure the etching rate. In addition, it was high when the CF 4 in the etching source gas of 40 vol% or more, N 2 40 vol%, O 2 40% by volume or less reliably obtain the etching rate.

<실시예 8> &Lt; Example 8 >

실시예 8에서는 비정질 실리콘(a-Si)을 에칭 대상으로 했다. 유리 기판(91)에 비정질 실리콘을 포함하는 막(92)이 피막된 샘플(90)을 준비했다. 샘플(90)의 크기는 50 mm×50 mm이었다. 이 샘플(90)을 플라즈마 에칭 장치(1)의 스테이지(2)에 설치하고, 에칭 가스를 분사했다. 히터(4)에 의해, 샘플(90)의 온도를 30 ℃ 내지 100 ℃의 범위로 조절했다.In Example 8, amorphous silicon (a-Si) was etched. The sample 90 in which the film 92 containing amorphous silicon was coated on the glass substrate 91 was prepared. The size of the sample 90 was 50 mm x 50 mm. This sample 90 was installed in the stage 2 of the plasma etching apparatus 1, and the etching gas was injected. By the heater 4, the temperature of the sample 90 was adjusted to the range of 30 degreeC-100 degreeC.

에칭 원료 가스의 각 성분의 유량은 이하대로 했다.The flow rate of each component of the etching raw material gas was as follows.

CF4: 0.2 SLM CF 4 : 0.2 SLM

O2: 0.2 SLM O 2 : 0.2 SLM

N2: 0.2 SLM N 2 : 0.2 SLM

에칭 원료 가스의 노점 온도는 -45 ℃ 이하이고, 에칭 원료 가스의 수분 함유량은 실질적으로 제로였다.The dew point temperature of the etching raw material gas was -45 degrees C or less, and the moisture content of the etching raw material gas was substantially zero.

플라즈마 생성부(20)의 플라즈마 방전 조건은 이하와 같았다.The plasma discharge conditions of the plasma generation unit 20 were as follows.

전극간 갭(23)의 두께: 1 mm Thickness of the inter-electrode gap 23: 1 mm

투입 전력: 325 W(직류 130 V, 2.5 A를 펄스 변환) Input power: 325 W (pulse conversion of DC 130 V, 2.5 A)

전극(21, 21)간의 인가 전압 및 주파수: Vpp=15 kV, 40 kHz Voltage and frequency applied between electrodes 21 and 21: Vpp = 15 kV, 40 kHz

분출 노즐(24)의 개구 폭(도 1의 지면과 직교하는 방향의 치수)은 100 mm이었다.The opening width (dimensions in the direction orthogonal to the surface of FIG. 1) of the jet nozzle 24 was 100 mm.

도 7은 각 온도 조건에서의 에칭 레이트의 측정 결과를 나타낸 것이다.7 shows measurement results of etching rates under respective temperature conditions.

상온 가까이(30 ℃ 정도)에서도, 비정질 실리콘을 에칭할 수 있는 것이 확인되었다.It was confirmed that amorphous silicon can be etched even near room temperature (about 30 degreeC).

50 ℃를 초과하면, 에칭 레이트가 크게 향상했다. 특히 60 ℃ 내지 80 ℃의 온도 범위에서는 에칭 레이트를 충분히 높게 할 수 있었다.When it exceeds 50 degreeC, the etching rate improved significantly. Especially in the temperature range of 60 degreeC-80 degreeC, the etching rate could be made high enough.

80 ℃를 초과하고, 100 ℃에서도 충분한 에칭 레이트가 얻어졌다.Exceeding 80 degreeC and sufficient etching rate was obtained also at 100 degreeC.

따라서, 에칭 대상의 실리콘 함유막(92)이 비정질 실리콘일 경우, 온도 조절 공정에 있어서 피처리물의 온도를 50 ℃ 초과 내지 100 ℃로 하는 것이 바람직하고, 60 ℃ 내지 80 ℃로 하는 것이 보다 바람직하다.Therefore, when the silicon-containing film 92 to be etched is amorphous silicon, the temperature of the object to be treated is preferably more than 50 ° C to 100 ° C, more preferably 60 ° C to 80 ° C in the temperature control step. .

또한, 어느 온도 조건에서도 마스크(93)의 들뜸 및 박리는 거의 확인되지 않았다.Further, lifting and peeling of the mask 93 were hardly confirmed under any temperature conditions.

본 발명은, 예를 들어 액정 표시 장치의 편광 필름의 제조나 반도체 장치의 제조에 적용할 수 있다.This invention is applicable to manufacture of the polarizing film of a liquid crystal display device, and manufacture of a semiconductor device, for example.

1 플라즈마 에칭 장치
2 지지부
3 에칭 가스 공급계
4 온도 조절 수단
10 에칭 원료 가스 공급계
11 불소계 원료 공급부
12 산소 공급부
13 질소 공급부
20 플라즈마 생성부
21 전극
22 전원
23 전극간의 플라즈마 공간
24 분출 노즐
90, 90A 피처리물
91 기재
92 실리콘 함유막
93 유기막
94 게이트 게이트 배선
95 게이트 절연막
96 비정질 실리콘막(실리콘 함유막)
96a 비도핑 비정질 실리콘막
96b 불순물 도핑 비정질 실리콘막
97 금속막
1 plasma etching apparatus
2 support
3 etching gas supply system
4 thermostats
10 etching raw material gas supply system
11 Fluorine raw material supply part
12 oxygen supply
13 nitrogen supply
20 Plasma Generator
21 electrodes
22 power
Plasma space between 23 electrodes
24 jet nozzle
90, 90A to-be-processed
91 description
92 silicon-containing film
93 organic film
94 gate gate wiring
95 gate insulating film
96 Amorphous Silicon Film (Silicon Containing Film)
96a undoped amorphous silicon film
96b impurity doped amorphous silicon film
97 metal film

Claims (16)

산화질소(NOx)로 산화 가능한 실리콘 함유막 및 유기막을 포함하는 피처리물에 있어서의 상기 실리콘 함유막을 에칭하는 에칭 방법에 있어서,
수소 원자를 실질적으로 함유하지 않는 에칭 원료 가스를 대기압 근방의 플라즈마 공간에 도입해서 에칭 가스를 생성하는 생성 공정과,
상기 에칭 가스를 상기 피처리물에 접촉시키는 에칭 반응 공정을 구비하고,
상기 에칭 원료 가스가 수소 원자를 함유하지 않는 불소계 원료를 7 내지 80 부피%, 질소(N2)를 7 내지 80 부피%, 산소(O2)를 5 내지 60 부피% 함유하는 것을 특징으로 하는 실리콘 함유막의 에칭 방법.
In the etching method of etching the said silicon containing film in the to-be-processed object containing the silicon-containing film and organic film which can be oxidized by nitrogen oxides (NOx),
A production step of introducing an etching source gas substantially free of hydrogen atoms into a plasma space near atmospheric pressure to generate an etching gas;
An etching reaction step of bringing the etching gas into contact with the workpiece,
The etch source gas is silicon, characterized in that it contains a fluorine-based material that does not contain hydrogen atoms from 7 to 80 vol.%, 7 to 80% by volume of nitrogen (N 2), oxygen (O 2) 5 to 60% by volume The etching method of a containing film.
제1항에 있어서, 상기 에칭 원료 가스가 산소를 45 부피% 이하 함유하는 것을 특징으로 하는 에칭 방법.The etching method according to claim 1, wherein the etching source gas contains 45% by volume or less of oxygen. 제1항 또는 제2항에 있어서, 상기 에칭 원료 가스가 산소를 30 부피% 이하 함유하는 것을 특징으로 하는 에칭 방법.The etching method according to claim 1 or 2, wherein the etching source gas contains 30% by volume or less of oxygen. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 불소계 원료 및 산소의 합계와 질소의 부피 유량비가 70:30 내지 20:80이며, 상기 불소계 원료와 산소의 부피 유량비가 75:25 내지 40:60인 것을 특징으로 하는 에칭 방법. The volume flow rate ratio of the sum total of the said fluorine raw material and oxygen, and nitrogen is 70: 30-20: 80, The volume flow rate ratio of the said fluorine-type raw material and oxygen is 75: 25-40. : 60, The etching method characterized by the above-mentioned. 제4항에 있어서, 상기 에칭 원료 가스의 상기 불소계 원료 및 산소의 합계와 질소의 부피 유량비가 60:40 내지 30:70인 것을 특징으로 하는 에칭 방법.The etching method according to claim 4, wherein the total volume of the fluorine-based raw material and oxygen of the etching raw material gas and the volume flow rate ratio of nitrogen are 60:40 to 30:70. 제4항 또는 제5항에 있어서, 상기 에칭 원료 가스의 상기 불소계 원료 및 산소의 합계와 질소의 부피 유량비가 50:50 내지 40:60인 것을 특징으로 하는 에칭 방법.The etching method according to claim 4 or 5, wherein the total volume of the fluorine-based raw material and oxygen of the etching raw material gas and the volume flow rate ratio of nitrogen are 50:50 to 40:60. 제4항 내지 제6항 중 어느 한 항에 있어서, 상기 에칭 원료 가스의 상기 불소계 원료와 산소의 부피 유량비가 60:40 내지 40:60인 것을 특징으로 하는 에칭 방법.The etching method according to any one of claims 4 to 6, wherein a volume flow rate ratio of the fluorine-based raw material and oxygen of the etching source gas is 60:40 to 40:60. 제1항에 있어서, 상기 에칭 원료 가스가 상기 불소계 원료를 20 부피% 이상, 질소를 60 부피% 이하 함유하는 것을 특징으로 하는 에칭 방법.The etching method according to claim 1, wherein the etching source gas contains 20% by volume or more of the fluorine-based material and 60% by volume or less of nitrogen. 제8항에 있어서, 상기 에칭 원료 가스가 상기 불소계 원료를 40 부피% 이상, 질소를 40 부피% 이하, 산소를 40 부피% 이하 함유하는 것을 특징으로 하는 에칭 방법.The etching method according to claim 8, wherein the etching raw material gas contains 40 vol% or more of the fluorine raw material, 40 vol% or less of nitrogen, and 40 vol% or less of oxygen. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 실리콘 함유막이 실리콘(Si), 질화 실리콘(SiNx), 탄화 실리콘(SiC), 산화 질화 실리콘(SiON), 산화 탄화 실리콘(SiOC), 탄화 질화 실리콘(SiCN) 중 어느 하나를 포함하는 것을 특징으로 하는 에칭 방법.The silicon-containing film according to any one of claims 1 to 9, wherein the silicon-containing film is silicon (Si), silicon nitride (SiNx), silicon carbide (SiC), silicon oxynitride (SiON), silicon oxide carbide (SiOC), or carbonization. An etching method comprising any one of silicon nitride (SiCN). 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 실리콘 함유막이 질화 실리콘(SiNx)을 포함하는 것을 특징으로 하는 에칭 방법.The etching method according to any one of claims 1 to 7, wherein the silicon-containing film contains silicon nitride (SiNx). 제1항, 제8항 및 제9항 중 어느 한 항에 있어서, 상기 실리콘 함유막이 비정질 실리콘을 포함하는 것을 특징으로 하는 에칭 방법.The etching method according to any one of claims 1, 8 and 9, wherein the silicon-containing film contains amorphous silicon. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 피처리물의 온도를 50 ℃ 내지 120 ℃로 하는 온도 조절 공정을 더 구비하는 것을 특징으로 하는 에칭 방법.The etching method according to any one of claims 1 to 12, further comprising a temperature adjusting step of setting the temperature of the workpiece to 50 ° C to 120 ° C. 제12항에 있어서, 상기 피처리물의 온도를 50 ℃ 초과 내지 100 ℃로 하는 것을 특징으로 하는 에칭 방법.The etching method according to claim 12, wherein the temperature of the workpiece is set to more than 50 ° C to 100 ° C. 제12항 또는 제14항에 있어서, 상기 피처리물의 온도를 60 ℃ 내지 80 ℃로 하는 것을 특징으로 하는 에칭 방법.The etching method according to claim 12 or 14, wherein the temperature of the workpiece is set to 60 ° C to 80 ° C. 제12항, 제14항 및 제15항 중 어느 한 항에 있어서, 상기 피처리물이 순차 적층된 비정질 실리콘을 포함하는 상기 실리콘 함유막과 금속막과 상기 유기막을 포함하고, 상기 실리콘 함유막의 상기 금속막측의 막 부분에 불순물이 도핑되어 있고, 상기 막 부분을 상기 에칭 가스로 에칭하는 것을 특징으로 하는 에칭 방법.The silicon-containing film according to any one of claims 12, 14, and 15, wherein the workpiece includes the silicon-containing film, a metal film, and the organic film containing amorphous silicon, which are sequentially stacked, wherein the silicon-containing film is An impurity is doped in a film portion on the metal film side, and the etching portion is etched with the etching gas.
KR1020127008237A 2009-09-02 2010-08-25 Method for etching silicon-containing film KR101200139B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009203000 2009-09-02
JPJP-P-2009-203000 2009-09-02
PCT/JP2010/005234 WO2011027515A1 (en) 2009-09-02 2010-08-25 Method for etching silicon-containing film

Publications (2)

Publication Number Publication Date
KR20120058595A true KR20120058595A (en) 2012-06-07
KR101200139B1 KR101200139B1 (en) 2012-11-13

Family

ID=43649073

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127008237A KR101200139B1 (en) 2009-09-02 2010-08-25 Method for etching silicon-containing film

Country Status (5)

Country Link
JP (1) JP5002073B2 (en)
KR (1) KR101200139B1 (en)
CN (1) CN102498550B (en)
TW (1) TWI430367B (en)
WO (1) WO2011027515A1 (en)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9711359B2 (en) * 2015-08-13 2017-07-18 Lam Research Corporation Shadow trim line edge roughness reduction
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112921326B (en) * 2021-01-22 2022-11-08 广东威圳兴技术有限公司 Surface treatment process for automobile part die-casting aluminum alloy

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07331449A (en) * 1994-06-06 1995-12-19 Yuzo Mori Method for diminishing residual impurity in plasma cvm
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
JP3975957B2 (en) * 2003-04-16 2007-09-12 松下電工株式会社 Plasma processing apparatus and plasma processing method
JP2009099880A (en) 2007-10-19 2009-05-07 Sekisui Chem Co Ltd Plasma etching apparatus
US8524112B2 (en) * 2007-12-21 2013-09-03 Solvay Fluor Gmbh Process for the production of microelectromechanical systems

Also Published As

Publication number Publication date
TW201133619A (en) 2011-10-01
CN102498550B (en) 2014-07-16
KR101200139B1 (en) 2012-11-13
WO2011027515A1 (en) 2011-03-10
JPWO2011027515A1 (en) 2013-01-31
JP5002073B2 (en) 2012-08-15
TWI430367B (en) 2014-03-11
CN102498550A (en) 2012-06-13

Similar Documents

Publication Publication Date Title
KR101200139B1 (en) Method for etching silicon-containing film
JP5476152B2 (en) Silicon nitride etching method and apparatus
KR101004159B1 (en) Method for etching of silicon
TWI415185B (en) Etching method and device
JP2002237480A (en) Method of treating base material with discharge plasma
KR101209351B1 (en) Method and apparatus for etching silicon-containing films
KR100944340B1 (en) Etching method and apparatus, and subject to be processed
KR101283754B1 (en) Etching method and device
KR101248625B1 (en) Method and apparatus for etching silicon-containing film
JP2005347278A (en) Discharge plasma processing apparatus
JP2010062433A (en) Method and apparatus for etching silicon-containing film
JP2009094209A (en) Etching method of silicon
KR101362632B1 (en) Etching method, and device
JP2010245405A (en) Method for roughening silicon surface
JP2012216582A (en) Etching method for silicon-containing material

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150715

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181023

Year of fee payment: 7