KR20110134493A - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR20110134493A
KR20110134493A KR1020117025215A KR20117025215A KR20110134493A KR 20110134493 A KR20110134493 A KR 20110134493A KR 1020117025215 A KR1020117025215 A KR 1020117025215A KR 20117025215 A KR20117025215 A KR 20117025215A KR 20110134493 A KR20110134493 A KR 20110134493A
Authority
KR
South Korea
Prior art keywords
plasma
workpiece
shape
boundary
insulators
Prior art date
Application number
KR1020117025215A
Other languages
English (en)
Inventor
루도빅 고뎃
티모시 제이. 밀러
크리스토퍼 제이. 레빗
버나드 지. 린지
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20110134493A publication Critical patent/KR20110134493A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 처리 장치는 공정 챔버, 상기 공정 챔버 내에 위치하여 워크피스를 지지하기 위한 플레이튼, 상기 공정 챔버 내에서 상기 워크피스의 전면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성하도록 구성된 소스, 및 절연 조정기를 포함한다. 상기 절연 조정기는 상기 플라즈마 및 상기 플라즈마 쉬스 사이의 경계의 형상을 제어하고 상기 경계의 형상의 일부가 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면에 평행하지 않도록 제어한다. 상기 플라즈마와 상기 플라즈마 쉬스 사이의 상기 경계의 형상을 제어함으로써 상기 워크피스에 충돌하는 입자들의 입사 각도들이 큰 범위를 가질 수 있다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 플라즈마 처리에 관한 것이다. 보다 상세하게는, 본 발명은 플라즈마 처리 장치에 관한 것이다.
플라즈마 처리 장치는 공정 챔버 내의 플레이튼에 지지되는 워크피스(workpiece)를 처리하기 위한 플라즈마를 생성한다. 플라즈마 처리 장치는 도핑 시스템들, 에칭 시스템들, 및 증착 시스템들을 포함할 수 있지만, 이에 제한되는 것은 아니다. 플라즈마는 일반적으로 이온들(일반적으로 양전하를 가짐)과 전자들(음전하를 가짐)의 준중성의 집단(quasi-neutral collection)이다. 플라즈마는 대부분 센티미터당 0 볼트의 전기장을 갖는다. 일부의 플라즈마 처리 장치에 있어서, 플라즈마로부터의 이온들은 워크피스를 향하여 끌어당겨진다. 플라즈마 도핑 장치에 있어서, 이온들은 충분한 에너지를 가지고 끌어당겨져서, 예를 들면, 반도체 기판과 같은 워크피스의 물리적 구조물 내부로 주입될 수 있다.
플라즈마는 일반적으로 플라즈마 쉬스(plasma sheath)라 불리는 워크피스에 인접한 영역과 접한다. 플라즈마 쉬스는 플라즈마보다 더 적은 전자들을 갖는 영역이다. 이러한 플라즈마 쉬스로부터의 광 방출은 더 적은 전자들이 존재하므로 플라즈마보다 더 약한 강도를 가지며 이에 따라 여기-이완(excitation-relaxation) 충돌들이 거의 일어나지 않는다. 따라서, 플라즈마 쉬스는 때때로 "어두운 공간(dark space)"이라 불리기도 한다.
도 1을 참조하면, 알려진 플라즈마 처리 장치의 부분들을 나타내는 단면도가 도시되어 있으며 플라즈마(140)는 처리될 워크피스(138)의 표면에 인접한 플라즈마 쉬스(142)를 갖는다. 워크피스(138)의 표면은 평면(151)을 정의하고, 플라즈마(140) 및 플라즈마 쉬스(142)는 평면(151)에 평행하다. 플라즈마(140)로부터의 이온들(102)은 플라즈마 쉬스(142)를 지나 워크피스(138)를 향해 끌어당겨질 수 있다. 따라서, 워크피스(138)를 향하여 가속되는 이온들은(102)은 평면(151)에 대하여 약 0°의 입사각으로(예를 들면, 평면(151)에 직교하여) 워크피스(138)에 충돌한다. 입사각 약 3°이하의 작은 각도 퍼짐(angular spread)이 있을 수 있다. 또한, 공정 챔버 내부의 가스 압력과 같은 플라즈마 공정 파라미터들을 제어함으로써, 상기 각도 퍼짐은 약 5°까지 증가될 수 있다.
종래의 플라즈마 처리의 단점은 이온들(102)의 각도 퍼짐 제어가 어렵다는 점이다. 워크피스 상의 구조물들은 더 작고 삼차원 구조물들이 더욱 일반화되어 가고 있기 때문에(예를 들면, 트렌치 커패시터들, FinFETs과 같은 수직 채널 트랜지스터들), 더 큰 각도 제어를 갖는 것이 바람직하다. 예를 들면, 명확한 도시를 위하여 과장된 크기를 갖는 트렌치(144)가 도 1에 도시되어 있다. 이온들이(102) 약 0°의 입사각 또는 5°까지의 평탄한 각도 퍼짐으로 이동될 때, 트렌치(144)의 측벽들(147)을 균일하게 처리하는 것은 어려울 수 있다.
따라서, 상술한 불충분함과 결점들을 극복할 수 있는 플라즈마 처리 장치에 대한 요구가 존재한다.
본 발명의 일 측면에 따르면, 플라즈마 처리 장치가 제공된다. 상기 플라즈마 처리 장치는 공정 챔버, 상기 공정 챔버 내에 위치하여 워크피스를 지지하기 위한 플레이튼, 상기 공정 챔버 내에서 상기 워크피스의 전면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성하도록 구성된 소스, 및 절연 조정기를 포함한다. 상기 절연 조정기는 상기 플라즈마 및 상기 플라즈마 쉬스 사이의 경계의 형상을 제어하고 상기 경계의 형상의 일부가 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면에 평행하지 않도록 제어한다.
본 발명의 다른 측면에 따르면, 방법이 제공된다. 상기 방법은 공정 챔버에 워크피스를 위치시키는 단계, 상기 공정 챔버에서 상기 워크피스의 전면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성시키는 단계, 및 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상을 절연 조정기로 변경하여 상기 경계의 형상의 일부가 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면에 평행하지 않도록 제어하는 단계를 포함한다.
본 발명의 상기의 측면들 및 다른 측면들과 이점들은 수반하는 도면들과 함께 하기의 본 발명의 상세한 설명으로부터 더욱 완전히 이해될 수 있을 것이다.
도 1은 종래의 플라즈마 처리 장치를 나타내는 간략화된 블록도이다.
도 2는 본 발명의 일 실시예에 따른 플라즈마 처리 장치를 나타내는 블록도이다.
도 3은 본 발명의 일 실시에에 따른 플라즈마 도핑 장치를 나타내는 블록도이다.
도 4는 플라즈마와 플라즈마 쉬스 사이의 경계의 형상을 제어하는 한 쌍의 절연체들을 나타내는 단면도이다.
도 5는 도 4의 경계를 지나 가속되는 이온들의 이온 궤적들을 나타내는 도 4와 상응하는 단면도이다.
도 6은 도 5의 이온 궤적들의 각도 이온 분포들을 나타내는 플롯이다.
도 7은 한 쌍의 절연체들과 워크피스 사이의 수직 간격을 제어하는 시스템을 나타내는 블록도이다.
도 8은 다른 수직 간격에서의 이온 궤적들을 나타내는 도 7과 상응하는 단면도이다.
도 9는 한 쌍의 절연체들 사이의 수평 간격을 제어하는 시스템을 나타내는 블록도이다.
도 10은 다른 수평 간격에서의 이온 궤적들을 나타내는 도 9와 상응하는 단면도이다.
도 11은 워크피스에 대하여 한 쌍의 절연 시트들을 이동시키는 스캐닝 시스템을 갖는 플라즈마 처리 장치를 나타내는 블록도이다.
도 12는 절연 시트들과 디스크 형상의 워크피스 사이의 상대 운동을 나타내는 도 11의 절연 시트들의 평면도이다.
도 13은 다수개의 절연체들을 갖는 도 11과 상응하는 스캐닝 시스템을 나타내는 블록도이다.
도 2는 본 발명의 일 실시예에 따른 절연 조정기(insulating modifier)(208)를 갖는 플라즈마 처리 장치(200)의 블록도이다. 절연 조정기(208)는 플라즈마 쉬스(242) 내부의 전기장을 변경하도록 구성되어 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)의 형상을 제어한다. 따라서, 플라즈마(140)로부터 끌어당겨져 플라즈마 쉬스(242)를 지나는 이온들(102)은 더 큰 범위의 입사각들을 가지고 워크피스(138)에 충돌할 수 있다.
플라즈마 처리 장치(200)는 여기서는 플라즈마 도핑 장치로서 설명될 수 있다. 그러나, 플라즈마 처리 장치(200)는 또한 에칭 및 증착 시스템들을 포함할 수 있으며, 이에 제한되지는 않는다. 더욱이, 플라즈마 도핑 시스템은 처리되는 워크피스 상에서 다른 많은 물질 변경 처리들을 수행할 수 있다. 상기 하나의 처리는 반도체 기판과 같은 워크피스를 원하는 도펀트(dopant)로 도핑하는 단계를 포함할 수 있다.
플라즈마 처리 장치(200)는 공정 챔버(202), 플레이튼(134), 소스(206), 및 절연 조정기(208)를 포함할 수 있다. 플레이튼(134)은 워크피스(138)를 지지하도록 공정 챔버(202) 내에 위치된다. 워크피스는 반도체 웨이퍼, 평판 패널, 솔라 패널 및 폴리머 기판을 포함할 수 있지만, 이에 제한되지는 않는다. 일 실시예에 있어서 상기 반도체 웨이퍼는 300mm 직경의 디스크 형상을 가질 수 있다. 소스(206)는 당해 기술분야에 알려진 바와 같이 공정 챔버(202)에서 플라즈마(140)를 생성하도록 구성된다. 도 2의 실시예에 있어서, 절연 조정기(208)는 수평 간격(horizontal spacing)(G)을 갖도록 갭을 정의하는 한 쌍의 절연체들(212, 214)을 포함한다. 다른 실시예들에 있어서, 상기 절연 조정기는 단지 하나의 절연체를 포함할 수 있다. 한 쌍의 절연체들(212, 214)은 얇고, 평탄한 형상을 갖는 한 쌍의 시트들(sheets)일 수 있다. 다른 실시예들에 있어서, 한 쌍의 절연체들은 튜브 형상, 쐐기 형상과 같은 다른 형상들일 수 있고/있거나, 상기 갭 근처에 경사진 모서리를 가질 수 있다.
일 실시예에 있어서, 한 쌍의 절연체들(212, 214)에 의해 정의된 갭의 수평 간격은 약 6.0mm일 수 있다. 한 쌍의 절연체들(212, 214)은 또한 워크피스(138)의 상부 표면에 의해 정의된 평면(151) 상부의 수직 간격(vertical spacing)(Z)에 위치될 수 있다. 일 실시예에 있어서, 수직 간격(Z)은 약 3.0mm일 수 있다.
동작에 있어서, 가스 소스(288)는 공정 챔버(202)에 이온화 가능한 가스를 공급한다. 이온화 가능한 가스의 예로서는, BF3, BI3, N2, Ar, PH3, AsH3, B2H6, H2, Xe, Kr, Ne, He, SiH4, SiF4, GeF4, CH4, AsF5, PF3, 및 PF5를 들 수 있으나, 이에 제한되지는 않는다. 소스(206)는 공정 챔버(202)에 제공되는 가스를 여기시키고 이온화시킴으로써 플라즈마(140)를 발생시킬 수 있다. 이온들은 다른 메커니즘들에 의해 플라즈마(140)로부터 플라즈마 쉬스(242)를 지나 끌어당겨질 수 있다. 도 2의 실시예에 있어서, 바이어스 소스(290)는 워크피스(138)를 바이어스(bias)하여 이온들(102)을 플라즈마(140)로부터 플라즈마 쉬스(242)를 지나도록 끌어당긴다. 바이어스 소스(290)는 DC 전압 바이어스 신호를 제공하는 DC 파워 서플라이 또는 RF 바이어스 신호를 제공하는 RF 파워 서플라이일 수 있다.
바람직하게는, 절연 조정기(208)는 플라즈마 쉬스(242) 내부의 전기장을 조정하여 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)의 형상을 제어한다. 도 2의 실시예에 있어서, 절연 조정기(208)는 한 쌍의 절연체들(212, 214)을 포함한다. 절연체들(212, 214)은 석영, 알루미나, 질화붕소, 유리, 질화규소 등으로 제조될 수 있다. 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)는 평면(151)에 대하여 볼록한 형상을 가질 수 있다. 바이어스 소스(290)가 워크피스(138)를 바이어스할 때, 이온들(102)은 절연체들(212, 214) 사이의 갭을 통해 플라즈마 쉬스(242)를 지나 큰 범위의 입사각들로 끌어당겨진다. 예를 들면, 궤도 통로(271)를 따르는 이온들은 평면(151)에 대하여 +θ°의 각도로 워크피스(138)에 충돌할 수 있다. 궤도 통로(270)를 따르는 이온들은 동일한 평면(151)에 대하여 0°의 각도로 워크피스(138)에 충돌할 수 있다. 궤도 통로(269)를 따르는 이온들은 평면(151)에 대하여 -θ°의 각도로 워크피스(138)를 충돌할 수 있다. 따라서, 입사 각도들의 범위는 0°를 중심으로 하여 +θ°와 -θ°사이일 수 있다. 또한, 통로들(269, 271)과 같은 일부 이온 궤도 통로들은 서로 교차할 수 있다. 이에 제한되지는 않지만, 절연체들(212, 214) 사이의 수평 간격(G), 평면(151) 상부의 상기 절연체들의 수직 간격(Z), 절연체들(212, 214)의 유전 상수, 및 다른 플라즈마 처리 파라미터들을 포함한 수많은 요인들에 따라, 입사 각도들(θ)의 범위는 0°를 중심으로 하여 +60°와 -60°사이일 수 있다. 이에 따라, 워크피스(138) 상의 작은 삼차원 구조물들은 이온들(102)에 의해 균일하게 처리될 수 있다. 예를 들면, 명확한 도시를 위하여 과장된 크기를 갖는 트렌치(244)의 측벽들(247)은 도 1에 비교하여 이온들(102)에 의해 더욱 균일하게 처리될 수 있다.
도 3을 참조하면, 일 실시예에 따른 플라즈마 도핑 장치(300)의 블록도가 도시되어 있다. 플라즈마 도핑 장치(300)는, 도 2의 장치와 일치하는, 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)의 형상을 제어하는 한 쌍의 절연체들(212, 214)를 갖는다.
플라즈마 도핑 장치(300)는 둘러싸인 체적(303)을 정의하는 공정 챔버(202)를 포함한다. 가스 소스(304)는 질량 흐름 제어기(306)를 통해 공정 챔버(202)의 둘러싸인 체적(303)에 주된 도펀트 가스를 제공한다. 가스 배블(baffle)(370)은 공정 챔버(202)에 위치하여 가스 소스(304)로부터 가스의 흐름을 편향시킬 수 있다. 압력 게이지(308)는 공정 챔버(202) 내부의 압력을 측정한다. 진공 펌프(312)는 배기 포트(310)를 통해 공정 챔버(202)로부터 배기가스들을 배출시킨다. 배기 밸브(314)는 배기 포트(310)를 통해 배기 컨덕턴스를 조절한다.
플라즈마 도핑 장치(300)는 질량 흐름 제어기(306), 압력 게이지(308), 및 배기 밸브(314)에 전기적으로 연결된 가스 압력 제어기(316)를 더 포함할 수 있다. 가스 압력 제어기(316)는 압력 게이지(308)에 응답하는 피드백 루프에서 배기 밸브(314)에서의 배기 컨덕턴스 또는 질량 흐름 제어기(306)에서의 공정 가스 유속을 제어함으로써 공정 챔버(202)에서 원하는 압력을 유지하도록 구성될 수 있다.
공정 챔버(202)는 일반적으로 수평 방향으로 연장하며 유전 물질로 형성된 제1 섹션(320)을 포함하는 챔버 상부(318)를 포함할 수 있다. 또한 챔버 상부(318)는 제1 섹션(320)으로부터 일반적으로 수직 방향으로 연장하며 유전 물질로 형성된 제2 섹션(322)을 포함할 수 있다. 챔버 상부(318)는 수평 방향으로 제2 섹션(322)을 가로지르도록 연장하는 전기적 및 열적 도전성 물질로 이루어진 덮게(324)를 더 포함할 수 있다.
플라즈마 도핑 장치는 또한 공정 챔버(202) 내부에 플라즈마(140)를 생성시키도록 구성된 소스(301)를 포함한다. 소스(301)는 평면형 안테나(326)와 나선형 안테나(346) 모두 또는 어느 하나에 RF 파워를 공급하여 플라즈마(140)를 생성하는 파워 서플라이와 같은 RF 소스(350)를 포함할 수 있다. RF 소스(350)는 RF 소스(350)로부터 RF 안테나들(326, 346)로 전달되는 파워를 최대화시키기 위하여 RF 소스(350)의 출력 임피던스를 RF 안테나들(326, 346)의 임피던스에 매칭시키는 임피던스 매칭 네트워크(352)에 의해 안테나들(326, 346)에 연결될 수 있다.
상기 플라즈마 도핑 장치는 또한 플레이튼(134)에 전기적으로 연결되는 바이어스 파워 서플라이(390)를 포함할 수 있다. 상기 플라즈마 도핑 시스템은 또한 제어기(356) 및 사용자 인터페이스 시스템(358)을 포함할 수 있다. 제어기(356)는 원하는 입력/출력 기능들을 수행하도록 프로그램될 수 있는 범용 컴퓨터 또는 범용 컴퓨터들의 네트워크이거나 이를 포함할 수 있다. 제어기(356)는 또한 통신 장치들, 데이터 저장 장치들, 및 소프트웨어를 포함할 수 있다. 사용자 인터페이스 시스템(358)은 터치 스크린들, 키보드들, 사용자 포인팅 장치들, 디스플레이들, 프린터들 등을 포함하여 사용자가 커맨드들 및/또는 데이터를 입력하도록 하고/거나 제어기(356)를 통해 상기 플라즈마 도핑 장치를 모니터할 수 있다. 쉴드 링(394)은 플레이튼(134) 둘레에 배치되어 워크피스(138)의 모서리 근처에서 주입된 이온 분포의 균일성을 개선시킬 수 있다. 패러데이 컵(399)과 같은 하나 또는 그 이상의 패러데이 센서들은 쉴드 링(394)에 위치하여 이온 빔 전류를 센싱할 수 있다.
동작에 있어서, 가스 소스(304)는 워크피스(138) 내로의 주입을 위한 원하는 도펀트를 포함하는 주된 도펀트 가스를 공급한다. 소스(301)는 공정 챔버(302) 내부에서 플라즈마(140)를 생성시키도록 구성될 수 있다. 소스(301)는 제어기(356)에 의해 제어될 수 있다. 플라즈마(140)를 발생시키기 위하여, RF 소스(350)는 적어도 하나의 RF 안테나들(326, 346)의 RF 전류들을 공진시켜 진동하는 자기장을 생성시킨다. 공진하는 전기장은 진공 챔버(202) 내부로 RF 전류들을 유도시킨다. 공정 챔버(202)에서의 상기 RF 전류들은 상기 주된 도펀트 가스를 여기시키고 이온화시켜 플라즈마(140)를 생성시킨다.
바이어스 파워 서플라이(390)는 펄스 ON 및 OFF 주기들을 갖는 펄스화된 플레이튼 신호들을 제공하여 플레이튼(134) 그리고 나아가 워크피스(138)를 바이어스시켜 플라즈마(140)로부터의 이온들을 플라즈마 쉬스(242)를 지나 워크피스(138)를 향하도록 가속시킨다. 이온들(102)은 양전하의 이온들일 수 있고 상기 펄스화된 플레이튼 신호의 상기 펄스 ON 주기들은 공정 챔버(202)에 대하여 음전압 펄스들일 수 있으므로 양전하의 이온들(102)을 끌어당길 수 있다. 상기 펄스화된 플레이튼 신호의 주기 및/또는 상기 펄스들의 듀티 사이클(duty cycle)은 원하는 조사율(dose rate)을 제공하도록 선택될 수 있다. 상기 펄스화된 플레이튼 신호의 크기는 원하는 에너지를 제공하도록 선택될 수 있다.
바람직하게는, 한 쌍의 절연체들(212, 214)은 도 2에서 상세히 설명한 바와 같이 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계 형상을 제어한다. 그러므로, 이온들(102)은 플라즈마 쉬스(242)를 지나 절연체들(212, 214) 사이의 갭을 통해 워크피스(138)를 도핑하기 위한 입사 각도들의 큰 범위로 끌어당겨질 수 있다.
도 4를 참조하면, 한 쌍의 절연체들(212, 214)과 워크피스(138)의 부분 단면도가 도시되어 있으며 절연체들(212, 214)에 의해 정의된 갭 근처에서 플라즈마 쉬스(242)에서의 전기장 라인들을 나타내고 있다. 상기 플라즈마와 플라즈마 쉬스(242) 사이의 상기 전기장 라인들 및 이에 따른 아치형의 경계(241)는 워크피스(138)가 -2,000 볼트로 바이어스되고 절연체들(212, 214)이 유리로 제조된 경우의 컴퓨터 시뮬레이션 결과이었다. 도시된 바와 같이, 상기 갭 근처의 아치형의 경계(241)는 평면(151)에 대하여 볼록한 형상을 가질 수 있다.
도 5는 절연체들(212, 214) 사이의 갭을 통해 플라즈마 쉬스(242)를 지나 가속되는 시뮬레이션된 이온 궤적들을 나타내는 도 4와 상응하는 단면도이다. 플라즈마 도핑 장치에 있어서, 상기 이온들은 경계(241)의 형상 및 플라즈마 쉬스(242) 내부의 전기장 라인들에 의해 갭 간격의 중심 영역에서 워크피스(138)에 주입될 수 있다. 예를 들면, 절연체들(212, 214) 사이의 전체 수평 간격(G1) 중에서, 이온들은 중앙 수평 간격(G3) 근처에서 워크피스(138)에 충돌한다. 본 실시예에 있어서, 이온들은 절연체들(212, 214) 근처의 주변 수평 간격(G2, G4) 근처에서 워크피스(138)에 충돌하지 않는다.
도 6은 도 5에 도시된 이온 궤적들과 일치하여 워크피스(138)에 충돌하는 이온들의 입사각도들의 분포를 나타내는 플롯(602)이다. 도시된 바와 같이, 플롯(602)은 상기 입사각도들이 약 0°를 중심으로 하고 약 +60°와 -60°사이의 큰 범위의 각도로 변화됨을 나타낸다. 이러한 입사각도들의 큰 범위는 삼차원 구조물들의 균일한 도핑을 가능하게 할 수 있다. 예를 들면, 트렌치 구조물의 측벽들은 이러한 큰 범위의 입사 각도들을 갖는 이온들로 더욱 균일하게 도핑될 수 있다.
도 7을 참조하면, 본 발명의 다른 실시예가 도시되어 있으며 절연 조정기와 워크피스(138)의 상부 표면에 의해 정의된 평면(151) 사이의 수직 간격(Z)이 조정될 수 있다. 상기 절연 조정기는 다른 실시예들에서 상세히 설명된 바와 같은 한 쌍의 절연체들(212, 214)일 수 있다. 구동기(702)는 한 쌍의 절연체들(212, 214)에 기계적으로 연결되어 평면(151)에 대하여 화살표들(720, 722)에 의해 도시된 바와 같은 수직 방향으로 상기 절연체들을 구동할 수 있다. 평면(151)에 대한 한 쌍의 절연체들(212, 214)의 상기 Z 위치 및 서로에 대한 위치는 상기 플라즈마 및 상기 플라즈마 쉬스 사이의 경계의 형상에 영향을 미치고 또한 워크피스(138)에 충돌하는 이온들의 궤적들에 영향을 미친다. 구동기(702)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다.
도 8은 모든 다른 파라미터들은 동일하고 평면(151)에 대하여 한 쌍의 절연체들(212, 214)이 다른 Z 위치들에 있을 때 이온 궤적들을 나타내는 도 7과 상응하는 단면도이다. 비교적 짧은 Z 갭의 제1 위치(820)에서, 절연체들(212, 214)은 평면(151) 상부의 제1 거리(Z1)에 위치한다. 상대적으로 더 긴 Z 갭의 제2 위치(840)에서, 절연체들(212, 214)은 평면(151) 상부의 제2 거리(Z2)에 위치한다. 여기서, (Z2)>(Z1)이다. 제1 위치(820)에서, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계(841)는 평면(151)에 대하여 볼록한 형상을 갖는다. 경계(841)는 또한 아치형 형상의 정점이 절연체(212)의 상부면으로부터 거리(Za)에 있을 때 원주의 일부의 형상에 가깝게 접근하는 형상을 갖는다. 대조적으로, 제2 위치(840)에서 경계(843)는 아치형 형상의 정점이 절연체(212)의 상부면으로부터 더 짧은 거리(Zb)에 있을 때 더 얕은 형상을 갖는다. 여기서, (Zb)<(Za)이다. Z 갭 위치들((Z1),(Z2))과 상기 플라즈마 쉬스에서의 전기장 라인들과 결합된 경계들(841, 843)의 형상은 워크피스(138)에 충돌하는 이온들의 각도 퍼짐에 영향을 미친다. 예를 들면, 비교적 짧은 Z 갭 위치(820)에서 워크피스(138)에 충돌하는 이온들의 각도 퍼짐은 비교적 더 긴 Z 갭 위치에서의 워크피스(138)에 충돌하는 이온들의 각도 퍼짐보다 더 크다. 또한, 이온들은 더 긴 Z 갭 위치에서의 수평 간격(G6)에 비하여 더 짧은 Z 갭 위치(820)에서의 더 넓은 수평 위치(G5)에서 충돌한다. 여기서, (G6)<(G5)이다. 도 8에 도시되지는 않았지만, 각 절연체(212, 214)의 Z 위치들은 서로 다르게 위치하여 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상에 영향을 미칠 수 있으며 따라서 이온들의 각도 퍼짐에 영향을 미칠 수 있다.
도 9를 참조하면, 본 발명의 또 다른 실시예가 도시되어 있으며 절연체들(212, 214) 사이의 수평 간격(G)이 조정될 수 있다. 수평 간격 조정들은 도 8 및 도 9의 수직 간격 조정들을 대신하여 또는 이에 추가적으로 이루어질 수 있다. 구동기(902)는 한 쌍의 절연체들(212, 214) 중 적어도 하나에 기계적으로 연결되어 서로에 대하여 화살표(906) 방향으로 상기 절연체들을 구동시킬 수 있다. 구동기(902)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다.
도 10은 모든 다른 파라미터들은 동일하고 절연체들(212, 214) 사이가 다른 수평 갭 간격일 때 이온 궤적들을 나타내는 도 9와 상응하는 단면도이다. 비교적 더 짧은 수평 갭의 제1 위치(1020)에서, 절연체들(212, 214)은 서로 제1 수평 거리(Ga)만큼 떨어져 있다. 상대적으로 더 긴 수평 갭의 제2 위치(1040)에서, 절연체들(212, 214)은 서로부터 제2 수평 거리(Gb)만큼 떨어져 있다. 여기서, (Gb)>(Ga)이다. 제1 위치(1020)에서, 상기 플라즈마와 플라즈마 쉬스 사이의 경계(1041)는 평면(151)에 대하여 볼록한 형상을 갖는다. 경계(1041)는 또한 원주의 일부의 형상에 가깝게 접근하는 형상을 갖는다. 대조적으로, 제2 위치(1040)에서 경계(1043)는 평면(151)에 대하여 볼록한 형상을 가지며 경계(1043)의 중앙 부분은 평면(151)에 대하여 대략적으로 평행하다. 그 결과, 워크피스(138)의 더 큰 중앙 부분이 평면(151)에 대하여 약 0°의 입사각을 갖는 이온들에 의해 충돌된다.
도 11은 워크피스(138)에 대하여 절연 조정기(208)를 상대적으로 구동시키는 스캐닝 시스템(1102)을 갖는 플라즈마 처리 장치(1100)를 나타내는 블록도이다. 도 11의 실시예에 있어서, 절연 조정기(208)는 도 12에 가장 잘 도시되어 있는 한 쌍의 정방형의 절연 시트들(1112, 1114)을 포함한다. 스캐닝 시스템(1102)은 절연 시트들(1112, 1114)에 기계적으로 연결되어 이들을 구동시키는 구동기(1104)를 포함할 수 있다. 구동기(1104)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다.
도 12는 정방형의 절연 시트들(1112, 1114)과 디스크 형상의 워크피스(138) 사이의 상대 운동의 예시들을 나타내는 평면도이다. 도 12의 실시예에 있어서, 스캐닝 시스템(1102)은 위치 A, 위치 B, 및 위치 C로부터 정방형의 절연 시트들(1112, 1114)을 구동시켜 워크피스(138)의 모든 부분들이 한 쌍의 정방형의 절연 시트들(1112, 1114) 사이의 갭에 노출되도록 할 수 있다. 직교 좌표계가 도 12에서와 같이 정의된다면, 절연 시트들(1112, 1114)은 도 12의 X 방향으로 구동된다. 다른 실시예들에 있어서, 절연 시트들(1112, 1114) 또는 다른 절연 시트들이 Y 방향 또는 X와 Y 방향 사이의 특정 각도로 구동될 수 있다. 또한, 스캐닝 시스템(1102)이 절연 시트들(1112, 1114)을 일 방향으로 구동시킴에 따라 워크피스(138)는 회전될 수 있다. 또한 스캐닝 시스템(1102)이 상기 절연 시트들을 일 방향으로 회전시킨 후에 워크피스(138)는 기 설정된 회전 각도만큼 회전될 수 있다. 일 예로, 상기 회전은 화살표(1124) 방향으로 상기 워크피스의 중심축에 대하여 이루어질 수 있다.
도 13을 참조하면, 도 11과 상응하는 스캐닝 시스템(1102)이 도시되어 있다. 도 11과 비교하여, 도 13의 스캐닝 시스템(1102)은 다수개의 갭들(1303-1, 1303-2, 1303-3, ... 1303-n)을 정의하는 다수개의 절연체들(1302-1, 1302-2, 1302-3, ... 1302-(n-1), 1302-n)을 포함한다. 상기 스캐닝 시스템은 워크피스(138)에 대하여 다수개의 절연체들(1302-1, 1302-2, 1302-3, ... 1302-(n-1), 1302-n)을 상대적으로 구동시켜 다수개의 갭들(1303-1, 1303-2, 1303-3, ... 1303-n)을 워크피스(138) 상부에 지나갈 수 있다.
따라서, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상을 제어하는 절연 조정기가 제공된다. 그러므로 상기 플라즈마로부터 상기 플라즈마 쉬스를 가로질러 끌어당겨지는 입자들은 더 큰 범위의 입사 각도들로 관련된 워크피스에 충돌할 수 있다. 예를 들면, 입사 각도들(θ)의 범위는 약 0°를 중심으로 하고 약 +60°와 -60°사이만큼 클 수 있다. 플라즈마 도핑 응용에 있어서, 워크피스 상의 작은 삼차원 구조물들은 더욱 균일하게 도핑될 수 있다. 예를 들면, 트렌치(244)의 측벽들(247)(도 2 참조)은 훨씬 더 작은 입사 각도의 범위를 갖는 종래의 플라즈마 도핑 장치와 비교하여 더욱 균일하게 도핑될 수 있다.
상기에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술분야에서 통상의 지식을 가진 자라면 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (20)

  1. 공정 챔버;
    상기 공정 챔버 내에 위치하여 워크피스를 지지하기 위한 플레이튼;
    상기 공정 챔버 내에서 상기 워크피스의 전면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성하도록 구성된 소스; 및
    상기 플라즈마 및 상기 플라즈마 쉬스 사이의 경계의 형상을 제어하고 상기 경계의 형상의 일부가 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면에 평행하지 않도록 제어하는 절연 조정기를 포함하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서, 상기 절연 조정기는 갭을 정의하는 한 쌍의 절연체들을 포함하고 상기 갭 주변에서 상기 경계의 형상은 상기 평면에 대하여 볼록한 형상을 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 2 항에 있어서, 상기 한 쌍의 절연체들은 한 상의 절연 시트들을 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 2 항에 있어서, 상기 한 쌍의 절연체들 중 적어도 하나의 절연체에 기계적으로 연결되어 상기 갭의 수평 간격을 조정하는 구동기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 2 항에 있어서, 상기 한 쌍의 절연체들에 기계적으로 연결되어 상기 한 쌍의 절연체들과 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면 사이의 수직 간격을 조정하는 구동기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제 2 항에 있어서, 상기 한 쌍의 절연체들은 석영으로 제조되는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제 2 항에 있어서, 상기 워크피스에 대하여 상기 한 쌍의 절연체들을 상대적으로 이동시키도록 구성된 스캐닝 시스템을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제 1 항에 있어서, 상기 절연 조정기는 다수개의 갭들을 정의하는 다수개의 절연체들을 포함하고, 상기 다수개의 갭들 각각의 주변에서 상기 경계의 형상은 상기 평면에 대하여 볼록한 형상인 것을 특징으로 으로 하는 플라즈마 처리 장치.
  9. 제 1 항에 있어서, 상기 워크피스를 도핑하기 위하여 상기 워크피스를 바이어스시켜 상기 플라즈마로부터 상기 플라즈마 쉬스를 지나 상기 워크피스를 향하여 이온들을 끌어당기도록 구성된 바이어스 소스를 더 포함하고, 상기 평면에 대한 상기 이온들의 입사 각도들의 범위는 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상에 의해 영향을 받는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 제 9 항에 있어서, 상기 경계의 형상은 상기 평면에 대하여 볼록한 형상이고 상기 플라즈마로부터 상기 플라즈마 쉬스를 지나 끌어당겨진 이온들은 적어도 일부의 서로 교차하는 이온 궤적들을 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  11. 제 9 항에 있어서, 상기 입사 각도들의 범위는 0°를 중심으로 하고 양의 60°와 음의 60°사이인 것을 특징으로 하는 플라즈마 처리 장치.
  12. 공정 챔버에 워크피스를 위치시키는 단계;
    상기 공정 챔버에서 상기 워크피스의 전면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성시키는 단계; 및
    상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상을 절연 조정기로 변경하여 상기 경계의 형상의 일부가 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면에 평행하지 않도록 제어하는 단계를 포함하는 방법.
  13. 제 12 항에 있어서, 상기 변경 동작은 한 쌍의 절연체들에 의해 정의된 갭을 생성시키는 단계를 포함하고, 상기 갭 주변에서 상기 경계의 형상은 상기 평면에 대하여 볼록한 형상인 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 상기 갭의 수평 간격을 조정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  15. 제 13 항에 있어서, 상기 한 쌍의 절연체들과 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면 사이의 수직 간격을 조정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 제 13 항에 있어서, 상기 절연 조정기에 대하여 상기 워크피스를 회전시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  17. 제 12 항에 있어서, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 상기 경계의 형상의 일부는 상기 평면에 대하여 볼록한 형상인 것을 특징으로 하는 방법.
  18. 제 12 항에 있어서, 상기 플라즈마로부터 상기 플라즈마 쉬스를 지나 상기 워크피스를 향하여 이온들을 끌어당기는 단계를 더 포함하고, 상기 평면에 대한 상기 이온들의 입사 각도들의 범위는 상기 플라즈마와 상기 플라즈마 쉬스 사이의 상기 경계의 형상에 의해 영향을 받는 것을 특징으로 하는 방법.
  19. 제 18 항에 있어서, 상기 입사 각도들의 범위는 0°를 중심으로 하고 양의 60°와 음의 60°사이인 것을 특징으로 하는 방법.
  20. 제 18 항에 있어서, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 상기 경계의 형상은 상기 평면에 대하여 볼록한 형상이고 상기 플라즈마로부터 상기 플라즈마 쉬스를 지나 끌어당겨진 이온들은 적어도 일부의 서로 교차하는 이온 궤적들을 갖는 것을 특징으로 하는 방법.
KR1020117025215A 2009-04-03 2010-04-01 플라즈마 처리 장치 KR20110134493A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/418,120 US8623171B2 (en) 2009-04-03 2009-04-03 Plasma processing apparatus
US12/418,120 2009-04-03

Publications (1)

Publication Number Publication Date
KR20110134493A true KR20110134493A (ko) 2011-12-14

Family

ID=42826547

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117025215A KR20110134493A (ko) 2009-04-03 2010-04-01 플라즈마 처리 장치

Country Status (5)

Country Link
US (1) US8623171B2 (ko)
JP (1) JP2012523120A (ko)
KR (1) KR20110134493A (ko)
CN (1) CN102422722B (ko)
WO (1) WO2010114961A2 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767977B1 (en) * 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8461030B2 (en) 2009-11-17 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for controllably implanting workpieces
US8187979B2 (en) 2009-12-23 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Workpiece patterning with plasma sheath modulation
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US9620338B2 (en) * 2010-03-16 2017-04-11 Mizuho Information & Research Institute, Inc. System, method, and program for predicting processing shape by plasma process
US8592230B2 (en) 2010-04-22 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Method for patterning a substrate using ion assisted selective depostion
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
US8778465B2 (en) * 2011-05-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Ion-assisted direct growth of porous materials
FR2976400B1 (fr) * 2011-06-09 2013-12-20 Ion Beam Services Machine d'implantation ionique en mode immersion plasma pour procede basse pression.
US8461558B2 (en) 2011-07-01 2013-06-11 Varian Semiconductor Equipment Associates, Inc. System and method for ion implantation with dual purpose mask
US8288741B1 (en) * 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US9136096B2 (en) 2012-07-27 2015-09-15 Varian Semiconductor Equipment Associates, Inc. Three dimensional metal deposition technique
US9812366B2 (en) * 2014-08-15 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of tuning work function for a semiconductor device
US10636655B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for asymmetric deposition of metal on high aspect ratio nanostructures
US10879055B2 (en) * 2018-07-17 2020-12-29 Varian Semiconductor Equipment Associates, Inc. Techniques, system and apparatus for selective deposition of a layer using angled ions
US10468226B1 (en) * 2018-09-21 2019-11-05 Varian Semiconductor Equipment Associates, Inc. Extraction apparatus and system for high throughput ion beam processing
US10629752B1 (en) 2018-10-11 2020-04-21 Applied Materials, Inc. Gate all-around device
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
JPH08138595A (ja) 1994-11-10 1996-05-31 Nissin Electric Co Ltd イオン源
JPH1116858A (ja) * 1997-06-21 1999-01-22 Tokyo Electron Ltd 成膜装置のクリーニング方法及び処理方法
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
KR100281241B1 (ko) 1998-11-19 2001-06-01 하대규 파라데이 상자의 윗면의 격자면을 변화시켜 플라즈마 식각을하는 방법
US6512333B2 (en) * 1999-05-20 2003-01-28 Lee Chen RF-powered plasma accelerator/homogenizer
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
SG126681A1 (en) * 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
JP3713683B2 (ja) * 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
JP4411581B2 (ja) * 2003-06-13 2010-02-10 株式会社Sen イオン源装置及びそのための電子エネルギー最適化方法
US7470329B2 (en) * 2003-08-12 2008-12-30 University Of Maryland Method and system for nanoscale plasma processing of objects
JP2006054334A (ja) 2004-08-12 2006-02-23 Seiko Epson Corp 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
US7687787B2 (en) * 2005-03-15 2010-03-30 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
JP2006278006A (ja) 2005-03-28 2006-10-12 Japan Atomic Energy Agency イオン源引き出し領域におけるプラズマ境界面制御方法及びそのイオン源
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
JP4882456B2 (ja) 2006-03-31 2012-02-22 株式会社Ihi イオン注入装置
US7498592B2 (en) * 2006-06-28 2009-03-03 Wisconsin Alumni Research Foundation Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7867409B2 (en) * 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
JP4792007B2 (ja) * 2007-06-12 2011-10-12 株式会社東芝 情報記録再生装置
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US20100011291A1 (en) 2008-07-10 2010-01-14 Nokia Corporation User interface, device and method for a physically flexible device
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8188445B2 (en) * 2009-04-03 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Ion source
US7767977B1 (en) * 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation

Also Published As

Publication number Publication date
JP2012523120A (ja) 2012-09-27
US20100255683A1 (en) 2010-10-07
WO2010114961A2 (en) 2010-10-07
WO2010114961A3 (en) 2011-02-10
CN102422722A (zh) 2012-04-18
CN102422722B (zh) 2014-10-08
US8623171B2 (en) 2014-01-07

Similar Documents

Publication Publication Date Title
KR101707563B1 (ko) 플라즈마 처리 장치
KR20110134493A (ko) 플라즈마 처리 장치
US8858816B2 (en) Enhanced etch and deposition profile control using plasma sheath engineering
KR101990881B1 (ko) 플라즈마 프로세싱 장치 및 스퍼터링 시스템
KR101668822B1 (ko) 이온 소스
US20130287963A1 (en) Plasma Potential Modulated ION Implantation Apparatus
US20140273538A1 (en) Non-ambipolar electric pressure plasma uniformity control
TW201114332A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid