TW201114332A - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
TW201114332A
TW201114332A TW99110129A TW99110129A TW201114332A TW 201114332 A TW201114332 A TW 201114332A TW 99110129 A TW99110129 A TW 99110129A TW 99110129 A TW99110129 A TW 99110129A TW 201114332 A TW201114332 A TW 201114332A
Authority
TW
Taiwan
Prior art keywords
plasma
workpiece
shape
boundary
plane
Prior art date
Application number
TW99110129A
Other languages
Chinese (zh)
Inventor
Ludovic Godet
Timothy J Miller
Christopher J Leavitt
Bernard G Lindsay
Original Assignee
Varian Semiconductor Equipment
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/417,929 external-priority patent/US7767977B1/en
Priority claimed from US12/418,120 external-priority patent/US8623171B2/en
Application filed by Varian Semiconductor Equipment filed Critical Varian Semiconductor Equipment
Publication of TW201114332A publication Critical patent/TW201114332A/en

Links

Landscapes

  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

A plasma processing apparatus includes a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate a plasma in the process chamber having a plasma sheath adjacent to the front surface of the workpiece, and an insulating modifier. The insulting modifier is configured to control a shape of a boundary between the plasma and the plasma sheath so a portion of the shape of the boundary is not parallel to a plane defined by a front surface of the workpiece facing the plasma. Controlling the shape of the boundary between the plasma and the plasma sheath enables a large range of incident angles of particles striking the workpiece to be achieved.

Description

201114332 I ριΓ 六、發明說明: 【相關申請案之交又參考】 本申請案與2009年4月3曰申請之美國申請案第 12/417929號有關,所述美國申請案以引用之方式併入本文 中〇 【發明所屬之技術領域】 本揭露案疋有關於電漿處理(plaSma pr〇CeSsing ),且 更明綠而5,疋有關於電毁處理裝置(piasma pr〇cessing apparatus ) 〇 【先前技術】 電衆·處理裝置在處理腔室(process chamber)中產生 電漿(plasma) ’以用於處理由處理腔室中之壓板(platen) 支撐的工件(workpiece)。電漿處理裝置可包含(但不限 於)摻雜糸統(doping system)、钱刻系統(etching system) 以及沈積系統(deposition system)。電漿通常為離子(i〇n) (通常具有正電荷)與電子(具有負電荷)的準中性集合 (quasi-neutral collection )。電漿在電漿之體積中具有每公 分約0伏特的電場(electric field)。在一些電漿處理裝置 中,來自電漿之離子被朝工件吸引。在電漿摻雜裝置 (plasma doping apparatus)中,可用足夠的能量來吸引離 子,以將其植入工件的實體結構(physical structure )(例 如在一個例子中為半導體基板(semiconductor substrate )) 中。 電漿由接近工件的通常被稱為電漿鞠(Plasma sheath) 的區域定界。電漿鞘是與電漿相比具有較少電子的區域。 4 201114332 33997ριί' 因為存在較少雷早,忠A , 將 Α自此電漿鞘的光發射的強度小於電 κ 匕鮮有激發-驰豫衝突(excitation-relaxation collision)發峰。比 上 王因此’電漿鞘有時被稱為「暗區(dark space)」〇 轉向圖],# R0 1 , 。兄月已知電漿處理裝置之多個部分的橫截 面圖’其^電I 14G具有電浆鞘142,其鄰近待處理之工 件138的月面。工件138之前表面界定平面15卜且工 件138由f板134支擇。電漿140與電漿鞘142之間的邊 界141平仃於平面151。來自電漿140之離子102可越過 電聚勒142被朝工件138吸引。因此,朝工件138加速之 離子102通常相對於平面151 U 0。的入射角(angle of mculence)(例如,垂直於平面151)撞擊工件138。入射 角Tflb存在小於約3的較小角展(angUiar Sprea(j)。另外, 藉由控制電沒處理參數(plaSmapr〇cessparameter)(諸如 處理腔室内的氣體壓力),可使所述角展增加至多達約5。。 習知電聚處理的缺點為缺乏對離子1〇2之角展控制。 隨著工件上之結構變小,且隨著三維結構變得更常見(例 如溝槽式電容器(trench capacitor )、垂直通道電晶體 (vertical channel transistor),諸如 FinFET),具有較大的角 度控制將是有益的。舉例而言,圖1中為說明的清楚起見 而展示具有誇大尺寸的溝槽(trench) i44。在以約0。的入 射角或甚至多達5。的角展來引導離子1〇2的情況下,可能 難以均勻地處理溝槽144之側壁(sidewall) 147。 因此’需要一種電漿處理裝置,其克服上述不足及缺 201114332 【發明内容】 根據本發明之第一態樣’提供一種電毁處理裝置。所 述電聚處理裝置包含:處理腔室;壓板’其定位於處理腔 室中,用於支撐工件;源,其經組態以在處理腔室中產生 電漿,所述電聚具有鄰近工件之前表面的電漿勒;以及絕 緣修改器(m〇difier)。所述絕緣修改器經組態以控制電漿 與電漿鞘之間的邊界的形狀’使得所述邊界之形狀的一部 分不平行於由工件之面向電漿之前表面界定的平面。 根據本發明之另一態樣’提供一種方法。所述方法包 含:將工件定位在處理腔室中;在所述處理腔室中產生電 聚,所述電漿具有鄰近所述工件之前表面的電漿鞘;以及 用絕緣修改器修改電聚與電漿勒之間的邊界的形狀’使得 所述邊界之形狀的一部分不平行於由工件之面向電漿之前 表面界定的平面。 【實施方式】 圖2為與本揭露案之實施例一致之具有絕緣修改器 208之電漿處理裝置200的方塊圖。絕緣修改器208經組 態以修改電漿鞘242内之電場,以控制電漿HO與電漿鞘 242之間的邊界241的形狀。因此,越過電漿鞘242自電 聚140被吸引之離子可以較大的入射角範圍撞擊工件 138。 本文可進一步將電漿處理裝置200描述為電漿摻雜農 置。然而,電漿處理裝置200亦可包含(但不限於)蝕刻 6 201114332 όό^/ριι 及沈積系統。此外,電漿摻雜系統可對經處理之工件執行 s午多不同材料修改處理。一種此類處理包含用所要摻雜劑 來摻雜工件(諸如半導體基板)。 電漿處理裝置200可包含處理腔室202、壓板134、 源206以及絕緣修改器208。壓板134定位於處理腔室2〇2 中,用於支撐工件138。工件可包含(但不限於)半導體 晶圓、平坦面板、太陽電池板(s〇larpanel)以及聚合物基 板。在一實施例中,半導體晶圓可具有圓盤形狀,其具有 300毫米(mm)之直徑。如此項技術中已知,源2〇6經組 態以在處理腔室202中產生電漿140。在圖2之實施例中, 絕緣修改器208包含一對絕緣體212及214,·二者之間界 疋間隙,所述間隙具有水平間距(G)。在其他實施例中, 絕緣修改器可僅包含一個絕緣體。此對絕緣體212及214 可為具有薄的平坦形狀之一對薄片。在其他實施例中,所 述對絕緣體212及214可為其他形狀,諸如管形、楔形, 且/或具有接近所述間隙之傾斜邊緣。 在一實施例中,由此對絕緣體212及214界定之間隙 的水平間距可為約6.0毫米(mm)。此對絕緣體212及214 亦可定位在由工件138之前表面界定之平面151上方之垂 直間距(vertical spacing) (z)處。在一實施例中,所述垂 直間距(Z)可為約3.〇 mm。 在操作中’氣體源(gass〇urce) 288將可離子化氣體 (lomzable gas)供應至處理腔室2〇2。可離子化氣體之實 例包含(但不限於)BF3、BI3、N2、Ar、PH3、AsH3、B2h6、 201114332 H2、Xe、Kr、Ne、He、SiH4、SiF4、GeH4、GeF4、CH4、 CF4、AsFs、PR及pFs。源206可藉由激發及離子化提供 至處理腔室202之氣體而產生電漿14〇。可藉由不同機制 越過電漿鞘242自電漿14〇吸引離子。在圖2之實施例中, 偏壓源290經組態以加偏壓於工件138,以越過電漿鞘 自電漿140吸引離子1〇2。偏壓源290可為用以提供Dc 電壓偏壓信號之DC電源,或用以提供尺!?偏壓信號iRF 電源。 有利的是,絕緣修改器208修改電漿鞘242内之電 場’以控制電漿140與電漿鞘242之間的邊界241的形狀。 在圖2之實施例中,絕緣修改器208包含一對絕緣體212 及214。絕緣體212、214可由石英、氧化鋁、氮化硼、玻 璃、氮化矽等製造。電漿140與電漿鞘242之間的邊界241 可相對於平面151具有凸形狀。當偏壓源29〇加偏壓於工 件138時,離子1〇2以較大的入射角範圍被越過電漿鞘242 而吸弓丨穿過絕緣體212與214之間的間隙。舉例而言,遵 循軌跡路徑(trajectory path) 271之離子可相對於平面151 以+Θ的角度撞擊工件138。遵循轨跡路徑270之離子可 相對於同一平面151以約0。的角度撞擊工件138。遵循軌 跡路彳空269之離子可相對於平面151以-0。的角度撞擊工 件138。因此,入射角之範圍可在以約〇。為中心之+0。與 -Θ之間。另外,一些離子執跡路徑(諸如路徑269及271) 可彼此交又。視若干因數(包含但不限於,絕緣體212與 214之間的水平間距(g)、絕緣體在平面上方之垂直 8 201114332 jjyy /ριι m及214之介電常數(di—c c〇她n〇以及其他處理參數)岐, 範圍:在以約0。為中心之,、_6〇。之間。因此角二)138 上之較小的二維結構可由離子撤均勻地處理。舉例而 情形相比’溝槽244之為說明之清楚起見而 具有誇大尺寸之侧壁247可由離子搬更均勻地處理。 轉向圖3 ’說明—例示性電歸雜裝置3GG的方塊圖。 與圖2之裝置-致’電㈣雜裝置具有—對絕緣體212 及214,以控制電漿140與電漿鞘242之間的邊界241的 形狀。 電漿摻雜裝置300包含處理腔室202,其界定封閉體 (enclosed volume) 303。氣體源304經由質量流量控制器 (mass flow controller) 306 向處理腔室 302 之封閉體 303 提供主要摻雜劑氣體(primary dopant gas )。氣體隔板(gas baffle)370可定位於處理腔室2〇2中’以使來自氣體源3〇4 之氣體流偏轉。壓力計(pressure gauge) 308量測處理腔 室202内之壓力。真空泵(vacuum pump) 312經由排氣口 (exhaust port) 310抽空來自處理腔室202之排氣。排氣閥 (exhaust valve ) 314控制經·由排氣口 310之排氣傳導 (exhaust conductance ) ° 電漿摻雜裝置300可進一步包含氣體壓力控制器(gas pressure controller)316,其電連接至質罝流1控制器306、 壓力計308及排氣閥314。氣體壓力控制器316可經組態 以藉由在回應於壓力計308之回饋環路(feedback loop) 201114332 中用排,閥314控制排氣傳導或用質量流量控制器3〇6控 制處理氣體流動速率’以維持處理腔室2〇2中之所要壓力。 處理腔室202可具有腔室頂部(chamber t〇p) 318, 其包含第一區段(firstsecti〇n) 32〇,所述第一區段”❹由 介電=料形成,且在大體水平方向上延伸。腔室頂部318 亦包含第二區段(second secti〇n) 322,其由介電材料形成, 且在大體垂直方向上自第一區段32〇延伸一高度。腔室頂 部318進一步包含蓋(Hd) 324,其由導電且導熱之材料 形成,且在水平方向上延伸越過第二區段。 電毁摻雜裝置進-步包含源30卜其經組態以在處理 腔室202内產生電漿140。源3〇1可包含RF源35〇,諸如 電源’用以將RF功率供應至平面天線(細肛她麵) 326及螺旋天線(helical antenna) 346中之一者或兩者, 以產生電漿140。RF源350可藉由阻抗匹配網路 (impedance matching network) 352 耦接至天線 326、, 阻抗匹配網路352使RF源350之輸出阻抗與RF天線 326、346之阻抗匹配,以便使自RF源35〇轉移至处天 線326、346之功率增至最大。 電漿摻雜裝置亦可包含偏壓電源(bias p〇wer s_y ) 390’其電耦接至壓板134。電漿掺雜系統可進一步包含控 制器356及使用者介面系統(user interface system) 358。 控制器356可為或包含通用電腦(general purp〇se computer)或通用電腦之網路,其可經程式化以執行所要 之輸入/輸出功此。控制器356亦可包含通信設備、資料儲 201114332 JDyy tyii 存設備及軟體。使用者介面系統358可包含諸如觸控式螢 幕、鍵盤、使用者指點設備(user pointing device)、顯示器、 印表機等設備,以允許使用者經由控制器356輸入命令及/ 或資料,且/或監視電漿摻雜裝置。屏蔽環(shield ring) 394可安置於壓板134周圍,以改良工件138之邊緣附近 之所植入離子分佈的均勻性。亦可將諸如法拉第杯 (Faraday cup) 399之一或多個法拉第感測器定位於屏蔽環 394中,以感測離子束電流。 在操作中,氣體源304供應含有所要摻雜劑之主要摻 雜劑氣體,以供植入工件138中。源301經組態以在處理 腔室302内產生電漿140。源301可由控制器356控制。 為了產生電漿140’RF源350使RF天線326、346中之至 少-者中之RF電流共振(resonate),以產生振藍磁場。 =述振盪磁場將RF電流感應至處理腔室2〇2中。處理腔 室202中之RF電流激發並離子化主要換雜劑氣體 生電漿140。 风丨穴六,胍衡接通CON)及斷開(0FF) 週期的經脈衝之壓板信號,以加偏壓於壓板134, =壓於工件m’以使來自電漿刚之離子越過電聚鞠 此H件138加速。離子1〇2可為帶正電之離子,且因 H之「壓板信號之脈衝接通週期可相對於處理腔ΐ 〇2為負電壓脈衝,以吸引帶正電之離子鐵。可 號,率及/或脈衝之工作週期(如ty cycle),、 ^供所要之劑量率。可選擇經脈衝之壓板信號4巾:, 11 201114332 以提供所要之能量。 有利的是,此對絕緣體212及214控制電敷140與電 襞勒242之間的邊界241的形狀,如先前相對於圖2詳述。 因此’可以較大的入射角範圍越過電漿鞘242吸引離子1〇2 穿過絕緣體212與214之間的間隙,以用於摻雜工件138。 轉向圖4,說明此對絕緣體212及214以及工件138 之局部橫截面圖’其繪示電聚勒242中圍繞由絕緣體212 及214界定之間隙的電場線。所述電場線以及電聚與電聚 = 242之間的所得弓形邊界241得自電腦模擬,其中工件 ==-2,_伏特下偏壓,且絕緣體212及214由玻璃製 =,所說明’圍繞所述間隙之弓形 有相對於平面151之凸形狀。 而加:二4 一致的横戴面圖’其說明越過電漿鞘242 跡。在電Ϊ摻雜3番212 * 214之間的間隙的模擬離子執 —242 裝中,離子可因邊界241之形狀以及電 138中場線而在_間距之巾㈣域中植入工件 距(G1) ^ =言’在絕緣體212與214之間的總水平間 . ^ ,子圍繞中心水平間距(G3)撞擊工件138。 =¼例巾,無離子圍繞接近絕賴Μ 水平間距^2)及(G4)撞擊工件。 之周邊 之離5所朗之離子獅—致的縣工件138 之離子的人㈣分佈的曲線6()2。 8 不入射角以約〇。為巾、、口士二“ 四深⑽2揭 圍上改辦。且在自約+60至·60。之較大角範 文 車乂大入射角範圍達成三維結構之保形 12 201114332 (conformal)摻雜。舉例而言,可用具有此較大入射角範 圍的離子更均勾地摻雜溝槽結構之側壁。 轉向圖7 ’說明與本揭露案一致的另一實施例的方塊 圖’其中可調整絕緣修改器與由工件138之前表面界定之 平面151之間的垂直間距(Z)。絕緣修改器可為此對絕緣 體212及214 ’如在其他實施例中詳述。致動器(沉扣扣〇1>) 7〇2可機械柄接至此對絕緣體212及214,以在如由箭頭 720、722所示之相對於平面ι51之垂直方向上驅動絕緣 體。此對絕緣體212及214相對於平面151且亦相對於彼 此的Z位置影響電漿與電漿鞘之間的邊界的形狀’且亦影 響撞擊工件138之離子的執跡。致動器7〇2可由控制器(諸 如控制器356)控制。 圖8為與圖7 —致的橫戴面圖,用以說明在所有其他 參數相等之情況下在此對絕緣體212及214相對於平面 151之不同Z位置處的離子執跡。在第一相對較短之z間 隙位置820中,絕緣體212、214定位於平面151上方第一 距離(zi)處。在相比而言較高之2間隙位置84〇處,絕 緣體212、214定位於平面151上方第二距離(Z2)處, 其中(Z2) > (Z1)。在第一位置82〇 +,電漿與電聚勒之 間的邊界如具有相對於平面⑸之凸形狀。邊界841亦 具有近似接近圓之®周的-部分之形狀的雜,Α中弓形 形狀之頂點在絕緣體212之頂部表面上方—距離⑵)處。 相反’第二位置840中之邊界843具有較淺形狀,i中弓 形形狀之頂點在絕緣體212之頂部表面上方較短距離(zb) 13 201114332 處’或其中(Zb) < (Za)。與z間隙距離(Z1)及(Z2) 組合之邊界841'843之形狀以及電漿鞘中之電場線影響撞 擊工件138之離子的角展。舉例而言,以相對較短之z間 隙位置820撞擊工件138的離子的角展大於以相對較長之 Z間隙位置撞擊工件138的離子的角展。另外,離子撞擊 工件138之具有較短Z間隙位置82〇的較寬水带間距(G5) (與具有較高Z間隙位置之水平間距(G6)相比,其中(G6) <(G5))。儘管圖8中未說明,但每一絕緣艘212及2H 之Z間隙位置亦可彼此不同’以進一步影塑電聚與電聚鞠 之間的邊界的形狀,且因此影響離子之角展。 轉向圖9,說明與本揭露案一致之另一實施例的方塊 圖,其中可調整絕緣體212與214之間的水平間距(G)。 水平間距調整可代替或加上圖8及圖9早先詳述之垂直間 距調整。致動器902可機械耦接至此對絕緣體212及214 中之至少一者,以在由箭頭9〇6所示之方向上,相對於彼 此驅動絕緣體。致動器902可由控制器(諸如控制哭356) 控制。 °° 圖10為與圖9 一致的橫截面圖,用以說 他參數相等之情況下在絕緣體212與214之間的_ ’:, 間隙間距下的離子軌跡。在第—相對較短水= 1〇2〇中,絕緣體212、2H定位為彼此相距第隙位置 (Ga)。在相比而言較長之水平間隙位置1G4q 平距離 212、214定位為彼此相距第二水平距離(Gb),龙’邑緣體 >(Ga)。在第-位置腦中,電漿與電_之間的(= 201114332 D〇yy/pu 後平面151 M频。邊界麗亦具有近 lo 0 ^ ^ 邊界 1 3具有相對於平面151之凸形狀,其中 之中心部分大約平行於平® 151。因此,用相對 3:151具有約G。之入射角的離子撞擊工件138之 對應中心部分。 1Π1為具有用以相對於工件138驅動絕緣修改器⑽ 之,描系統1102的電漿處理裝置譲的方塊圖。在圖u 之實鈿例中,絕緣修改器2〇8包含一對正方形絕緣薄片 !^12及^114,其最佳可見於圖12中。掃描系統1102可包 =致動器1104 ’其機械耦接至絕緣薄片1112及1114,以 驅動所述絕緣薄片1112及1114。致動器11〇4可由控制器 (諸如控制器356)控制。 °° 圖12為正方形絕緣薄片1112及1114以及圓盤形工件 138之平面圖,用以說明其間之相對移動的一實例。在圖 12之實施例中,掃描系統11〇2可驅動正方形絕緣薄片1112 及U14自位置A至位置b及位置c等,使得工件138之 所有部分暴露於由此對正方形絕緣薄片1112及1114界定 之間隙。若笛卡爾座標系統(Cartesian coordinate system ) 如圖12中詳述般界定,則在圖12之X方向上驅動絕緣薄 片U12及1114。在其他實施例中,玎在Y方向上或以X 與Y方向之間的任何角度驅動絕緣薄片1112及1U4或另 一組不同絕緣薄片。另外,工件138玎隨著掃描系統1102 在—個方向上驅動絕緣薄片1112及II14而旋轉。工件138 201114332 亦可在掃描系統1102在一個方向上驅動絕緣薄片之後旋 轉一預定旋轉角度。在一實例中,所述旋轉可圍繞工件之 中心軸,如由箭頭1124所說明。 轉向圖13 ’說明與圖η —致之掃描系統11〇2。與圖 11相比’圖13之掃描系統1102包含多個絕緣體1302-1、 1302- 2、1302-3、…、i3〇2-(n-l)以及 1302-n,在其之間界 定多個間隙1303-卜1303-2 ..... 13〇3_n。掃描系統可相 對於工件138驅動所述多個絕緣體1302-1、13〇2_2、 1302_3 ..... 1302-(n-l)以及1302-n,因此所述多個間隙 1303- 1、1303-2、…、1303-n 經過工件 138。 因此,提供一種絕緣修改器以控制電漿與電漿鞘之間 的邊界的形狀。越過電漿鞘自電漿吸引之微粒的入射角因 此可以較大的入射角範圍撞擊相關聯的工件。在一種情沉 下,入射角範圍(0 )可為與+60。與-60。(以約〇。為中=) 之間一樣大。在電漿摻雜應用中,可更均句地摻雜工件^ 之較小三維結構。舉例而言’與具有低得多之入射角範201114332 I ρ Γ 、 Γ 发明 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 发明 发明 发明 发明 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本In this paper, the technical field of the invention belongs to the plasma processing (plaSma pr〇CeSsing), and is more green and 5, and there is a piasma pr〇cessing apparatus 〇 Techniques [Electronics] Processing devices generate plasma in a process chamber for processing workpieces supported by platens in a processing chamber. The plasma processing apparatus can include, but is not limited to, a doping system, an etching system, and a deposition system. The plasma is typically a quasi-neutral collection of ions (usually having a positive charge) and electrons (having a negative charge). The plasma has an electric field of about 0 volts per centimeter in the volume of the plasma. In some plasma processing units, ions from the plasma are attracted to the workpiece. In a plasma doping apparatus, sufficient energy can be used to attract ions to implant them into the physical structure of the workpiece (e.g., in one example, a semiconductor substrate). The plasma is delimited by a region of the workpiece, commonly referred to as a plasma sheath. A plasma sheath is a region that has less electrons than plasma. 4 201114332 33997ριί' Because there is less Ray early, Zhong A, the intensity of light emission from the plasma sheath is less than that of the electric κ 匕 excitation-relaxation collision. Compared with Wang, the 'plasma sheath is sometimes called "dark space" 〇 turn map], # R0 1 , . A cross-sectional view of portions of a plasma processing apparatus is known by the brothers. The electric I 14G has a plasma sheath 142 adjacent to the lunar surface of the workpiece 138 to be treated. The front surface of the workpiece 138 defines a plane 15 and the workpiece 138 is selected by the f-plate 134. The boundary 141 between the plasma 140 and the plasma sheath 142 is flush with the plane 151. Ions 102 from the plasma 140 can be attracted to the workpiece 138 past the electrical concentrator 142. Thus, the ions 102 that are accelerated toward the workpiece 138 are generally relative to the plane 151 U 0 . The angle of mculence (eg, perpendicular to plane 151) strikes workpiece 138. The incident angle Tflb has a smaller angular spread of less than about 3 (angUiar Sprea(j). In addition, the angular spread can be increased by controlling the plaSmapr〇cessparameter (such as the gas pressure in the processing chamber). Up to about 5. The disadvantage of conventional electropolymerization is the lack of angular control of the ions 1 〇 2. As the structure on the workpiece becomes smaller, and as the three-dimensional structure becomes more common (such as trench capacitors ( It is advantageous to have a larger angle control for a trench capacitor, such as a vertical channel transistor, such as a FinFET. For example, the trenches with exaggerated dimensions are shown in Figure 1 for clarity of illustration. (trench) i44. In the case where the ion 1 〇 2 is guided at an incident angle of about 0 or even an angular spread of up to 5, it may be difficult to uniformly process the side wall 147 of the trench 144. A plasma processing apparatus which overcomes the above-mentioned deficiencies and lacks 201114332. [Invention] According to a first aspect of the present invention, an electric power destruction processing apparatus is provided. The electro-polymerization processing apparatus includes: a processing chamber; a plate 'located in the processing chamber for supporting the workpiece; a source configured to generate a plasma in the processing chamber, the electropolymer having a plasma adjacent the surface of the workpiece; and an insulation modifier ( The insulation modifier is configured to control the shape of the boundary between the plasma and the plasma sheath such that a portion of the shape of the boundary is not parallel to a plane defined by the front surface of the workpiece facing the plasma. According to another aspect of the present invention, a method is provided, the method comprising: positioning a workpiece in a processing chamber; generating electro-convergence in the processing chamber, the plasma having a surface adjacent to the workpiece a plasma sheath; and modifying the shape of the boundary between the electropolymer and the plasma with an insulating modifier such that a portion of the shape of the boundary is not parallel to a plane defined by the front surface of the workpiece facing the plasma. 2 is a block diagram of a plasma processing apparatus 200 having an insulation modifier 208 consistent with an embodiment of the present disclosure. The insulation modifier 208 is configured to modify the electric field within the plasma sheath 242 to control The shape of the boundary 241 between the plasma HO and the plasma sheath 242. Therefore, the ions attracted from the electropolymer 140 beyond the plasma sheath 242 can strike the workpiece 138 at a large incident angle range. Further, the plasma processing apparatus can be further described herein. 200 is described as a plasma doping. However, the plasma processing apparatus 200 can also include, but is not limited to, etching 6 201114332 όό^/ριι and deposition systems. Additionally, the plasma doping system can perform on the processed workpiece. Many different material modification treatments. One such treatment involves doping a workpiece (such as a semiconductor substrate) with a desired dopant. The plasma processing apparatus 200 can include a processing chamber 202, a platen 134, a source 206, and an insulation modifier 208. A pressure plate 134 is positioned in the processing chamber 2〇2 for supporting the workpiece 138. The workpiece can include, but is not limited to, a semiconductor wafer, a flat panel, a solar panel, and a polymer substrate. In an embodiment, the semiconductor wafer may have a disk shape having a diameter of 300 millimeters (mm). Source 2〇6 is configured to produce a plasma 140 in processing chamber 202, as is known in the art. In the embodiment of Fig. 2, the insulation modifier 208 includes a pair of insulators 212 and 214, with a gap between them, the gap having a horizontal spacing (G). In other embodiments, the insulation modifier may comprise only one insulator. The pair of insulators 212 and 214 can be a pair of sheets having a thin, flat shape. In other embodiments, the pair of insulators 212 and 214 can have other shapes, such as tubular, wedge-shaped, and/or have slanted edges proximate the gap. In one embodiment, the horizontal spacing of the gaps defined by insulators 212 and 214 may thus be about 6.0 millimeters (mm). The pair of insulators 212 and 214 can also be positioned at a vertical spacing (z) above the plane 151 defined by the front surface of the workpiece 138. In an embodiment, the vertical spacing (Z) may be about 3. 〇 mm. In operation, a gas gas urethane 288 supplies a lomzable gas to the processing chamber 2〇2. Examples of ionizable gases include, but are not limited to, BF3, BI3, N2, Ar, PH3, AsH3, B2h6, 201114332 H2, Xe, Kr, Ne, He, SiH4, SiF4, GeH4, GeF4, CH4, CF4, AsFs , PR and pFs. Source 206 can generate plasma 14 by exciting and ionizing the gas supplied to processing chamber 202. The ions can be attracted from the plasma 14 through the plasma sheath 242 by different mechanisms. In the embodiment of FIG. 2, bias source 290 is configured to bias the workpiece 138 to attract ions 1〇2 from the plasma 140 across the plasma sheath. The bias source 290 can be a DC power source for providing a DC voltage bias signal, or a bias voltage signal iRF power supply. Advantageously, the insulation modifier 208 modifies the electric field ' within the plasma sheath 242 to control the shape of the boundary 241 between the plasma 140 and the plasma sheath 242. In the embodiment of FIG. 2, the insulation modifier 208 includes a pair of insulators 212 and 214. The insulators 212, 214 may be made of quartz, alumina, boron nitride, glass, tantalum nitride or the like. The boundary 241 between the plasma 140 and the plasma sheath 242 may have a convex shape with respect to the plane 151. When the bias source 29 is biased to the workpiece 138, the ions 1 〇 2 are drawn across the gap between the insulators 212 and 214 across the plasma sheath 242 over a greater range of incident angles. For example, ions following the trajectory path 271 can strike the workpiece 138 at an angle of + 相对 relative to the plane 151. The ions following the trajectory path 270 may be about zero with respect to the same plane 151. The angle impacts the workpiece 138. The ions following the track path hollow 269 may be -0 with respect to the plane 151. The angle impacts the workpiece 138. Therefore, the range of the incident angle can be in the range of about 〇. Centered on +0. Between - and Θ. Additionally, some ion-existing paths, such as paths 269 and 271, may intersect each other. Depending on several factors (including but not limited to, the horizontal spacing (g) between insulators 212 and 214, the vertical of the insulator above the plane 8 201114332 jjyy / ριι m and the dielectric constant of 214 (di-cc〇 her n〇 and others Processing parameters) 岐, range: between about 0. _6〇. Therefore, the smaller two-dimensional structure on the angle 238 can be uniformly treated by ion removal. By way of example, the side wall 247 having an exaggerated size can be more uniformly processed by ion transport than the groove 244 for clarity of illustration. Turning to Figure 3', a block diagram of an exemplary electrical hybrid device 3GG is shown. The device-to-electric (four) hybrid device of Fig. 2 has a pair of insulators 212 and 214 to control the shape of the boundary 241 between the plasma 140 and the plasma sheath 242. The plasma doping device 300 includes a processing chamber 202 that defines an enclosed volume 303. The gas source 304 provides a primary dopant gas to the enclosure 303 of the processing chamber 302 via a mass flow controller 306. A gas baffle 370 can be positioned in the processing chamber 2〇2 to deflect the gas flow from the gas source 3〇4. A pressure gauge 308 measures the pressure within the processing chamber 202. A vacuum pump 312 evacuates the exhaust from the processing chamber 202 via an exhaust port 310. An exhaust valve 314 controls the exhaust conductance of the exhaust port 310. The plasma doping device 300 may further include a gas pressure controller 316 electrically connected to the mass. Turbulent 1 controller 306, pressure gauge 308 and exhaust valve 314. The gas pressure controller 316 can be configured to control exhaust gas flow or to control process gas flow with the mass flow controller 3〇6 by using a row in a feedback loop 201114332 in response to the pressure gauge 308. Rate 'to maintain the desired pressure in the processing chamber 2〇2. The processing chamber 202 can have a chamber top 318 that includes a first section, which is formed by dielectric = material and at a substantially horizontal level. The chamber top 318 also includes a second section 322 formed of a dielectric material and extending a height from the first section 32〇 in a generally vertical direction. The chamber top 318 Further comprising a cover (Hd) 324 formed of a conductive and thermally conductive material and extending across the second section in a horizontal direction. The electrical destruction doping device further comprises a source 30 configured to be in the processing chamber A plasma 140 is generated 202. The source 3.1 may include an RF source 35, such as a power supply 's to supply RF power to one of a planar antenna (fine anal) 326 and a helical antenna 346 or Both, to generate the plasma 140. The RF source 350 can be coupled to the antenna 326 by an impedance matching network 352 that provides the output impedance of the RF source 350 to the RF antennas 326, 346. The impedance is matched so that the RF source 35〇 is transferred to the antennas 326, 346 The plasma doping device can also include a bias power supply (bias p〇wer s_y) 390' electrically coupled to the pressure plate 134. The plasma doping system can further include a controller 356 and a user interface system (user interface system) 358. The controller 356 can be or include a general purpose computer or a general purpose computer network that can be programmed to perform desired input/output functions. The device includes a communication device, a data storage device, and a software storage device. The user interface system 358 can include devices such as a touch screen, a keyboard, a user pointing device, a display, a printer, etc., to allow use. A command and/or data is input via controller 356 and/or a plasma doping device is monitored. A shield ring 394 can be placed around platen 134 to improve the implanted ion distribution near the edge of workpiece 138. Uniformity. One or more Faraday sensors, such as a Faraday cup 399, can also be positioned in the shield ring 394 to sense the beam current. Body source 304 supplies a main dopant gas containing the desired dopant for implantation into workpiece 138. Source 301 is configured to generate plasma 140 within processing chamber 302. Source 301 can be controlled by controller 356. A plasma 140' RF source 350 is generated to resonate the RF current in at least one of the RF antennas 326, 346 to produce a blue magnetic field. = The oscillating magnetic field induces an RF current into the processing chamber 2〇2. The RF current in the processing chamber 202 excites and ionizes the primary dopant gas generator. Windy hole 6, 胍 接通 CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON CON HThis H piece 138 accelerates. Ion 1〇2 can be a positively charged ion, and the pulse-on period of the platen signal can be a negative voltage pulse with respect to the processing chamber 〇2 to attract positively charged ionic iron. And/or pulse duty cycle (eg ty cycle), ^ for the desired dose rate. Pulsed platen signal 4: 11, 201114332 can be selected to provide the desired energy. Advantageously, the pair of insulators 212 and 214 The shape of the boundary 241 between the control electrode 140 and the electric Bucher 242 is controlled as previously detailed with respect to Figure 2. Thus 'a larger range of incident angles can be passed across the plasma sheath 242 to attract ions 1 〇 2 through the insulator 212 and A gap between 214 for doping the workpiece 138. Turning to Figure 4, a partial cross-sectional view of the pair of insulators 212 and 214 and the workpiece 138 is illustrated, which is depicted in the electric cluster 242 defined by insulators 212 and 214. The electric field lines of the gap. The electric field lines and the resulting arcuate boundary 241 between the electropolymer and the electropolymer = 242 are obtained from a computer simulation in which the workpiece ==-2, the volt is biased downward, and the insulators 212 and 214 are made of glass. =, the description of the bow around the gap is relative to The convex shape of the plane 151. And the addition: the two-four uniform cross-sectional view 'the description of the trace across the plasma sheath 242. In the analog ion hold-242 of the gap between the electric and the doping of 3:212 * 214, The ion may be implanted by the shape of the boundary 241 and the field line of the electric 138 in the _ spacing of the towel (4) field (G1) ^ = say 'between the total level between the insulators 212 and 214. ^, the sub-center The horizontal spacing (G3) hits the workpiece 138. =1⁄4 cases, no ions surround the 绝 Μ horizontal spacing ^2) and (G4) impact the workpiece. The periphery of the 5 lions of the ion lion - the county workpiece 138 The distribution of the ion (4) of the ion (4) is 6 () 2. 8 The angle of incidence is not about 〇. For the towel, and the mouth of the second "four deep (10) 2. And at about +60 to ·60. The larger angle of the model 乂 乂 large incident angle range to achieve the shape of the three-dimensional structure 12 201114332 (conformal) doping. For example, the sidewalls of the trench structure can be more uniformly doped with ions having this larger range of incident angles. Turning to Fig. 7' illustrates a block diagram of another embodiment consistent with the present disclosure, wherein the vertical spacing (Z) between the insulation modifier and the plane 151 defined by the front surface of the workpiece 138 is adjustable. The insulation modifier can be used to address the insulators 212 and 214' as detailed in other embodiments. The actuator (the shackle & 1 >) 7 〇 2 can be mechanically attached to the pair of insulators 212 and 214 to drive the insulator in a vertical direction relative to the plane ι 51 as indicated by arrows 720, 722. The pair of insulators 212 and 214 affect the shape of the boundary between the plasma and the plasma sheath relative to the plane 151 and also with respect to the Z position of each other' and also affect the impingement of ions impinging on the workpiece 138. Actuator 7〇2 can be controlled by a controller, such as controller 356. Figure 8 is a cross-sectional view consistent with Figure 7 to illustrate the ion characterization of the insulators 212 and 214 at different Z positions relative to the plane 151 with all other parameters being equal. In the first relatively short z-gap position 820, the insulators 212, 214 are positioned at a first distance (zi) above the plane 151. At a relatively high gap position 84 相比, the insulators 212, 214 are positioned at a second distance (Z2) above the plane 151, where (Z2) > (Z1). In the first position 82 〇 +, the boundary between the plasma and the electric concentrator has a convex shape with respect to the plane (5). The boundary 841 also has a shape that approximates the shape of the - portion of the circumference of the circle, and the apex of the arcuate shape of the ridge is above the top surface of the insulator 212 - distance (2). Conversely, the boundary 843 in the second position 840 has a shallower shape, and the apex of the arcuate shape in i is a short distance (zb) 13 201114332 ' or where (Zb) < (Za) above the top surface of the insulator 212. The shape of the boundary 841'843 in combination with the z-gap distance (Z1) and (Z2) and the electric field lines in the plasma sheath affect the angular spread of the ions striking the workpiece 138. For example, the angular spread of ions striking the workpiece 138 at a relatively short z-gap position 820 is greater than the angular spread of ions striking the workpiece 138 at a relatively long Z-gap position. In addition, the ions impinge on the wider water strip spacing (G5) of the workpiece 138 having a shorter Z gap position 82 (compared to the horizontal spacing (G6) having a higher Z gap position, where (G6) < (G5) ). Although not illustrated in Fig. 8, the Z-gap positions of each of the insulating vessels 212 and 2H may be different from each other' to further shape the shape of the boundary between the electropolymer and the electropolymer, and thus affect the angular spread of the ions. Turning to Fig. 9, a block diagram of another embodiment consistent with the present disclosure is illustrated in which the horizontal spacing (G) between insulators 212 and 214 can be adjusted. The horizontal spacing adjustment can be used instead of or in addition to the vertical spacing adjustments detailed earlier in Figures 8 and 9. Actuator 902 can be mechanically coupled to at least one of the pair of insulators 212 and 214 to drive the insulator relative to one another in the direction indicated by arrows 9〇6. Actuator 902 can be controlled by a controller, such as control cry 356. Figure 10 is a cross-sectional view consistent with Figure 9 to illustrate the ion trajectory at _': between the insulators 212 and 214 with the same parameters. In the first - relatively short water = 1 〇 2 ,, the insulators 212, 2H are positioned at a distance from each other (Ga). In comparison, the longer horizontal gap position 1G4q flat distances 212, 214 are positioned at a second horizontal distance (Gb) from each other, and the dragon's edge > (Ga). In the first position brain, between the plasma and the electricity _ (= 201114332 D〇yy / pu rear plane 151 M frequency. The boundary lee also has a near lo 0 ^ ^ boundary 13 has a convex shape with respect to the plane 151, The central portion thereof is approximately parallel to the flat® 151. Thus, ions having an incident angle of about G. relative to 3: 151 strike the corresponding central portion of the workpiece 138. 1Π1 is used to drive the insulating modifier (10) relative to the workpiece 138. A block diagram of the plasma processing apparatus 譲 of the drawing system 1102. In the example of the figure u, the insulating modifier 2〇8 includes a pair of square insulating sheets!^12 and ^114, which are best seen in FIG. Scanning system 1102 can include an actuator 1104' that is mechanically coupled to insulating sheets 1112 and 1114 to drive the insulating sheets 1112 and 1114. Actuator 11A can be controlled by a controller, such as controller 356. Figure 12 is a plan view of square insulating sheets 1112 and 1114 and a disk-shaped workpiece 138 for illustrating an example of relative movement therebetween. In the embodiment of Figure 12, scanning system 11〇2 can drive a square insulating sheet 1112. And U14 from position A to position b and position c, etc. All portions of the workpiece 138 are exposed to the gaps thus defined by the square insulating sheets 1112 and 1114. If the Cartesian coordinate system is defined as detailed in Figure 12, the insulation is driven in the X direction of Figure 12. Sheets U12 and 1114. In other embodiments, the crucible drives the insulating sheets 1112 and 1U4 or another set of different insulating sheets at any angle between the Y and Y directions. Additionally, the workpiece 138 follows the scanning system 1102 rotates by driving the insulating sheets 1112 and II14 in one direction. The workpiece 138 201114332 can also be rotated by a predetermined rotation angle after the scanning system 1102 drives the insulating sheets in one direction. In an example, the rotation can surround the workpiece. The central axis, as illustrated by arrow 1124. Turning to Fig. 13' illustrates a scanning system 11A2 that is identical to Fig. 11. Compared to Fig. 11, the scanning system 1102 of Fig. 13 includes a plurality of insulators 1302-1, 1302- 2 , 1302-3, ..., i3〇2-(nl) and 1302-n, defining a plurality of gaps 1303-b 1303-2 ..... 13〇3_n therebetween. The scanning system can be driven relative to the workpiece 138 The plurality of The bodies 1302-1, 13〇2_2, 1302_3 ..... 1302-(nl) and 1302-n, so that the plurality of gaps 1303- 1, 1303-2, ..., 1303-n pass through the workpiece 138. Therefore, An insulation modifier is provided to control the shape of the boundary between the plasma and the plasma sheath. The angle of incidence of the particles attracted from the plasma across the plasma sheath can thus impact the associated workpiece over a wide range of incident angles. In a situation, the angle of incidence (0) can be +60. With -60. (Just about 〇. For medium =) is as big as between. In plasma doping applications, the smaller three-dimensional structure of the workpiece can be more uniformly mixed. For example, with a much lower angle of incidence

之習知電漿摻雜裝置相比,可更均勻地摻雜溝槽244之 壁247 (見圖2 )。 ,J 減露案之範疇不受本文所描述之特定實施 制。實際上’《此項技術者自前面之插述以及隨^ 將明白除本文所述之外的本揭露案之其他各種實施= 改。因此,此些其他實施淑修改既定屬於本揭露: #内。另外’儘管本文已出於特定目的在特定環境中^ 定實施方案之上下文中描述了本揭露案,但熟習㈣支: 201114332 /pil 者將認識到,本揭露案之有用性不限於此,且本揭露 為任何數目之目的在任何數目之環境下有益地實施1 此’下文所陳述之申請專利範圍應黎於如本文所述之本 露案之完整廣度及精神而解釋。 【圖式簡單說明】 圖1為與先前技術一致之習知電漿處理裝置的簡化方 塊圖。 圖2為與本揭露案之實施例一致之電漿處理裝置的方 塊圖。 圖3為與本揭露案之實施例一致之電漿摻雜裝置的方 塊圖。 圖4為用以控制電漿與電漿鞘之間的邊界之形狀的一 對絕緣體的橫截面圖。 圖5為與圖4一致之說明越過圖4之邊界而加速之離 子的離子執跡的橫截面圖。 圖6為圖5之離子轨跡的角離子分佈的曲線。 圖7為用以控制一對絕緣體與工件之間的垂直間距的 系統的方塊圖。 圖8為與圖7 —致之說明不同垂直間距下之離子軌跡 的橫截面圖。 圖9為用以控制一對絕緣體之間的水平間距的系統的 方塊圖。 圖10為與圖9 一致之說明不同水平間距下之離子轨 跡的横截面圖。 17 201114332 圖11為具有用以使一對絕緣薄片相對於工件而移動 之掃描系統的電漿處理裝置的方塊圖。 圖12為圖11之絕緣薄片的平面圖,其繪示絕緣薄片 與圓盤形工件之間的相對移動。 圖13為與圖11 一致之具有多個絕緣體的掃描系統的 方塊圖。 【主要元件符號說明】 102 :離子 134 :壓板 138 :工件 140 :電漿 14卜 24卜 84卜 843、863、963、104卜 1043 :邊界 142、242 :電漿鞘 144、244 :溝槽 147、247 :側壁 151、257 :平面 200、1100 :電漿處理裝置 202 :處理腔室 206 、 301 :源 208 :絕緣修改器 212、214、252、254、1302-1 〜1302-n :絕緣體 269、270、271 :軌跡路徑 288、304 :氣體源 290 :偏壓源 18 201114332 jjyy/pn 300 :電漿摻雜裝置 303 :封閉體 306 :質量流量控制器 308 :壓力計 310 :排氣口 312 :真空泵 314 :排氣閥 316 :氣體壓力控制器 318 :腔室頂部 320 :第一區段 322 :第二區段 324 :蓋 326 :平面天線 346 :螺旋天線 350 : RF 源 352 :阻抗匹配網路 356 :控制器 358 :使用者介面系統 370:氣體隔板 390 :偏壓電源 394:屏蔽環 399 :法拉第杯 602、603 :曲線 702、703a、703b、902、912、1104 :致動器 19 201114332 720、722、730、732、906、916、1124 :箭頭 820 : Z間隙位置/第一位置 840 : Z間隙位置/第二位置 1020 :水平間隙位置/第一位置 1040 :水平間隙位置/第二位置 1102 :掃描系統 1112、1114 :正方形絕緣薄片 1303-1 〜1303-n :間隙 G、G6 :水平間距 G卜G4 :總水平間距 G2 ··周邊水平間距 G3 .中心水平間距 G5 :較寬水平間距The wall 247 of the trench 244 is more uniformly doped than the conventional plasma doping device (see Figure 2). The scope of the J Reducing Case is not subject to the specific implementation described in this article. In fact, the "Technologist's Interpretations and the various other implementations of this disclosure other than those described herein will be understood. Therefore, these other implementations are intended to be within the scope of this disclosure: #内。. In addition, although the present disclosure has been described in the context of a specific environment for a specific purpose, it is understood that (4): 201114332 /pil will recognize that the usefulness of the present disclosure is not limited thereto, and This disclosure is beneficial for any number of purposes in any number of environments. 1 'The scope of the patent application set forth below is to be construed as a complete breadth and spirit of the present disclosure as described herein. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a simplified block diagram of a conventional plasma processing apparatus consistent with the prior art. Figure 2 is a block diagram of a plasma processing apparatus consistent with an embodiment of the present disclosure. Figure 3 is a block diagram of a plasma doping apparatus consistent with an embodiment of the present disclosure. Figure 4 is a cross-sectional view of a pair of insulators for controlling the shape of the boundary between the plasma and the plasma sheath. Figure 5 is a cross-sectional view of the ion trace of the ion accelerated over the boundary of Figure 4, consistent with Figure 4. Figure 6 is a graph showing the angular ion distribution of the ion trajectory of Figure 5. Figure 7 is a block diagram of a system for controlling the vertical spacing between a pair of insulators and a workpiece. Figure 8 is a cross-sectional view of the ion trajectory at a different vertical spacing as illustrated in Figure 7. Figure 9 is a block diagram of a system for controlling the horizontal spacing between a pair of insulators. Figure 10 is a cross-sectional view of the ion track at different horizontal intervals, consistent with Figure 9. 17 201114332 Figure 11 is a block diagram of a plasma processing apparatus having a scanning system for moving a pair of insulating sheets relative to a workpiece. Figure 12 is a plan view of the insulating sheet of Figure 11 showing the relative movement between the insulating sheet and the disk-shaped workpiece. Figure 13 is a block diagram of a scanning system having a plurality of insulators consistent with Figure 11. [Description of main component symbols] 102: Ion 134: Platen 138: Workpiece 140: Plasma 14 Bu 24 Bu 84 Bu 843, 863, 963, 104 Bu 1043: Boundary 142, 242: Plasma sheath 144, 244: Groove 147 247: side walls 151, 257: plane 200, 1100: plasma processing apparatus 202: processing chambers 206, 301: source 208: insulation modifiers 212, 214, 252, 254, 1302-1 to 1302-n: insulator 269 , 270, 271: trajectory path 288, 304: gas source 290: bias source 18 201114332 jjyy / pn 300: plasma doping device 303: enclosure 306: mass flow controller 308: pressure gauge 310: exhaust port 312 : Vacuum pump 314 : Exhaust valve 316 : Gas pressure controller 318 : Chamber top 320 : First section 322 : Second section 324 : Cover 326 : Planar antenna 346 : Helical antenna 350 : RF source 352 : Impedance matching network Lane 356: Controller 358: User Interface System 370: Gas Chute 390: Bias Power Supply 394: Shield Ring 399: Faraday Cup 602, 603: Curves 702, 703a, 703b, 902, 912, 1104: Actuator 19 201114332 720, 722, 730, 732, 906, 916, 1124: arrow 820: Z gap position / first position 840: Z-gap position/second position 1020: horizontal gap position/first position 1040: horizontal gap position/second position 1102: scanning system 1112, 1114: square insulating sheets 1303-1 to 1303-n: gap G, G6: horizontal Spacing G Bu G4: Total horizontal spacing G2 · Peripheral horizontal spacing G3. Center horizontal spacing G5: Wide horizontal spacing

Ga :第一水平距離Ga : the first horizontal distance

Gb ··第二水平距離 Z :垂直間距 Z1 :第一距離/絕緣體之高度/Z間隙距離/垂直間距 Z2 :第二距離/Z間隙距離/垂直間距 Za、Zb :距離 20Gb ··Second horizontal distance Z: Vertical spacing Z1: First distance/Insulator height/Z gap distance/Vertical spacing Z2: Second distance/Z gap distance/Vertical spacing Za, Zb: Distance 20

Claims (1)

201114332 /pn 七、申請專利範圍: 1. 一種電漿處理裝置,包括: 處理腔室; 壓板,定位於所述處理腔室中,用於支撐工件; 源,經組態以在所述處理腔室中產生電漿,所述電漿 具有鄰近於所述工件之前表面的電漿鞘;以及 絕緣修改器,經組態以控制所述電漿與所述電漿鞘之 間的邊界的形狀,使得所述邊界之所述形狀的一部分不平 行於由所述工件之面向所述電漿之前表面界定的平面。 2. 如申請專利範圍第1項所述之電黎處理裝置,其中 所述絕緣修改器包括其間界定間隙之一對絕緣體,且其中 圍繞所述間隙之所述邊界之所述形狀為相對於所述平面之 凸形狀。 3. 如申凊專利範圍第2項所述之電漿處理裝置,其中 所述一對絕緣體包括一對絕緣薄片。 4. 如申請專利範圍第2項所述之電漿處理裝置,更包 括致動器,所述致動器機械耦接至所述一對絕緣體中夂至 少一絕緣體,以調整所述間隙之水平間距。 5. 如申請專纖㈣㈣所述之電漿處理裝置 ,致動ϋ,魏致姑顧純至所述 f斤述:對絕緣體與由所述工件之面向所述電:所^ 表面界疋的所述平面之間的垂直間距。 月 6. 如中請專利範圍第2項所述 所述-對絕緣體由石英製造。 置’其中 21 201114332 7. 如申請專利範圍第2項所述之電漿處理裝置,更包 括掃描系統,所述掃描系統經組態以相對於所述工件移動 所述一對絕緣體。 8. 如申請專利範圍第1項所述之處理裝置,其中 所述絕緣修改益包括其間界定多個間隙之多個絕緣體,且 其中圍繞所述多侧隙中之每—者之所述邊界之所述形狀 為相對於所述平面之凸形狀。 9. 如申請專利範圍第w所述之電漿處理震置,更包 括偏壓源,所述偏壓源經組態以加偏壓於所述工 以 過所述電Μ自所述電_所述卫件吸引離子^ 所述工件,其中所述離子相對於所述平面之 二二二 所述電漿與所述電毁鞘之間的所述邊界之所 10. 如申請專利範圍第9項所述之電聚曰: 中所述邊界之所述形狀為相對於所述平面之凸^裝置,其 越過所述電漿鞘自所述電漿吸引之所述離子夏2狀,因此 彼此交叉的離子軌跡。 -、有至少一些 11. 如申請專利範圍第9項所述之電漿處另 中所述入射角範圍以約0。為中心在約正6Π=理裝置,其 !2.一種方法,包括: 6。與負6〇。之間。 將工件定位在處理腔室中; 在所述處理腔室中產生電漿,所述電 、 述工件之前表面的電漿鞘;以及 、4近於所 用絕緣修改器修改所述電漿與所述電 界的形狀,使得所述邊界之所述形狀的—部分不平 22 201114332 所述面向所述電漿之所述前表面界定的平面。 改操作3包利範圍第12項所述之方法,其中所述修 述間隙真對絕緣體界定之間隙,且其中圍繞所 狀。水之所述邊界之所述形狀為相對於所述平面之凸形 更包括調整 14.如申請專利範圍第13項所述之方法, 所述間隙之水平間距。 、15·如申請專利範圍第13項所述之方法,更包括調整 所述一對絕緣體與由所述工件之面向所述電漿之所述前表 面界定之所述平面之間的垂直間距。 、16_如申請專利範圍第13項所述之方法,更包括使所 述工件相對於所述絕緣修改器旋轉。 17. 如申請專利範圍第12項所述之方法,其中所述電 聚與所述電漿鞘之間的所述邊界的所述形狀的一部分為相 對於所述平面之凸形狀。 … 18. 如申請專利範圍第12項所述之方法,更包括越過 所述電漿鞘自所述電漿朝所述工件吸引離子,用於摻雜所 述工件,其中所述離子相對於所述平面之入射角範圍受所 述電漿與所述電漿鞘之間的所述邊界之所述形狀影響。 19. 如申請專利範圍第μ項所述之方法’其中所述入 射角範圍以約〇。為中心在約正60。與負60°之間。 20. 如申請專利範圍第18項所述之方法,其中所述電 漿與所述電漿鞘之間的所述邊界之所述形狀為相對於所述 平面之凸形狀,因此越過所述電漿鞘自所述電漿吸弓丨之所 23 201114332 1 [ 述離子具有至少一些彼此交叉的離子軌跡。 24201114332 /pn VII. Patent application scope: 1. A plasma processing apparatus comprising: a processing chamber; a pressure plate positioned in the processing chamber for supporting a workpiece; a source configured to be in the processing chamber A plasma is generated in the chamber, the plasma having a plasma sheath adjacent to a front surface of the workpiece; and an insulation modifier configured to control a shape of a boundary between the plasma and the plasma sheath, A portion of the shape of the boundary is made non-parallel to a plane defined by the surface of the workpiece facing the plasma front surface. 2. The electrical treatment device of claim 1, wherein the insulation modifier comprises a pair of insulators defining a gap therebetween, and wherein the shape of the boundary surrounding the gap is relative to The convex shape of the plane. 3. The plasma processing apparatus of claim 2, wherein the pair of insulators comprise a pair of insulating sheets. 4. The plasma processing apparatus of claim 2, further comprising an actuator mechanically coupled to at least one insulator of the pair of insulators to adjust a level of the gap spacing. 5. If applying for the plasma processing device described in the special fiber (4) (4), actuating the ϋ, Wei Zhigu Gu pure to the said: the insulator and the surface of the workpiece facing the electricity: The vertical spacing between the planes. Month 6. As described in the second paragraph of the patent scope, the pair of insulators are made of quartz. 7. The plasma processing apparatus of claim 2, further comprising a scanning system configured to move the pair of insulators relative to the workpiece. 8. The processing device of claim 1, wherein the insulation modification benefit comprises a plurality of insulators defining a plurality of gaps therebetween, and wherein the boundary of each of the plurality of backlashes is The shape is a convex shape with respect to the plane. 9. The plasma processing apparatus of claim w, further comprising a bias source configured to bias a voltage to the device to pass the power from the battery The guard attracts ions to the workpiece, wherein the boundary of the ion between the plasma and the electrical destructive sheath relative to the plane 222 is as described in claim 9 The shape of the boundary described in the item: the shape of the boundary is a convex device with respect to the plane, and the ions attracted from the plasma are crossed in the plasma sheath, so that each other Crossed ion trajectories. -, at least some 11. The angle of incidence described in the plasma section of claim 9 is about 0. For the center in about 6 Π = rational device, its !2. A method, including: 6. With a negative 6 〇. between. Positioning the workpiece in the processing chamber; generating a plasma in the processing chamber, the electrical plasma sheath of the surface of the workpiece; and 4 modifying the plasma with the insulation modifier used The shape of the electrical boundary is such that the shape of the boundary is a portion of the plane defined by the front surface of the plasma as described in 2011-14332. The method of claim 12, wherein the gap is defined by a gap defined by the insulator and surrounded by the shape. The shape of the boundary of the water is a convex shape with respect to the plane. Further, the method includes the method of the method of claim 13, the horizontal spacing of the gap. The method of claim 13, further comprising adjusting a vertical spacing between the pair of insulators and the plane defined by the workpiece facing the front surface of the plasma. The method of claim 13, further comprising rotating the workpiece relative to the insulation modifier. 17. The method of claim 12, wherein a portion of the shape of the boundary between the electrical and the plasma sheath is a convex shape relative to the plane. 18. The method of claim 12, further comprising: drawing ions from the plasma toward the workpiece over the plasma sheath for doping the workpiece, wherein the ions are relative to the The range of incident angles of the plane is affected by the shape of the boundary between the plasma and the plasma sheath. 19. The method of claim [51] wherein the angle of incidence is in the range of about 〇. It is approximately 60 in the center. Between minus 60°. 20. The method of claim 18, wherein the shape of the boundary between the plasma and the plasma sheath is a convex shape with respect to the plane, thus crossing the electricity The slurry sheath is sucked from the plasma. 23 201114332 1 [The ions have at least some ion trajectories that cross each other. twenty four
TW99110129A 2009-04-03 2010-04-01 Plasma processing apparatus TW201114332A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/417,929 US7767977B1 (en) 2009-04-03 2009-04-03 Ion source
US12/418,120 US8623171B2 (en) 2009-04-03 2009-04-03 Plasma processing apparatus

Publications (1)

Publication Number Publication Date
TW201114332A true TW201114332A (en) 2011-04-16

Family

ID=44909998

Family Applications (2)

Application Number Title Priority Date Filing Date
TW99109626A TWI470663B (en) 2009-04-03 2010-03-30 Ion source
TW99110129A TW201114332A (en) 2009-04-03 2010-04-01 Plasma processing apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW99109626A TWI470663B (en) 2009-04-03 2010-03-30 Ion source

Country Status (1)

Country Link
TW (2) TWI470663B (en)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
JPH08138595A (en) * 1994-11-10 1996-05-31 Nissin Electric Co Ltd Ion source
CN101203933B (en) * 2005-03-15 2010-05-19 瓦里安半导体设备公司 Profile adjustment in plasma ion implanter
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
JP4882456B2 (en) * 2006-03-31 2012-02-22 株式会社Ihi Ion implanter
US7498592B2 (en) * 2006-06-28 2009-03-03 Wisconsin Alumni Research Foundation Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams

Also Published As

Publication number Publication date
TW201118912A (en) 2011-06-01
TWI470663B (en) 2015-01-21

Similar Documents

Publication Publication Date Title
US8623171B2 (en) Plasma processing apparatus
TWI463034B (en) Plasma processing apparatus
JP6388580B2 (en) Plasma processing apparatus and sputtering system
US8858816B2 (en) Enhanced etch and deposition profile control using plasma sheath engineering
US9288889B2 (en) Apparatus and techniques for energetic neutral beam processing
KR102212621B1 (en) System and method for processing a substrate
TW201136457A (en) Pulsed plasma to affect conformal processing
TW201114332A (en) Plasma processing apparatus
US8692468B2 (en) Transformer-coupled RF source for plasma processing tool
TWI520660B (en) Method of conformal deposition and method of etching three dimensional feature in workpiece
JP2003249452A (en) Board-treating apparatus
KR20060016547A (en) Plasma generation apparatus