KR20110047183A - Method for processing substrate and substrate processing apparatus - Google Patents

Method for processing substrate and substrate processing apparatus Download PDF

Info

Publication number
KR20110047183A
KR20110047183A KR1020110039314A KR20110039314A KR20110047183A KR 20110047183 A KR20110047183 A KR 20110047183A KR 1020110039314 A KR1020110039314 A KR 1020110039314A KR 20110039314 A KR20110039314 A KR 20110039314A KR 20110047183 A KR20110047183 A KR 20110047183A
Authority
KR
South Korea
Prior art keywords
ozone
gas
processing chamber
substrate
supply
Prior art date
Application number
KR1020110039314A
Other languages
Korean (ko)
Inventor
히로히사 야마자키
유우지 타케바야시
마사노리 사카이
츠토무 가토오
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Priority to KR1020110039314A priority Critical patent/KR20110047183A/en
Publication of KR20110047183A publication Critical patent/KR20110047183A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Abstract

PURPOSE: A substrate processing method and a substrate processing device are provided to improve uniformity at each surface of a substrate by forming a horizontal flow on each substrate. CONSTITUTION: A substrate(200) is inputted to a process chamber. A valve, formed on an ozone supply path(232b) connected to a process chamber, is closed and ozone is filled in the ozone supply path. The ozone filled in the ozone supply path is supplied to the process chamber when the exhaust of the process chamber is stopped. The remaining ozone and ozone intermediate are removed in the process chamber. An oxide layer is formed on the surface of the substrate by repeating an ozone supply process and an ozone removal process several times.

Description

기판 처리 방법 및 기판 처리 장치{METHOD FOR PROCESSING SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS}Substrate processing method and substrate processing apparatus {METHOD FOR PROCESSING SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS}

본 발명은, 기판 처리 방법 및 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing method and a substrate processing apparatus.

IC 등의 반도체 장치의 제조 공정의 일 공정으로서, ALD(Atomic Layer Deposition)법이나 CVD(Chemical Vapor Deposition)법을 이용한 기판 처리 공정이 이루어지고 있다. 기판 처리 공정을 실시하는 기판 처리 장치로서, 종형(縱型)의 기판 처리 장치가 이용되고 있다. 종형의 기판 처리 장치는, 처리실을 형성하는 반응관과, 처리실 내에 처리 가스를 공급하는 가스 공급 유닛과, 처리실 내를 배기하는 배기 유닛과, 처리실 내를 가열하는 히터 유닛을 구비하고 있다. 종형의 기판 처리 장치는, 한 번의 배치(batch) 처리로 복수의 기판을 처리할 수 있기 때문에, 매엽식(枚葉式)의 기판 처리 장치와 비교하여 스루풋(throughput)(생산성)이 높다고 하는 특장(特長)이 있다.As one step of the manufacturing process of a semiconductor device such as an IC, a substrate processing step using an ALD (Atomic Layer Deposition) method or a Chemical Vapor Deposition (CVD) method is performed. As a substrate processing apparatus which performs a substrate processing process, the vertical substrate processing apparatus is used. The vertical substrate processing apparatus includes a reaction tube for forming a processing chamber, a gas supply unit for supplying a processing gas into the processing chamber, an exhaust unit for exhausting the interior of the processing chamber, and a heater unit for heating the interior of the processing chamber. Since the vertical substrate processing apparatus can process a plurality of substrates in one batch process, the throughput (productivity) is higher in comparison with the single substrate processing apparatus. There is (特長).

도 20은, 종래의 종형 기판 처리 장치의 처리로(處理爐)의 구성을 나타내는 개략도이다. 이러한 처리로는, 예를 들면 석영(SiO2)으로 형성된 반응관(203')을 구비하고 있다. 반응관(203') 내에는, 처리실(201')이 형성되어 있다. 처리실(201') 내에는, 기판으로서의 웨이퍼(도시하지 않음)를 복수매 다단으로 지지하는 기판 보지구(保持具)로서의 보트(도시하지 않음)가 반입되도록 구성되어 있다. 처리로는, 처리실(201') 내에 원료 가스나 산화 가스 등의 처리 가스를 공급하는 가스 공급 유닛을 구비하고 있다. 가스 공급 유닛은, 원료 가스(예를 들면 Zr 원소를 포함하는 가스)를 공급하는 제1 가스 공급관(232a')과, 산화 가스[예를 들면 오존(O3) 가스]를 공급하는 제2 가스 공급관(232b')과, 제1 가스 공급관(232a')에 접속된 제1 가스 공급 노즐(233a')과, 제2 가스 공급관(232b')에 접속된 제2 가스 공급 노즐(233b')을 구비하고 있다. 제1 가스 공급 노즐(233a') 및 제2 가스 공급 노즐(233b')은, 각각 반응관(203') 내에 설치되어 있고, 반응관(203')의 내벽을 따라 반응관(203') 내의 하부로부터 반응관(203')의 천정부 부근까지 수직으로 연재하도록 구성되어 있다. 제1 가스 공급 노즐(233a') 및 제2 가스 공급 노즐(233b')에는, 각각 복수의 가스 분출구가 설치되어 있다. 가스 분출구의 배열 피치(pitch)는, 상술한 보트(도시하지 않음)에 다단으로 지지되어 있는 복수의 웨이퍼(도시하지 않음)의 지지 피치와 동일하게 되도록 구성되어 있다. 가스 분출구는, 처리 가스가 각 웨이퍼의 상면을 따라 흐르도록 구성되어 있다. 또한, 제1 가스 공급관(232a')은, 밸브(243a')를 개재하여, 원료 가스를 공급하는 원료 가스 공급원과 접속되어 있다. 제2 가스 공급관(232b')은, 밸브(AV2')를 개재하여, 산화 가스를 공급하는 산화 가스 공급원과 접속되어 있다. 한편, 도시하지 않지만, 처리로는, 처리실(201') 내에 캐리어 가스(퍼지 가스)로서의 N2 가스를 공급하는 캐리어 가스 라인과, 처리실(201') 내의 분위기를 배기하는 배기 유닛을 더 구비하고 있다. It is a schematic diagram which shows the structure of the processing furnace of the conventional vertical substrate processing apparatus. This treatment with, for example, provided with a reaction tube (203 ') formed of a quartz (SiO 2). In the reaction tube 203 ', a processing chamber 201' is formed. The processing chamber 201 is configured to carry a boat (not shown) as a substrate holding tool for supporting a plurality of wafers (not shown) as a substrate in multiple stages. The processing furnace includes a gas supply unit for supplying a processing gas such as source gas or oxidizing gas into the processing chamber 201 ′. The gas supply unit includes a first gas supply pipe 232a 'for supplying a source gas (for example, a gas containing an element of Zr) and a second gas for supplying an oxidizing gas (for example, ozone (O 3 ) gas). The first gas supply nozzle 233a 'connected to the supply pipe 232b', the first gas supply pipe 232a ', and the second gas supply nozzle 233b' connected to the second gas supply pipe 232b '. Equipped. The 1st gas supply nozzle 233a 'and the 2nd gas supply nozzle 233b' are respectively provided in the reaction tube 203 ', and are located in the reaction tube 203' along the inner wall of the reaction tube 203 '. It is comprised so that it may extend vertically from the lower part to the vicinity of the ceiling part of reaction tube 203 '. A plurality of gas ejection openings are provided in the first gas supply nozzle 233a 'and the second gas supply nozzle 233b', respectively. The arrangement pitch of the gas ejection openings is configured to be the same as the support pitch of a plurality of wafers (not shown) supported by the boat (not shown) in multiple stages. The gas ejection port is configured such that the processing gas flows along the upper surface of each wafer. The first gas supply pipe 232a 'is connected to a source gas supply source for supplying a source gas via a valve 243a'. The second gas supply pipe 232b 'is connected to an oxidizing gas supply source for supplying an oxidizing gas through the valve AV2'. On the other hand, although not shown in the drawing, the processing furnace further includes a carrier gas line for supplying N 2 gas as a carrier gas (purge gas) into the processing chamber 201 'and an exhaust unit for exhausting the atmosphere in the processing chamber 201'. have.

예를 들면 ALD법을 이용한 기판 처리 공정에서는, 제1 원료 가스 공급 공정→N2 퍼지 공정→제1 배기 공정→제2 원료 공급 공정→N2 퍼지 공정→제2 배기 공정을 1 사이클로 하여 이 사이클을 복수회 반복한다. 제1 원료 가스 공급 공정에서는, 배기 유닛(도시하지 않음)에 의해 처리실(201') 내를 배기하면서, 밸브(AV2')를 닫고, 밸브(243a')를 개방하여, 처리실(201') 내에 원료 가스를 공급한다. 이에 의해, 제1 가스 공급 노즐(233a')의 각 가스 분출구로부터 분출되는 원료 가스가, 각 웨이퍼 상을 수평으로 흘러, 웨이퍼의 표면에 흡착하고, 웨이퍼 상에 하지막(下地膜)이 형성된다. N2 퍼지 공정에서는, 배기 유닛(도시하지 않음)에 의한 처리실(201') 내의 배기를 계속하면서, 밸브(AV2'), 밸브(243a')를 닫힘으로 하고, 캐리어 가스 라인(도시하지 않음)으로부터 처리실(201') 내에 퍼지 가스로서의 N2 가스를 공급한다. 이에 의해, 처리실(201') 내에 잔류하고 있는 원료 가스가 처리실(201') 내로부터 배출되고, 처리실(201') 내가 퍼지된다. 제1 배기 공정에서는, 배기 유닛(도시하지 않음)에 의한 처리실(201') 내의 배기를 계속하면서, 밸브(AV2'), 밸브(243a')를 닫힘으로 하면서, 캐리어 가스 라인(도시하지 않음)으로부터의 N2 가스의 공급을 정지한다. 이에 의해, 처리실(201') 내가 배기되어 청정화된다. 산화 가스 공급 공정에서는, 배기 유닛(도시하지 않음)에 의한 처리실(201') 내의 배기를 계속하면서, 밸브(243a')를 닫고, 밸브(AV2')를 개방하여, 처리실(201') 내에 산화 가스로서의 O3 가스를 공급한다. 이에 의해, 제2 가스 공급 노즐(233b')의 각 가스 분출구로부터 분출되는 산화 가스가, 각 웨이퍼 상을 수평으로 흘러, 웨이퍼 상에 형성되어 있는 하지막과 반응하여, 웨이퍼 상에 산화막이 형성된다.For example, in the substrate processing step using the ALD method, the first source gas supply step → the N 2 purge step → the first exhaust step → the second raw material supply step → the N 2 purge step → the second exhaust step are defined as one cycle. Repeat multiple times. In the first source gas supply process, the valve AV2 'is closed, the valve 243a' is opened, and the valve 243a 'is opened while the exhaust chamber (not shown) exhausts the inside of the process chamber 201'. Supply the raw gas. Thereby, the source gas ejected from each gas ejection opening of the 1st gas supply nozzle 233a 'flows horizontally on each wafer, and it adsorb | sucks to the surface of a wafer, and a base film is formed on a wafer. . In the N 2 purge step, the valve AV2 'and the valve 243a' are closed while the exhaust in the processing chamber 201 'by the exhaust unit (not shown) is closed, and the carrier gas line (not shown). N 2 gas as a purge gas is supplied from the chamber 201 to the processing chamber. As a result, the source gas remaining in the processing chamber 201 'is discharged from the processing chamber 201' and the inside of the processing chamber 201 'is purged. In the first exhaust process, the carrier gas line (not shown) is closed while the valve AV2 'and the valve 243a' are closed while the exhaust in the processing chamber 201 'by the exhaust unit (not shown) is continued. Supply of N 2 gas from the system is stopped. As a result, the inside of the processing chamber 201 'is exhausted and cleaned. In the oxidizing gas supply process, the valve 243a 'is closed, the valve AV2' is opened to oxidize in the processing chamber 201 'while continuing the exhaust in the processing chamber 201' by the exhaust unit (not shown). The O 3 gas as a gas is supplied. Thereby, the oxidizing gas blown out from each gas ejection opening of the 2nd gas supply nozzle 233b 'flows horizontally on each wafer, and reacts with the underlying film formed on the wafer, and an oxide film is formed on a wafer. .

이와 같이, ALD법이나 CVD법에서는, 산화종인 제2 원료로서 예를 들면 오존을 포함하는 산화 가스를 이용하여, 오존을 각 웨이퍼의 상면을 따라 흐르도록 수평으로 공급하고 있다. 그러나, 종래의 종형(縱型) 기판 처리 장치로 처리를 수행하면, 오존이 가장 공급되기 쉬운 웨이퍼 외주측에서의 산화가 진행되기 쉽고, 오존이 가장 공급되기 어려운 웨이퍼 중앙측에서의 산화가 늦어지기 쉽다는 경향이 있었다. 그 때문에, 웨이퍼의 면내에 있어서의 산화막의 막두께 분포나 조성 분포가 악화되어 버리고, 반도체 장치의 특성에 불균형이 발생하여, 반도체 장치의 제조 수율(yield)이 악화되어 버리는 경우가 있었다. As described above, in the ALD method and the CVD method, ozone is supplied horizontally so as to flow along the upper surface of each wafer by using an oxidizing gas containing ozone as the second raw material as the oxidizing species. However, when the treatment is performed with a conventional vertical substrate processing apparatus, oxidation tends to proceed at the wafer outer peripheral side where ozone is most easily supplied, and oxidation tends to be slow at the center of the wafer where ozone is hardly supplied. there was. Therefore, the film thickness distribution and composition distribution of the oxide film in the inside of a wafer may deteriorate, the imbalance may arise in the characteristic of a semiconductor device, and the yield of a semiconductor device may deteriorate.

그래서, 다음의 2가지 방법의 검토가 시도되어 왔다. 하나는, 웨이퍼 상에서의 오존을 포함하는 산화 가스의 유속을 증가시킴으로써, 웨이퍼 중앙부에서의 산화 지연을 방지하는 방법이다. 다른 하나는, 웨이퍼 상에 오존을 고밀도로 포함한 산화 가스를 대유량(大流量)으로 공급함으로써, 웨이퍼 전체의 산화 불균일을 없애고, 면내를 균일하게 처리하는 방법이다.Thus, a review of the following two methods has been attempted. One method is to increase the flow rate of the oxidizing gas containing ozone on the wafer, thereby preventing the oxidation delay at the center of the wafer. The other is a method of eliminating the oxidation irregularity of the whole wafer and processing in-plane uniformly by supplying the oxidizing gas containing ozone in high density on a wafer in large flow volume.

그러나, 전자(前者)의 방법으로는 충분한 개선을 볼 수 없고, 웨이퍼 중앙부에서의 산화 지연을 충분히 방지하는 것이 어려우며, 반도체 장치의 제조 수율을 향상시키는 것은 어려웠다.However, the former method did not show a sufficient improvement, it was difficult to sufficiently prevent the oxidation delay at the wafer center portion, and it was difficult to improve the manufacturing yield of the semiconductor device.

또한, 후자의 방법으로는 수율은 향상시킬 수 있는데, 산화 가스 공급원이 구비하는 오조나이저(ozonizer, 도시하지 않음)의 성능상, 한 번에 공급할 수 있는 고밀도의 오존의 유량이 감소해 버리고, 오존의 공급 시간이 길어져, 스루풋(생산성)이 악화되어 버리는 경우가 있었다. In addition, the latter method can improve the yield, but due to the performance of the ozonizer (not shown) included in the oxidizing gas supply source, the flow rate of high-density ozone that can be supplied at one time decreases, and Supply time became long and throughput (productivity) might deteriorate.

본 발명의 목적은, 기판 상에 산화 가스를 공급하여 산화막을 형성할 때, 처리 시간을 단축하고, 면내 막두께의 균일성을 향상하는 데 있다. An object of the present invention is to shorten the processing time and to improve uniformity of in-plane film thickness when supplying an oxidizing gas on a substrate to form an oxide film.

본 발명의 한 형태에 따르면, 기판을 처리실에 반입하는 기판 반입 공정과, 상기 처리실과 접속된 오존 공급로에 설치된 밸브를 닫고 상기 오존 공급로에 오존을 충전하는 오존 충전 공정과, 상기 처리실의 배기를 실질적으로 멈춘 상태에서, 상기 오존 공급로에 충전된 오존을 상기 처리실에 공급하는 오존 공급 공정과, 상기 처리실 내에 잔류하는 상기 오존 및 오존의 중간체를 제거하는 오존 제거 공정을 포함하고, 상기 오존 공급 공정과 상기 오존 제거 공정을 복수회 반복하여, 상기 기판의 표면에 산화막을 형성하는 반도체 장치의 제조 방법이 제공된다.According to one aspect of the present invention, there is provided a substrate loading step of bringing a substrate into a processing chamber, an ozone filling step of closing the valve provided in the ozone supply path connected to the processing chamber and filling the ozone supply path with ozone, and exhausting the processing chamber. And an ozone supplying step of supplying the ozone filled in the ozone supply path to the processing chamber in a substantially stopped state, and an ozone removing step of removing the ozone and the intermediate of the ozone remaining in the processing chamber. There is provided a method of manufacturing a semiconductor device in which an oxide film is formed on a surface of the substrate by repeating the step and the ozone removing step a plurality of times.

본 발명의 다른 형태에 따르면, 기판을 수용한 처리실 내에 원료 가스를 공급하는 공정과, 상기 처리실 내의 분위기를 배기하는 공정과, 상기 처리실에 접속된 가스 저장부 내에 오존을 충전하는 공정과, 상기 처리실 내에, 상기 가스 저장부 내에 충전된 상기 오존을 공급하는 공정과, 상기 처리실 내의 분위기를 배기하는 공정을 복수회 수행하여 상기 원료 가스와 상기 오존을 서로 혼합하지 않도록 교호로 공급하고, 상기 기판의 표면에 산화막을 형성하는 기판 처리 방법이 제공된다.According to another aspect of the present invention, there is provided a process for supplying a source gas into a processing chamber accommodating a substrate, a process of evacuating the atmosphere in the processing chamber, a step of filling ozone into a gas storage unit connected to the processing chamber, and the processing chamber. And alternately supplying the source gas and the ozone so as not to mix with each other by performing the step of supplying the ozone filled in the gas reservoir and the step of exhausting the atmosphere in the processing chamber a plurality of times, and the surface of the substrate. Provided is a substrate processing method for forming an oxide film.

본 발명의 또 다른 형태에 따르면, 기판을 처리실 내에 반입하는 기판 반입 공정과, 상기 처리실 내의 분위기의 배기를 실질적으로 중지한 상태로, 상기 처리실 내에 오존을 공급하는 오존 공급 공정과, 상기 처리실 내에 잔류하는 상기 오존 및 상기 오존의 중간체를 제거하는 오존 제거 공정을 포함하고, 상기 오존 공급 공정과 상기 오존 제거 공정을 복수회 반복하고, 상기 기판의 표면에 산화막을 형성하는 기판 처리 방법이 제공된다.According to still another aspect of the present invention, there is provided a substrate loading step of bringing a substrate into a processing chamber, an ozone supplying step of supplying ozone to the processing chamber while the exhaust of the atmosphere in the processing chamber is substantially stopped, and remaining in the processing chamber. There is provided a substrate treating method including an ozone removing step of removing the ozone and an intermediate of the ozone, repeating the ozone supplying step and the ozone removing step a plurality of times, and forming an oxide film on the surface of the substrate.

본 발명의 또 다른 형태에 따르면, 기판을 수용한 처리실에 접속된 가스 저장부 내에 오존을 충전하는 공정과, 상기 처리실 내에, 상기 가스 저장부 내에 충전된 상기 오존을 공급하는 공정과, 상기 처리실 내의 분위기를 배기하는 공정을 복수 반복하고, 상기 기판의 표면에 산화막을 형성하는 기판 처리 방법이 제공된다.According to still another aspect of the present invention, there is provided a process of filling ozone in a gas storage unit connected to a processing chamber containing a substrate, supplying the ozone filled in the gas storage unit into the processing chamber, and in the processing chamber. A substrate processing method is provided by repeating a plurality of steps of evacuating an atmosphere, and forming an oxide film on the surface of the substrate.

본 발명의 또 다른 형태에 따르면, 기판을 처리하는 처리실과, 상기 처리실 내에 오존을 공급하는 가스 공급 유닛과, 상기 처리실 내의 분위기를 배기하는 배기 유닛과, 제어부를 포함하고, 상기 가스 공급 유닛은, 상기 처리실과 접속된 오존 공급로와, 상기 오존 공급로의 개폐를 수행하는 오존 공급 밸브와, 상기 오존 공급 밸브보다 상류 측에 배치되고, 오존을 저장하는 가스 저장부를 구비하고, 상기 배기 유닛은, 상기 처리실과 접속된 배기로와, 상기 배기로를 개폐하는 배기 밸브를 구비하고, 상기 제어부는, 상기 오존을 상기 처리실 내에 공급할 때는, 상기 처리실 내의 분위기의 배기를 실질적으로 중지한 상태에서 상기 오존 공급로로부터 상기 오존을 상기 처리실 내에 공급하도록 상기 가스 공급 유닛 및 상기 배기 유닛을 제어하되, 상기 오존 공급 밸브를 닫은 상태로, 상기 오존을 상기 오존 공급로에 공급하여 상기 오존을 상기 가스 저장부 내에 저장한 후, 상기 오존 공급 밸브를 개방하여 상기 가스 저장부 내에 저장한 상기 오존을 상기 처리실 내에 공급하도록 상기 가스 공급 유닛을 제어하는 기판 처리 장치가 제공된다.According to still another aspect of the present invention, there is provided a processing chamber for processing a substrate, a gas supply unit for supplying ozone into the processing chamber, an exhaust unit for exhausting the atmosphere in the processing chamber, and a control unit. An ozone supply path connected to the processing chamber, an ozone supply valve for opening and closing the ozone supply path, and a gas storage unit disposed upstream of the ozone supply valve and storing ozone, wherein the exhaust unit includes: And an exhaust valve connected to the processing chamber and an exhaust valve for opening and closing the exhaust passage, wherein the control unit supplies the ozone in a state in which the exhaust of the atmosphere in the processing chamber is substantially stopped when the ozone is supplied into the processing chamber. Control the gas supply unit and the exhaust unit to supply the ozone from the furnace into the processing chamber, wherein the ozone After the supply valve is closed, the ozone is supplied to the ozone supply path to store the ozone in the gas storage unit, and then the ozone supply valve is opened to supply the ozone stored in the gas storage unit into the processing chamber. A substrate processing apparatus for controlling the gas supply unit is provided.

본 발명에 의하면, 기판 상에 산화 가스를 공급하여 산화막을 형성할 때, 처리 시간을 단축하고, 면내 막두께의 균일성을 향상시킬 수 있다.According to the present invention, when the oxidizing gas is supplied onto the substrate to form the oxide film, the processing time can be shortened and the uniformity of the in-plane film thickness can be improved.

도 1은 본 발명의 제1 실시 형태에 따른 기판 처리 장치의 전체 구성을 나타내는 개략 구성도.
도 2는 본 발명의 제1 실시 형태에 따른 기판 처리 장치의 처리로의 종단면도.
도 3은 도 2에 나타내는 처리로의 A-A선 단면에 대응하는 횡단면도.
도 4는 본 발명의 제3 실시 형태에 따른 기판 처리 장치의 처리로 및 가스 공급 유닛의 개략 구성도.
도 5는 비교예에 따른 산화막 형성 공정의 시퀀스도.
도 6은 본 발명의 제3 실시 형태에 따른 산화막 형성 공정(스텝 3)의 시퀀스예 1을 나타내는 개략도.
도 7은 본 발명의 제3 실시 형태에 따른 산화막 형성 공정(스텝 3)의 시퀀스예 2를 나타내는 개략도.
도 8은 본 발명의 제3 실시 형태에 따른 산화막 형성 공정(스텝 3)의 시퀀스예 3을 나타내는 개략도.
도 9는 본 발명의 실시예 1~3을 비교예 1과 함께 설명하는 표이며, 평균 산화 막두께, 기판 중앙부 막두께, 막두께 균일성을 나타내는 도면.
도 10은 본 발명의 실시예 4~6을 비교예 2와 함께 설명하는 그래프도이며, (a)는 기판 면내에 있어서의 산화막의 평균 막두께 증가량과 산화 시간과의 관계를 나타내고, (b)는 기판 중앙부에 있어서의 산화막의 막두께 증가량과 산화 시간과의 관계를 각각 나타내는 도면.
도 11은 본 발명의 실시예 7, 8을 비교예 3과 함께 설명하는 표이며, 기판 처리 위치가 상부의 경우와 하부의 경우의 각각에 있어서의, 산화막의 평균 막두께 및 막두께 균일성을 나타내는 도면.
도 12는 기판 처리 위치가 상부, 중부, 하부의 각각에 있어서의 HfO2막의 조성 균일성을 나타내는 표이며, (a)는 비교예 4의 조성 균일성을 나타내고, (b)는 실시예 9의 조성 균일성을 나타내며, (c)는 실시예 10의 조성 균일성을 각각 나타내는 도면.
도 13은 본 발명의 제4 실시 형태에 따른 기판 처리 장치의 처리로 및 가스 공급 유닛의 개략 구성도.
도 14는 본 발명의 제4 실시 형태에 따른 가스 공급 유닛의 동작 및 밸브 개폐 순서를 예시하는 도면.
도 15는 본 발명의 제3 실시 형태에 따른 버퍼 탱크의 냉각 구조를 예시하는 도면.
도 16은 본 발명의 제3 실시 형태에 따른 버퍼 탱크의 다른 냉각 구조를 예시하는 도면.
도 17은 본 발명의 제3 실시 형태에 따른 가스 공급 유닛을 사이드 플로우 방식의 종형 기판 처리 장치에 적응한 경우의 개략 구성도.
도 18은 본 발명의 제2 실시 형태에 따른 사이드 플로우 방식의 종형 기판 처리 장치의 처리세트의 종단면도.
도 19는 본 발명의 제2 실시 형태에 따른 기판 처리 장치의 이너 튜브의 변형예를 나타내는 사시도.
도 20은 종래의 종형 기판 처리 장치의 개략 구성도.
BRIEF DESCRIPTION OF THE DRAWINGS The schematic block diagram which shows the whole structure of the substrate processing apparatus which concerns on 1st Embodiment of this invention.
2 is a longitudinal cross-sectional view of a processing furnace of the substrate processing apparatus according to the first embodiment of the present invention.
FIG. 3 is a cross-sectional view corresponding to A-A cross section in the processing furnace shown in FIG. 2. FIG.
4 is a schematic configuration diagram of a processing furnace and a gas supply unit of a substrate processing apparatus according to a third embodiment of the present invention.
5 is a sequence diagram of an oxide film forming process according to a comparative example.
Fig. 6 is a schematic diagram showing a sequence example 1 of an oxide film forming step (step 3) according to the third embodiment of the present invention.
Fig. 7 is a schematic diagram showing a sequence example 2 of an oxide film forming step (step 3) according to the third embodiment of the present invention.
Fig. 8 is a schematic diagram showing a sequence example 3 of an oxide film forming step (step 3) according to the third embodiment of the present invention.
9 is a table for explaining Examples 1 to 3 of the present invention together with Comparative Example 1, illustrating average oxide film thickness, substrate central film thickness, and film thickness uniformity.
10 is a graph illustrating Examples 4 to 6 of the present invention together with Comparative Example 2, (a) shows the relationship between the average film thickness increase amount and the oxidation time of the oxide film in the substrate plane, (b) Are diagrams showing the relationship between the increase in the thickness of the oxide film and the oxidation time in the center portion of the substrate, respectively.
Fig. 11 is a table for explaining Examples 7 and 8 of the present invention together with Comparative Example 3, in which the average film thickness and film thickness uniformity of the oxide film in the case where the substrate processing position is at the top and at the bottom, respectively; Indicative drawing.
12 is a table showing the composition uniformity of the HfO 2 film in the substrate processing position at each of the top, middle, and bottom, (a) shows the composition uniformity of Comparative Example 4, and (b) shows the composition of Example 9 Composition uniformity is shown, (c) is a figure which shows the composition uniformity of Example 10, respectively.
13 is a schematic configuration diagram of a processing furnace and a gas supply unit of a substrate processing apparatus according to a fourth embodiment of the present invention.
14 is a diagram illustrating an operation and a valve opening and closing procedure of the gas supply unit according to the fourth embodiment of the present invention.
15 illustrates a cooling structure of a buffer tank according to a third embodiment of the present invention.
16 illustrates another cooling structure of the buffer tank according to the third embodiment of the present invention.
It is a schematic block diagram at the time of adapting the gas supply unit which concerns on 3rd Embodiment of this invention to the vertical substrate processing apparatus of a side flow system.
The longitudinal cross-sectional view of the process set of the vertical substrate processing apparatus of the side flow system which concerns on 2nd Embodiment of this invention.
The perspective view which shows the modification of the inner tube of the substrate processing apparatus which concerns on 2nd Embodiment of this invention.
20 is a schematic configuration diagram of a conventional vertical substrate processing apparatus.

<제1 실시 형태><1st embodiment>

먼저, 본 발명의 제1 실시 형태에 따른 노멀 플로우 방식의 종형 기판 처리 장치의 기본 구성 및 이 기판 처리 장치에 의해 실시되는 기판 처리 방법에 대해 설명한다.First, the basic structure of the normal-type vertical substrate processing apparatus which concerns on 1st Embodiment of this invention, and the substrate processing method implemented by this substrate processing apparatus are demonstrated.

(1) 기판 처리 장치의 구성(1) Structure of Substrate Processing Apparatus

도 1은, 본 실시 형태에 따른 기판 처리 장치의 전체 구성을 나타내는 개략 구성도이다. 도시되는 바와 같이, 기판 처리 장치(101)는, 광체(筐滯, 111)를 구비하고 있다. 실리콘 등으로 이루어지는 웨이퍼(기판)(200)를 광체(111) 내외로 반송하기 위해서는, 실리콘 등으로 이루어지는 웨이퍼(기판)(200)를 수납한 웨이퍼 캐리어로서의 카셋트(110)가 사용된다. 기판 처리 장치(101)의 광체(111)의 정면벽(111a)의 하방에는, 광체(111) 내를 메인트넌스 가능하도록 개구(開口)된 개구부로서의 정면 메인트넌스구(도시하지 않음)가 개설되어 있다. 광체(111)의 정면벽(111a)에는, 이 정면 메인트넌스구를 개폐하는 정면 메인트넌스도어(도시하지 않음)가 설치되어 있다. 메인터넌스도어에는, 카셋트 반입 반출구(기판 수용기 반입 반출구)(112)가, 광체(111) 내외를 연통하도록 개설되어 있다. 카셋트 반입 반출구(112)는, 프론트 셔터(기판 수용기 반입 반출구 개폐 기구)(113)에 의해 개폐되도록 되어 있다. 카셋트 반입 반출구(112)의 광체(111) 내측에는, 카셋트 스테이지[기판 수용기 수도대(受渡臺)](114)가 설치되어 있다. 카셋트(110)는, 카셋트 스테이지(114) 상에 공정 내 반송 장치(도시하지 않음)에 의해 반입되고, 또한, 카셋트 스테이지(114) 상으로부터 반출되도록 되어 있다. FIG. 1: is a schematic block diagram which shows the whole structure of the substrate processing apparatus which concerns on this embodiment. As shown in the drawing, the substrate processing apparatus 101 is provided with an enclosure 111. In order to convey the wafer (substrate) 200 made of silicon or the like into or out of the housing 111, a cassette 110 as a wafer carrier containing the wafer (substrate) 200 made of silicon or the like is used. Under the front wall 111a of the housing 111 of the substrate processing apparatus 101, a front maintenance opening (not shown) is formed as an opening opened in the housing 111 so as to be maintainable. It is. The front maintenance door (not shown) which opens and closes this front maintenance sphere is provided in the front wall 111a of the housing body 111. As shown in FIG. In the maintenance door, a cassette carrying in / out port (substrate container carrying in / out port) 112 is established so as to communicate inside and outside the housing 111. The cassette carrying in / out port 112 is opened and closed by the front shutter (substrate container carrying in / out opening / closing mechanism) 113. A cassette stage (substrate container water table) 114 is provided inside the housing 111 of the cassette carry-in / out port 112. The cassette 110 is carried in on the cassette stage 114 by an in-process transport apparatus (not shown), and is carried out from the cassette stage 114.

카셋트(110)는, 도시하지 않은 공정 내 반송 장치에 의해, 카셋트(110) 내의 웨이퍼(200)가 수직 자세로 되고, 카셋트(110)의 웨이퍼 출입구가 상방향을 향하도록, 카셋트 스테이지(114) 상에 재치(載置)된다. 카셋트 스테이지(114)는, 카셋트(110)를 광체(111)의 후방을 향하여 종방향으로 90° 회전시키고, 카셋트(110) 내의 웨이퍼(200)를 수평 자세로 하여, 카셋트(110)의 웨이퍼 출입구를 광체(111) 내의 후방을 향하게 하는 것이 가능하도록 구성되어 있다.The cassette 110 is a cassette stage 114 such that the wafer 200 in the cassette 110 is in a vertical position by the in-process transfer device (not shown), and the wafer entrance and exit of the cassette 110 faces upward. It is placed on the image. The cassette stage 114 rotates the cassette 110 in the longitudinal direction 90 ° toward the rear of the housing 111, and puts the wafer 200 in the cassette 110 in a horizontal position, so that the wafer entrance and exit of the cassette 110 is performed. Is configured to be able to face rearward in the housing 111.

광체(111) 내의 전후 방향의 실질적으로 중앙부에는, 카셋트 선반(기판 수용기 재치 선반)(105)이 설치되어 있다. 카셋트 선반(105)에는, 복수 단(段), 복수열(列)로 복수 개의 카셋트(110)가 보관되도록 구성되어 있다. 카셋트 선반(105)에는, 후술하는 웨이퍼 이재 기구(125)의 반송 대상이 되는 카셋트(110)가 수납되는 이재 선반(123)이 설치되어 있다. 또한, 카셋트 스테이지(114)의 상방에는, 예비 카셋트 선반(107)이 설치되고, 예비적으로 카셋트(110)를 보관하도록 구성되어 있다.A cassette shelf (substrate container placing shelf) 105 is provided at a substantially central portion in the front-rear direction in the housing 111. The cassette shelf 105 is configured such that a plurality of cassettes 110 are stored in a plurality of stages and a plurality of rows. The cassette shelf 105 is provided with a transfer shelf 123 in which the cassette 110 to be conveyed by the wafer transfer mechanism 125 described later is stored. Moreover, the upper cassette shelf 107 is provided above the cassette stage 114, and is comprised so that the cassette 110 may be stored preliminarily.

카셋트 스테이지(114)와 카셋트 선반(105)과의 사이에는, 카셋트 반송 장치(기판 수용기 반송 장치)(118)가 설치되어 있다. 카셋트 반송 장치(118)는, 카셋트(110)를 보지(保持)한 상태로 승강(昇降) 가능한 카셋트 엘리베이터(기판 수용기 승강 기구)(118a)와, 카셋트(110)를 보지한 상태로 수평 이동 가능한 반송 기구로서의 카셋트 반송 기구(기판 수용기 반송 기구)(118b)를 구비하고 있다. 이들 카셋트 엘리베이터(118a)와 카셋트 반송 기구(118b)와의 연속 동작에 의해, 카셋트 스테이지(114), 카셋트 선반(105), 예비 카셋트 선반(107)과의 사이에서, 카셋트(110)를 반송하도록 구성된다. A cassette conveying apparatus (substrate container conveying apparatus) 118 is provided between the cassette stage 114 and the cassette shelf 105. The cassette conveying apparatus 118 can move horizontally in the state which hold | maintained the cassette elevator (substrate container lifting mechanism) 118a which can lift up and down in the state which hold | maintained the cassette 110, and the cassette 110. The cassette conveyance mechanism (substrate container conveyance mechanism) 118b as a conveyance mechanism is provided. It is comprised so that the cassette 110 may be conveyed between the cassette stage 114, the cassette shelf 105, and the spare cassette shelf 107 by the continuous operation of these cassette elevator 118a and the cassette conveyance mechanism 118b. do.

카셋트 선반(105)의 후방에는, 웨이퍼 이재 기구(기판 이재 기구)(125)가 설치되어 있다. 웨이퍼 이재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 내지 직동(直動) 가능한 웨이퍼 이재 장치(기판 이재 장치)(125a)와, 웨이퍼 이재 장치(125a)를 승강시키는 웨이퍼 이재 장치 엘리베이터(기판 이재 장치 승강 기구)(125b)를 구비하고 있다. 한편, 웨이퍼 이재 장치(125a)는, 웨이퍼(200)를 수평 자세로 보지하는 트위저(tweezer)[기판 이재(移載)용 치구(治具)](125c)를 구비하고 있다. 웨이퍼 이재 장치 엘리베이터(125b)는, 내압성(耐壓性)을 가지는 광체(111)의 우측 단부에 설치되어 있다. 이들, 웨이퍼 이재 장치(125a)와 웨이퍼 이재 장치 엘리베이터(125b)와의 연속 동작에 의해, 웨이퍼(200)를 이재 선반(123) 상의 카셋트(110) 내로부터 픽업(pickup)하여 후술하는 보트(기판 지지 부재)(217)에 장전(裝塡)(charging)하거나, 웨이퍼(200)를 보트(217)로부터 탈장(脫裝)(discharging)하여 이재 선반(123) 상의 카셋트(110) 내로 수납하도록 구성되어 있다. Behind the cassette shelf 105, a wafer transfer mechanism (substrate transfer mechanism) 125 is provided. The wafer transfer mechanism 125 includes a wafer transfer device (substrate transfer device) 125a capable of rotating or directing the wafer 200 in a horizontal direction, and a wafer transfer device elevator for lifting and lowering the wafer transfer device 125a. (Substrate Transfer Device Lifting Mechanism) 125b is provided. On the other hand, the wafer transfer device 125a is provided with a tweezer (substrate transfer tool) 125c which holds the wafer 200 in a horizontal position. The wafer transfer device elevator 125b is provided at the right end of the housing 111 having pressure resistance. By the continuous operation of these wafer transfer apparatus 125a and the wafer transfer apparatus elevator 125b, the wafer 200 is picked up from the cassette 110 on the transfer shelf 123, and the boat (substrate support mentioned later) is mentioned. Member 217, or discharging the wafer 200 from the boat 217 and storing it in the cassette 110 on the transfer shelf 123. have.

광체(111)의 후부 상방에는, 처리로(202)가 설치되어 있다. 처리로(202)의 하단부에는 개구[노구(爐口)]가 설치되어 있다. 이러한 개구는, 노구 셔터(노구 개폐 기구)(147)에 의해 개폐되도록 구성되어 있다. 한편, 처리로(202)의 구성에 대해서는 후술한다.The processing furnace 202 is provided above the rear part of the housing 111. An opening (furnace) is provided at the lower end of the processing furnace 202. The opening is configured to be opened and closed by a furnace ball shutter (furnace opening and closing mechanism) 147. In addition, the structure of the process furnace 202 is mentioned later.

처리로(202)의 하방에는, 보트(217)를 승강시켜 처리로(202) 내외에 반송하는 승강 기구로서의 보트 엘리베이터[기판 보지구(保持具) 승강 기구](115)가 설치되어 있다. 보트 엘리베이터(115)의 승강대에는, 연결구(具)로서의 암(128)이 설치되어 있다. 암(128) 상에는, 보트(217)를 수직으로 지지함과 함께, 보트 엘리베이터(115)에 의해 보트(217)가 상승했을 때 처리로(202)의 하단부를 기밀(氣密)하게 폐색(閉塞)하는 덮개로서의 씰 캡 (seal cap, 219)이 수평으로 설치되어 있다.Below the processing furnace 202, a boat elevator (substrate holding mechanism) 115 is provided as a lifting mechanism which lifts and lowers the boat 217 and transfers the inside and outside of the processing furnace 202. On the platform of the boat elevator 115, an arm 128 as a connecting tool is provided. On the arm 128, while supporting the boat 217 vertically, when the boat 217 is raised by the boat elevator 115, the lower end part of the process furnace 202 is airtightly closed. The seal cap 219 as a cover is installed horizontally.

보트 (217)는 복수 개의 보지 부재를 구비하고 있고, 복수 매(예를 들면, 50매~150매 정도)의 웨이퍼(200)를, 그 중심을 가지런히 맞추어 수직 방향으로 정렬시킨 상태로, 각각 수평으로 보지하도록 구성되어 있다. The boat 217 is provided with a plurality of holding members, and each of the plurality of wafers 200 (for example, about 50 sheets to about 150 sheets) is aligned in the vertical direction with its center aligned, respectively. It is comprised so that it may hold horizontally.

카셋트 선반(105)의 상방에는, 공급 팬과 방진(防塵) 필터를 구비한 클린 유닛(134a)이 설치되어 있다. 클린 유닛(134a)은, 청정화된 분위기인 클린 에어를 광체(111)의 내부에 유통시키도록 구성되어 있다.Above the cassette shelf 105, the clean unit 134a provided with the supply pan and the dustproof filter is provided. The clean unit 134a is configured to distribute clean air, which is a clean atmosphere, into the housing 111.

또한, 웨이퍼 이재 장치 엘리베이터(125b) 및 보트 엘리베이터(115)측과 반대측인 광체(111)의 좌측 단부에는, 클린 에어를 공급하도록 공급 팬과 방진 필터를 구비한 클린 유닛(134b)이 설치되어 있다. 클린 유닛(134b)으로부터 취출(吹出)된 클린 에어는, 웨이퍼 이재 장치(125a) 및 보트(217)의 주위를 유통한 후에, 도시하지 않은 배기 장치에 흡입되어, 광체(111)의 외부로 배기되도록 되어 있다.Moreover, the clean unit 134b provided with a supply fan and a dustproof filter is provided in the left end part of the housing 111 on the opposite side to the wafer transfer device elevator 125b and the boat elevator 115 side. . The clean air taken out from the clean unit 134b flows around the wafer transfer device 125a and the boat 217, and is then sucked into an exhaust device not shown and exhausted to the outside of the housing 111. It is supposed to be.

(2) 기판 처리 장치의 동작(2) operation of the substrate processing apparatus

다음에, 본 실시 형태에 따른 기판 처리 장치(101)의 동작에 대해 설명한다.Next, the operation of the substrate processing apparatus 101 according to the present embodiment will be described.

카셋트(110)가 카셋트 스테이지(114)에 공급되기에 앞서, 카셋트 반입 반출구(112)가 프론트 셔터(113)에 의해 개방된다. 그 후, 카셋트(110)는 카셋트 반입 반출구(112)로부터 반입된다. 카셋트(110)는, 웨이퍼(200)가 수직 자세로 되고 카셋트(110)의 웨이퍼 출입구가 상방향을 향하도록, 카셋트 스테이지(114) 상에 재치된다. 그 후, 카셋트(110)는, 카셋트 스테이지(114)에 의해, 광체(111)의 후방을 향하여 종방향으로 90° 회전된다. 그 결과, 카셋트(110) 내의 웨이퍼(200)는 수평 자세로 되고, 카셋트(110)의 웨이퍼 출입구는 광체(111) 내의 후방을 향한다.Before the cassette 110 is supplied to the cassette stage 114, the cassette entry / exit opening 112 is opened by the front shutter 113. Thereafter, the cassette 110 is carried in from the cassette carrying in and out opening 112. The cassette 110 is placed on the cassette stage 114 such that the wafer 200 is in a vertical position and the wafer entrance of the cassette 110 faces upward. Thereafter, the cassette 110 is rotated 90 ° in the longitudinal direction toward the rear of the housing 111 by the cassette stage 114. As a result, the wafer 200 in the cassette 110 is in a horizontal position, and the wafer entrance and exit of the cassette 110 faces rearward in the housing 111.

다음에, 카셋트(110)는, 카셋트 반송 장치(118)에 의해, 카셋트 선반(105) 또는 예비 카셋트 선반(107)의 지정된 선반 위치에 자동적으로 반송되고 수도(受渡)되어 일시적으로 보관된 후, 카셋트 선반(105) 또는 예비 카셋트 선반(107)으로부터 이재 선반(123)에 이재되거나, 또는 이재 선반(123)에 직접 반송된다. Next, after the cassette 110 is automatically conveyed to the designated shelf position of the cassette shelf 105 or the preliminary cassette shelf 107 by the cassette conveying apparatus 118, and is temporarily stored, It is transferred to the transfer rack 123 from the cassette shelf 105 or the spare cassette shelf 107, or conveyed directly to the transfer shelf 123. As shown in FIG.

카셋트(110)가 이재 선반(123)에 이재되면, 웨이퍼(200)는, 웨이퍼 이재 장치(125a)의 트위저(125c)에 의해, 웨이퍼 출입구를 통해서 카셋트(110)로부터 픽업되고, 웨이퍼 이재 장치(125a)와 웨이퍼 이재 장치 엘리베이터(125b)와의 연속 동작에 의해 이재실(124)의 후방에 있는 보트(217)에 장전(charging)된다. 보트(217)에 웨이퍼(200)를 수도한 웨이퍼 이재 장치(125a)는 카셋트(110)로 되돌아오고, 다음의 웨이퍼(200)를 보트(217)에 장전한다.When the cassette 110 is transferred to the transfer rack 123, the wafer 200 is picked up from the cassette 110 through the wafer entrance and exit by the tweezers 125c of the wafer transfer apparatus 125a, and the wafer transfer apparatus ( The boat 217 at the rear of the transfer room 124 is charged by the continuous operation of the 125a and the wafer transfer device elevator 125b. The wafer transfer device 125a which transfers the wafer 200 to the boat 217 returns to the cassette 110 and loads the next wafer 200 in the boat 217.

미리 지정된 매수(枚數)의 웨이퍼(200)가 보트(217)에 장전되면, 노구 셔터(147)에 의해 닫혀져 있던 처리로(202)의 하단부가, 노구 셔터(147)에 의해 개방된다. 이어서, 씰 캡(219)이 보트 엘리베이터(115)에 의해 상승됨으로써, 웨이퍼(200)군을 보지한 보트(217)가 처리로(202) 내에 반입(loading)된다. When the predetermined number of wafers 200 are loaded in the boat 217, the lower end of the processing furnace 202 closed by the furnace shutter 147 is opened by the furnace shutter 147. Subsequently, the seal cap 219 is lifted by the boat elevator 115, so that the boat 217 holding the wafer 200 group is loaded into the processing furnace 202.

로딩 후는, 처리로(202)에서 웨이퍼(200)에 임의의 처리가 실시된다. 이러한 처리에 대해서는 후술한다. 처리 후는, 웨이퍼(200) 및 카셋트(110)는, 상술한 순서와 반대의 순서로 광체(111)의 외부로 불출(拂出)된다. After loading, an arbitrary process is performed on the wafer 200 in the processing furnace 202. This processing will be described later. After the processing, the wafer 200 and the cassette 110 are discharged to the outside of the housing 111 in the order opposite to that described above.

(3)처리로의 구성(3) The composition of the processing furnace

다음에, 본 실시 형태에 따른 처리로(202)의 구성에 대해 설명한다.Next, the structure of the process furnace 202 which concerns on this embodiment is demonstrated.

도 2는 본 실시 형태에 따른 기판 처리 장치의 처리로(202)의 종단면도이며, 도 3은 도 2에 나타내는 처리로(202)의 A-A선에 대응하는 횡단면도이다.FIG. 2 is a longitudinal cross-sectional view of the processing furnace 202 of the substrate processing apparatus according to the present embodiment, and FIG. 3 is a cross-sectional view corresponding to line A-A of the processing furnace 202 illustrated in FIG. 2.

<처리실><Processing Room>

본 발명의 일 실시 형태에 따른 처리로(202)는, 반응관(203)과 매니폴드(209)를 구비하고 있다. 반응관(203)은, 예를 들면 석영(SiO2)이나 탄화규소(SiC) 등의 내열성을 가지는 비금속 재료로 구성되며, 상단부가 폐색되고, 하단부가 개방된 원통 형상으로 되어 있다. 매니폴드(209)는, 예를 들면 SUS 등의 금속 재료로 구성되고, 상단부 및 하단부가 개방된 원통 형상으로 되어 있다. 반응관(203)은, 매니폴드(209)에 의해 하단부측으로부터 종방향으로 지지되어 있다. 반응관(203)과 매니폴드(209)는, 동심원 형상으로 배치되어 있다. 매니폴드(209)의 하단부는, 상술한 보트 엘리베이터(115)가 상승했을 때, 씰 캡(219)에 의해 기밀하게 봉지(封止)되도록 구성되어 있다. 매니폴드(209)의 하단부와 씰 캡(219)과의 사이에는, 처리실(201) 내를 기밀하게 봉지하는 봉지 부재로서의 O링(220)이 설치되어 있다.The processing furnace 202 according to the embodiment of the present invention includes a reaction tube 203 and a manifold 209. The reaction tube 203 is made of a nonmetallic material having heat resistance, such as quartz (SiO 2 ) or silicon carbide (SiC), for example, and has a cylindrical shape in which the upper end is closed and the lower end is open. The manifold 209 is comprised from metal materials, such as SUS, for example, and is made into the cylindrical shape which opened the upper end part and the lower end part. The reaction tube 203 is supported in the longitudinal direction from the lower end side by the manifold 209. The reaction tube 203 and the manifold 209 are arrange | positioned concentrically. The lower end part of the manifold 209 is comprised so that an airtight sealing may be carried out by the seal cap 219 when the boat elevator 115 mentioned above rises. Between the lower end of the manifold 209 and the seal cap 219, an O-ring 220 as a sealing member for hermetically sealing the inside of the processing chamber 201 is provided.

반응관(203)의 내부에는, 기판으로서의 웨이퍼(200)가 수용되는 처리실(201)이 형성되어 있다. 처리실(201) 내에는, 기판 보지구로서의 보트(217)가 하방으로부터 삽입되도록 구성되어 있다. 반응관(203) 및 매니폴드(209)의 내경은, 웨이퍼(200)를 장전한 보트(217)의 최대 외경보다 크게 되도록 구성되어 있다. In the reaction tube 203, a processing chamber 201 is formed in which the wafer 200 as a substrate is accommodated. In the process chamber 201, the boat 217 as a board | substrate holding tool is comprised so that it may be inserted from below. The inner diameter of the reaction tube 203 and the manifold 209 is comprised so that it may become larger than the maximum outer diameter of the boat 217 which loaded the wafer 200.

보트(217)는, 복수 매(예를 들면 75매~100매)의 웨이퍼(200)를, 실질적으로 수평 상태에서 소정의 극간(隙間)(기판 피치 간격)을 가지고 다단으로 보지하도록 구성되어 있다. 보트(217)는, 보트(217)로부터의 열전도(熱傳導)를 차단하는 단열(斷熱) 캡(218) 상에 탑재되어 있다. 단열 캡(218)은, 회전축(255)에 의해 하방으로부터 지지되어 있다. 회전축(255)은, 처리실(201) 내의 기밀을 보지하면서, 씰 캡(219)의 중심부를 관통하도록 설치되어 있다. 씰 캡(219)의 하방에는, 회전축(255)을 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)에 의해 회전축(255)을 회전시킴으로써, 처리실(201) 내의 기밀을 보지한 상태로, 복수의 웨이퍼(200)를 탑재한 보트(217)를 회전시킬 수 있도록 구성되어 있다.The boat 217 is configured to hold the plurality of wafers 200 (for example, 75 sheets to 100 sheets) in multiple stages with a predetermined gap (substrate pitch interval) in a substantially horizontal state. . The boat 217 is mounted on the heat insulation cap 218 which cuts off the heat conduction from the boat 217. The heat insulation cap 218 is supported from below by the rotation shaft 255. The rotary shaft 255 is provided to penetrate the center portion of the seal cap 219 while keeping the airtight inside the processing chamber 201. Below the seal cap 219, the rotation mechanism 267 which rotates the rotating shaft 255 is provided. By rotating the rotating shaft 255 by the rotating mechanism 267, it is comprised so that the boat 217 which mounted the some wafer 200 can be rotated in the state which hold | maintained the airtightness in the process chamber 201. FIG.

반응관(203)의 외주에는, 반응관(203)과 동심원 형상으로 가열 수단(가열 기구)으로서의 히터(207)가 설치되어 있다. 히터(207)는 원통 형상이며, 도 3에 나타내는 보지판으로서의 히터 베이스(207a)에 지지됨으로써 수직으로 설치되어 있다. 웨이퍼(200) 및 처리실 내 분위기는 히터(207)로부터의 복사열(輻射熱)에 의해 가열된다.On the outer periphery of the reaction tube 203, a heater 207 as a heating means (heating mechanism) is provided in a concentric manner with the reaction tube 203. The heater 207 is cylindrical in shape, and is vertically supported by being supported by the heater base 207a as the holding plate shown in FIG. 3. The atmosphere in the wafer 200 and the processing chamber is heated by radiant heat from the heater 207.

<가스 공급 유닛><Gas supply unit>

매니폴드(209)에는, 제1 가스 공급 노즐(233a)이 설치되어 있다. 제1 가스 공급 노즐(233a)은, 수직부와 수평부를 가지는 L자 형상으로 구성되어 있다. 제1 가스 공급 노즐(233a)의 수직부는, 웨이퍼(200)의 적재 방향을 따른 직선 형상으로 형성되어 있고, 처리실(201)의 하부로부터, 반응관(203)의 내벽과 보트(217) 상의 웨이퍼(200)와의 사이의 평면시(平面視) 원호 형상의 공간 내를 지나서, 처리실(201)의 천정부 부근까지 연재(延在)되어 있다. 제1 가스 공급 노즐(233a)의 수직부 측면(통부)에는, 처리실(201) 내에 가스를 도입하는 가스 도입구로서의 제1 가스 분출구(248a)가, 연직(鉛直) 방향으로 복수 개 설치되어 있다. 제1 가스 분출구(248a)는, 보트(217)에 보지되는 웨이퍼(200)의 재치 피치와 동일한 피치로 설치되어 있고, 보트(217) 상의 각 웨이퍼(200)의 상면을 따라 가스가 수평으로 흐르도록 각각 형성되어 있다. 또한, 제1 가스 분출구(248a)는, 각 웨이퍼(200) 상을 흐르는 가스의 유량을 동일하게 하기 위해, 서로 동일한 개구 면적으로 되어 있다. 한편, 제1 가스 분출구(248a)의 개구 직경은, 하부로부터 상부에 걸쳐서 서서히 크게 되도록 해도 좋다. The manifold 209 is provided with a first gas supply nozzle 233a. The 1st gas supply nozzle 233a is comprised in L shape which has a vertical part and a horizontal part. The vertical portion of the first gas supply nozzle 233a is formed in a straight line along the stacking direction of the wafer 200, and from the lower portion of the processing chamber 201, the inner wall of the reaction tube 203 and the wafer on the boat 217. It extends to the vicinity of the ceiling part of the process chamber 201 past the planar circular arc-shaped space between 200 and 200. As shown in FIG. On the vertical side surface (cylindrical part) of the first gas supply nozzle 233a, a plurality of first gas ejection openings 248a serving as gas inlets for introducing gas into the processing chamber 201 are provided in the vertical direction. . The first gas ejection port 248a is provided at the same pitch as the placement pitch of the wafer 200 held by the boat 217, and the gas flows horizontally along the upper surface of each wafer 200 on the boat 217. Are formed respectively. In addition, in order to make the flow volume of the gas which flows on each wafer 200 the same, the 1st gas blowing port 248a has the same opening area mutually. In addition, you may make the opening diameter of the 1st gas blowing port 248a gradually increase from the lower part to the upper part.

제1 가스 공급 노즐(233a)의 수평부는, 매니폴드(209)의 측벽을 관통하도록 설치되어 있다. 제1 가스 공급 노즐(233a)의 상류단에는, 예를 들면 Hf(하프늄) 원소나 Zr(지르코늄) 원소를 포함하는 테트라키스 에틸 메틸 아미노 하프늄[Hf(NCH3C2H5)4;TEMAH]이나 테트라키스 에틸 메틸 아미노 지르코늄(TEMAZ) 등의 액체 원료를 기화시킨 원료 가스(TEMAH 가스나 TEMAZ 가스)를 공급하는 제1 가스 공급관(232a)이 접속되어 있다. 제1 가스 공급관(232a)에는, 상류로부터 순서대로, 도시하지 않은 액체 원료 공급원, 유량 제어 장치(유량 제어 수단)인 액체 매스 플로우 컨트롤러(240), 액체 원료를 기화시켜 원료 가스를 발생시키는 기화기(242) 및 제1 밸브(243a)가 설치되어 있다.The horizontal portion of the first gas supply nozzle 233a is provided to penetrate the side wall of the manifold 209. At an upstream end of the first gas supply nozzle 233a, for example, tetrakis ethyl methyl amino hafnium containing Hf (hafnium) element or Zr (zirconium) element [Hf (NCH 3 C 2 H 5 ) 4 ; TEMAH] And a first gas supply pipe 232a for supplying a raw material gas (TEMAH gas or TEMAZ gas) obtained by evaporating a liquid raw material such as tetrakis ethyl methyl amino zirconium (TEMAZ). The first gas supply pipe 232a has a liquid raw material supply source (not shown), a liquid mass flow controller 240 which is a flow rate control device (flow rate control means), and a vaporizer that vaporizes the liquid raw material to generate source gas in order from the upstream ( 242 and the first valve 243a are provided.

제1 가스 공급관(232a)의 제1 밸브(243a)의 하류 측에는, 캐리어 가스(퍼지 가스)로서의 N2 가스를 공급하는 제1 캐리어 가스 공급관(234a)이 접속되어 있다. 제1 캐리어 가스 공급관(234a)에는, 상류로부터 순서대로, 도시하지 않은 캐리어 가스 공급원, 유량 제어 장치(유량 제어 수단)인 제2 매스 플로우 컨트롤러(241b), 제3 밸브(243c)가 설치되어 있다.The first carrier gas supply pipe 234a for supplying N 2 gas as a carrier gas (purge gas) is connected to the downstream side of the first valve 243a of the first gas supply pipe 232a. The first carrier gas supply pipe 234a is provided with a carrier gas supply source (not shown), a second mass flow controller 241b which is a flow rate control device (flow rate control means), and a third valve 243c in order from the upstream. .

또한, 매니폴드(209)에는, 제2 가스 공급 노즐(233b)이 설치되어 있다. 제2 가스 공급 노즐(233b)은, 수직부와 수평부를 가지는 L자 형상으로 구성되어 있다. 제2 가스 공급 노즐(233b)의 수직부는, 웨이퍼(200)의 적재(積載) 방향을 따른 직선 형상으로 형성되어 있고, 처리실(201)의 하부로부터, 반응관(203)의 내벽과 보트(217) 상의 웨이퍼(200)와의 사이의 평면시(平面視) 원호 형상의 공간 내를 지나서, 처리실(201)의 천정부 부근까지 연재되어 있다. 제2 가스 공급 노즐(233b)의 수직부 측면(통부)에는, 처리실(201) 내에 가스를 도입하는 가스 도입구로서의 제2 가스 분출구(248b)가, 연직 방향으로 복수 개 설치되어 있다. 제2 가스 분출구(248b)는, 보트(217)에 보지되는 웨이퍼(200)의 재치 피치와 동일한 피치로 설치되어 있고, 보트(217) 상의 각 웨이퍼(200)의 상면을 따라 가스가 수평으로 흐르도록 각각 형성되어 있다. 또한, 제2 가스 분출구(248b)는, 각 웨이퍼(200) 상을 흐르는 가스의 유량을 동일하게 하기 위해, 서로 동일한 개구 면적으로 되어 있다. 한편, 제2 가스 분출구(248b)의 개구 직경은, 하부로부터 상부에 걸쳐서 서서히 커지도록 해도 좋다. In addition, the manifold 209 is provided with a second gas supply nozzle 233b. The second gas supply nozzle 233b is configured in an L shape having a vertical portion and a horizontal portion. The vertical portion of the second gas supply nozzle 233b is formed in a straight line along the stacking direction of the wafer 200, and the inner wall of the reaction tube 203 and the boat 217 are formed from the bottom of the processing chamber 201. It extends to the vicinity of the ceiling part of the process chamber 201 through the planar circular arc-shaped space between the wafers 200 on the wafer). In the vertical part side surface (cylindrical part) of the 2nd gas supply nozzle 233b, the 2nd gas ejection opening 248b as a gas introduction port which introduces gas into the process chamber 201 is provided in the perpendicular direction. The second gas ejection port 248b is provided at the same pitch as the placement pitch of the wafer 200 held by the boat 217, and the gas flows horizontally along the upper surface of each wafer 200 on the boat 217. Are formed respectively. In addition, in order to make the flow volume of the gas which flows on each wafer 200 equal, the 2nd gas ejection opening 248b has mutually the same opening area. On the other hand, the opening diameter of the second gas ejection port 248b may be gradually increased from the lower portion to the upper portion.

제2 가스 공급 노즐(233b)의 수평부는, 매니폴드(209)의 측벽을 관통하도록 설치되어 있다. 제2 가스 공급 노즐(233b)의 상류단에는, 산화 가스인 오존(O3) 가스를 공급하는 제2 가스 공급관(232b)이 접속되어 있다. 제2 가스 공급관(232b)에는, 상류로부터 순서대로, 도시하지 않은 오존 가스 공급원, 유량 제어 장치(유량 제어 수단)인 제1 매스 플로우 컨트롤러(241a), 오존 공급 밸브(AV2)가 설치되어 있다.The horizontal portion of the second gas supply nozzle 233b is provided to penetrate the side wall of the manifold 209. A second gas supply pipe 232b for supplying ozone (O 3 ) gas, which is an oxidizing gas, is connected to an upstream end of the second gas supply nozzle 233b. The second gas supply pipe 232b is provided with an ozone gas supply source (not shown), a first mass flow controller 241a which is a flow rate control device (flow rate control means), and an ozone supply valve AV2 in order from the upstream.

제2 가스 공급관(232b)의 제1 매스 플로우 컨트롤러(241a)와 오존 공급 밸브(AV2)와의 사이에는, 벤트 가스관(232v)이 접속되어 있다. 벤트 가스관(232v)에는, 제6 밸브(234v)가 설치되어 있다. 처리실(201) 내에 오존 가스를 공급하지 않을 때에는, 오존의 생성을 정지하는 일 없이, 제6 밸브(234v)를 개방하여 벤트 가스관(232v)으로부터 오존을 배출하도록 함으로써, 다음번의 처리실(201) 내에의 오존의 공급을 안정되고 신속하게 개시시킬 수 있다. A vent gas pipe 232 ′ is connected between the first mass flow controller 241a of the second gas supply pipe 232b and the ozone supply valve AV2. The 6th valve 234kV is provided in the vent gas pipe 232kV. When the ozone gas is not supplied into the process chamber 201, the ozone is discharged from the vent gas pipe 232 ′ by opening the sixth valve 234 없이 without stopping ozone generation, thereby allowing the ozone gas to be discharged into the next process chamber 201. Supply of ozone can be started stably and quickly.

제2 가스 공급관(232b)의 오존 공급 밸브(AV2)의 하류 측에는, 캐리어 가스(퍼지 가스)로서의 N2 가스를 공급하는 제2 캐리어 가스 공급관(234b)이 접속되어 있다. 제2 캐리어 가스 공급관(234b)에는, 상류로부터 순서대로, 도시하지 않은 캐리어 가스 공급원, 유량 제어 장치(유량 제어 수단)인 제3 매스 플로우 컨트롤러(241c), 제4 밸브(243d)가 설치되어 있다.A second carrier gas supply pipe 234b for supplying N 2 gas as a carrier gas (purge gas) is connected to the downstream side of the ozone supply valve AV2 of the second gas supply pipe 232b. The second carrier gas supply pipe 234b is provided with a carrier gas supply source (not shown), a third mass flow controller 241c that is a flow rate control device (flow rate control means), and a fourth valve 243d in order from the upstream. .

주로, 제1 가스 공급 노즐(233a), 제1 가스 분출구(248a), 제1 가스 공급관(232a), 도시하지 않은 액체 원료 공급원, 액체 매스 플로우 컨트롤러(240), 기화기(242), 제1 밸브(243a), 제1 캐리어 가스 공급관(234a), 제2 매스 플로우 컨트롤러(241b), 제3 밸브(243c)에 의해, 본 실시 형태에 따른 원료 가스 공급 유닛이 구성된다. 또한, 주로, 제2 가스 공급 노즐(233b), 제2 가스 분출구(248b), 제2 가스 공급관(232b), 도시하지 않은 오존 가스 공급원, 제1 매스 플로우 컨트롤러(241a), 오존 공급 밸브(AV2), 벤트 가스관(232v), 제6 밸브(234v), 제2 캐리어 가스 공급관(234b), 도시하지 않은 캐리어 가스 공급원, 제3 매스 플로우 컨트롤러(241c), 제4 밸브(243d)에 의해, 본 실시 형태에 따른 산화 가스 공급 유닛이 구성된다. 또한, 주로, 원료 가스 공급 유닛 및 산화 가스 공급 유닛에 의해, 처리실(201) 내에 원료 가스 및 산화 가스를 공급하는 가스 공급 유닛이 구성된다.Mainly, the first gas supply nozzle 233a, the first gas ejection port 248a, the first gas supply pipe 232a, the liquid raw material supply source (not shown), the liquid mass flow controller 240, the vaporizer 242, and the first valve. The raw material gas supply unit which concerns on this embodiment is comprised by 243a, the 1st carrier gas supply pipe 234a, the 2nd mass flow controller 241b, and the 3rd valve 243c. In addition, mainly, the 2nd gas supply nozzle 233b, the 2nd gas ejection port 248b, the 2nd gas supply pipe 232b, the ozone gas supply source which is not shown in figure, the 1st mass flow controller 241a, and the ozone supply valve AV2 ), The vent gas pipe 232 ′, the sixth valve 234 ′, the second carrier gas supply pipe 234b, a carrier gas supply source (not shown), the third mass flow controller 241c, and the fourth valve 243d. An oxidizing gas supply unit according to the embodiment is configured. Moreover, the gas supply unit which mainly supplies the source gas and the oxidizing gas in the process chamber 201 is comprised by the source gas supply unit and the oxidizing gas supply unit.

이와 같이, 기판 처리 장치(101)에는, 2 종류의 가스(원료 가스 및 산화 가스)를 처리실(201)에 공급하는 가스 공급 유닛이 설치되어 있다. 그리고, 처리실(201) 내에의 2 종류의 가스의 교호(交互) 공급에 의해, 웨이퍼(200) 상에 원하는 막을 형성하도록 구성되어 있다. 또한, 성막 공정 동안에, 캐리어 가스에 의해 퍼지한 후, 진공 펌프(246)에 의해 배기함으로써, 처리실(201) 내를 청정화하도록 구성되어 있다. 그리고, 가스 공급 유닛의 일부를 처리에 적합한 장치와 교환함으로써, 원하는 처리를 실시할 수 있도록 되어 있다. Thus, the substrate processing apparatus 101 is provided with the gas supply unit which supplies two types of gas (raw material gas and oxidizing gas) to the processing chamber 201. The desired film is formed on the wafer 200 by alternately supplying two kinds of gases into the processing chamber 201. In addition, it is comprised so that the inside of the process chamber 201 may be cleaned by purging with a carrier gas and exhausting by the vacuum pump 246 during the film-forming process. And a part of gas supply unit is exchanged with the apparatus suitable for a process, and a desired process can be performed.

<배기 유닛><Exhaust unit>

매니폴드(209)의 측벽에는, 배기관(231)이 접속되어 있다. 배기관(231)에는, 상류측으로부터 순서대로, 배기 밸브로서의 제5 밸브(243e), 진공 펌프(246)가 설치되어 있다. 한편, 제5 밸브(243e)는, 밸브를 개폐하여 처리실(201)의 진공 배기의 개시 및 정지를 제어할 수 있고, 또한, 밸브 개방도를 조절함으로써 처리실(201) 내의 압력을 조정 가능한 자동 압력 조절 밸브(APC 밸브)로서 구성되어 있다. 주로, 배기관(231), 제5 밸브(243e), 진공 펌프(246)에 의해, 처리실(201) 내의 분위기를 배기하는 배기 유닛이 구성된다.The exhaust pipe 231 is connected to the side wall of the manifold 209. The exhaust pipe 231 is provided with a fifth valve 243e and a vacuum pump 246 as exhaust valves in order from the upstream side. On the other hand, the fifth valve 243e can open and close the valve to control the start and stop of vacuum evacuation of the processing chamber 201, and can also adjust the pressure in the processing chamber 201 by adjusting the valve opening degree. It is comprised as a control valve (APC valve). Mainly, the exhaust pipe 231, the fifth valve 243e, and the vacuum pump 246 constitute an exhaust unit for exhausting the atmosphere in the processing chamber 201.

<컨트롤러><Controller>

본 실시 형태에 따른 기판 처리 장치는, 제어부(제어 수단)인 컨트롤러(280)를 구비하고 있다. 컨트롤러(280)는, 액체 매스 플로우 컨트롤러(240), 제1~제3 매스 플로우 컨트롤러(241a, 241b, 241c), 제1~제6 밸브(243a, 243b, 243c, 243d, 243e, 243v), 히터(207), 진공 펌프(246), 회전 기구(267), 도시하지 않은 보트 승강 기구에 접속되어 있다. 컨트롤러(280)는, 액체 매스 플로우 컨트롤러(240) 및 제1~제3 매스 플로우 컨트롤러(241a, 241b, 241c)의 유량 조정 동작, 제1~제4 및 제6 밸브(243a, 243b, 243c, 243d, 243v)의 개폐 동작, 제5 밸브(243e)의 개폐 및 개방도 조정 동작, 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동(起動) 및 정지, 회전 기구(267)의 회전 속도 조정, 보트 승강 기구의 승강 동작을 각각 제어하도록 구성되어 있다.The substrate processing apparatus which concerns on this embodiment is equipped with the controller 280 which is a control part (control means). The controller 280 includes the liquid mass flow controller 240, the first to third mass flow controllers 241a, 241b, and 241c, the first to sixth valves 243a, 243b, 243c, 243d, 243e, and 243 ′, It is connected to the heater 207, the vacuum pump 246, the rotating mechanism 267, and the boat lifting mechanism not shown. The controller 280 controls the flow rate of the liquid mass flow controller 240 and the first to third mass flow controllers 241a, 241b, and 241c, the first to fourth and sixth valves 243a, 243b, and 243c, Opening / closing operation of 243d, 243v, opening / closing adjustment operation of fifth valve 243e, temperature adjustment operation of heater 207, starting and stopping of vacuum pump 246, rotation mechanism 267 It is comprised so that rotation speed adjustment and the lifting operation of a boat lifting mechanism are respectively controlled.

(4) 기판 처리 공정(4) substrate processing process

다음에, 반도체 디바이스의 제조 공정의 하나로서 실시되는 본 실시 형태에 따른 기판 처리 공정에 대해 설명한다. 본 실시 형태에 따른 기판 처리 공정은, 상술한 기판 처리 장치(노멀 플로우 방식의 종형 기판 처리 장치)에 의해 실시된다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은, 컨트롤러(280)에 의해 제어된다.Next, the substrate processing process according to the present embodiment performed as one of the manufacturing processes of the semiconductor device will be described. The substrate processing process according to the present embodiment is performed by the above-described substrate processing apparatus (a vertical substrate processing apparatus of a normal flow method). In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 280.

본 실시 형태에 따른 기판 처리 공정에서는, 원료 가스로서 TEMAH 가스를, 산화 가스로서 오존 가스를 이용하고, ALD법에 의해 웨이퍼(200) 상에 HfO2막을 성막한다. CVD법의 하나인 ALD법은, 특정 성막 조건(온도, 시간 등) 하에서, 성막에 이용하는 적어도 2 종류의 원료로 되는 반응성 가스를 1 종류씩 교호로 기판 상에 공급하고, 1 원자 단위로 기판 상에 흡착시켜, 표면 반응을 이용하여 성막을 수행하는 방법이다. 이 때, 막두께의 제어는, 반응성 가스를 공급하는 사이클 수로 수행한다(예를 들면, 성막 속도가 1Å/사이클로 하면, 20Å의 막을 형성하는 경우, 20 사이클 수행한다). ALD법을 이용한 성막 처리에서는, HfO, ZrO를 성막할 때의 처리 온도는, 180℃~270℃, 예를 들면 250℃로 한다. ALD법에서는, 예를 들면 HfO2막 형성의 경우, TEMAH 가스와 오존 가스를 이용하여 180~250℃의 저온에서 고품질의 성막이 가능하다.In the substrate processing process according to the present embodiment, an HfO 2 film is formed on the wafer 200 by the ALD method using TEMAH gas as the source gas and ozone gas as the oxidizing gas. The ALD method, which is one of the CVD methods, supplies at least two kinds of reactive gases, which are used as raw materials for film formation, on a substrate alternately, under specific film forming conditions (temperature, time, etc.), and on the substrate in units of one atom. It is a method of adsorbing on and performing film-forming using surface reaction. At this time, the film thickness is controlled by the number of cycles for supplying the reactive gas (for example, when the film formation rate is 1 kW / cycle, 20 cycles are performed when the film of 20 kW is formed). In the film-forming process using ALD method, the process temperature at the time of forming HfO and ZrO is 180 degreeC-270 degreeC, for example, 250 degreeC. In the ALD method, for example, in the case of HfO 2 film formation, high quality film formation is possible at a low temperature of 180 to 250 ° C. using TEMAH gas and ozone gas.

<웨이퍼 반입 공정>
<Wafer carrying process>

먼저, 상술한 바와 같이 웨이퍼(200)를 보트(217)에 장전하고, 처리실(201) 내에 반입한다. 보트(217)를 처리실(201) 내에 반입한 후, 후술하는 4개의 스텝을 순차적으로 실행한다.First, as described above, the wafer 200 is loaded into the boat 217 and loaded into the processing chamber 201. After carrying in the boat 217 into the process chamber 201, four steps mentioned later are performed sequentially.

<원료 가스 공급 공정(스텝 1)>Raw material gas supply process (step 1)

스텝 1에서는, 웨이퍼(200)를 수용한 처리실(201) 내의 분위기를 배기하면서, 처리실(201) 내에 원료 가스로서의 TEMAH 가스를 공급한다.In step 1, TEMAH gas as a source gas is supplied into the processing chamber 201 while exhausting the atmosphere in the processing chamber 201 containing the wafer 200.

구체적으로는, 배기관(231)의 제5 밸브(243e)를 개방하고, 처리실(201) 내의 분위기의 배기를 개시한다. 그리고, 제1 캐리어 가스 공급관(234a)의 제3 밸브(243c)를 개방하고, 캐리어 가스로서의 N2 가스를, 제2 매스 플로우 컨트롤러(241b)에 의해 유량 조정하면서, 제1 가스 공급관(232a)으로 흘린다. 또한, 액체 원료로서의 TEMAH를, 액체 매스 플로우 컨트롤러(240)에 의해 유량 조정하면서, 도시하지 않은 액체 원료 공급원으로부터 기화기(242)로 흘리고 기화시켜, TEMAH 가스를 발생시킨다. 그리고, 제1 가스 공급관(232a)의 제1 밸브(243a)를 개방하고, 기화기(242)에서 발생시킨 TEMAH 가스를, 제1 가스 공급 노즐(233a)을 향하여 흘린다. TEMAH 가스는, 제1 가스 공급관(232a) 내에서 캐리어 가스와 혼합한다. TEMAH 가스와 캐리어 가스와의 혼합 가스는, 제1 가스 공급 노즐(233a)의 제1 가스 분출구(248a)를 개재하여, 처리실(201) 내에 공급된다. 처리실(201) 내에 공급된 혼합 가스 중의 TEMAH는, 웨이퍼(200)의 표면 부분 등과 표면 반응(화학 흡착)하고, 웨이퍼(200) 상에 하지막이 형성된다. 하지막의 형성에 기여하지 않은 혼합 가스의 잉여분은, 배기 가스로서 배기관(231)으로부터 배기된다.Specifically, the fifth valve 243e of the exhaust pipe 231 is opened to start exhausting the atmosphere in the processing chamber 201. Then, the first gas supply pipe 232a is opened while the third valve 243c of the first carrier gas supply pipe 234a is opened and the N 2 gas serving as the carrier gas is adjusted by the second mass flow controller 241b. Shed. Moreover, while TEMAH as a liquid raw material is flow-controlled by the liquid mass flow controller 240, it flows and vaporizes from the liquid raw material supply source which is not shown in the vaporizer | carburetor 242, and generate | occur | produces TEMAH gas. Then, the first valve 243a of the first gas supply pipe 232a is opened, and the TEMAH gas generated by the vaporizer 242 flows toward the first gas supply nozzle 233a. The TEMAH gas is mixed with the carrier gas in the first gas supply pipe 232a. The mixed gas of the TEMAH gas and the carrier gas is supplied into the process chamber 201 via the first gas ejection port 248a of the first gas supply nozzle 233a. TEMAH in the mixed gas supplied into the processing chamber 201 is surface-reacted (chemical adsorption) and the like on the surface portion of the wafer 200, and an underlayer is formed on the wafer 200. The surplus of the mixed gas which does not contribute to the formation of the underlying film is exhausted from the exhaust pipe 231 as exhaust gas.

이 때, 제5 밸브(243e)의 개방도를, 처리실(201) 내의 압력이 0.1~400Pa의 범위로서, 예를 들면 200Pa로 유지되도록 설정한다. 또한, 액체 매스 플로우 컨트롤러(240)가 제어하는 TEMAH의 유량을 0.01~0.1g/min로 하고, 혼합 가스에 웨이퍼(200)를 노출하는 시간을 30~180초 동안으로 한다. 또한, 히터(207)의 온도를, 웨이퍼(200)의 온도가 180~250℃의 범위로서, 예를 들면 230℃가 되도록 설정한다.At this time, the opening degree of the 5th valve 243e is set so that the pressure in the process chamber 201 may be maintained at 200 Pa, for example as 0.1-400 Pa. In addition, the flow rate of TEMAH controlled by the liquid mass flow controller 240 is set to 0.01 to 0.1 g / min, and the time for exposing the wafer 200 to the mixed gas is set to 30 to 180 seconds. In addition, the temperature of the heater 207 is set so that it may become 230 degreeC, for example as the temperature of the wafer 200 as 180-250 degreeC.

<원료 가스 제거 공정(스텝 2)><Raw gas removal process (step 2)>

스텝 2에서는, 처리실(201) 내에 잔류하는 TEMAH 가스 및 TEMAH 가스의 중간체를 제거한다.In step 2, the intermediate of the TEMAH gas and the TEMAH gas remaining in the process chamber 201 is removed.

구체적으로는, 제1 가스 공급관(232a)의 제1 밸브(243a)를 닫고, 처리실(201) 내에의 TEMAH 가스의 공급을 정지한다. 이 때, 배기관(231)의 제5 밸브(243e)는 개방한 상태로 하고, 진공 펌프(246)에 의해 처리실(201) 내를 20Pa이하로 될 때까지 배기하고, 잔류 TEMAH 가스 및 TEMAH 가스의 중간체를 처리실(201) 내로부터 배제한다. 한편, 처리실(201) 내로부터의 잔류 TEMAH 가스 및 TEMAH 가스의 중간체의 제거가 완료될 때까지는, 제1 캐리어 가스 공급관(234a)의 제3 밸브(243c)를 개방해 두고, 제2 매스 플로우 컨트롤러(241b)에 의해 유량 조정하면서 퍼지 가스로서의 N2를 처리실(201) 내로 공급하도록 하면, 처리실(201) 내로부터 잔류 TEMAH 가스 및 TEMAH 가스의 중간체를 배제하는 효과가 더욱 높아진다.Specifically, the first valve 243a of the first gas supply pipe 232a is closed to stop the supply of the TEMAH gas into the processing chamber 201. At this time, the fifth valve 243e of the exhaust pipe 231 is kept open, and the vacuum pump 246 exhausts the inside of the process chamber 201 until it is 20 Pa or less, and the residual TEMAH gas and TEMAH gas are discharged. The intermediate is excluded from the process chamber 201. On the other hand, the second valve 243c of the first carrier gas supply pipe 234a is opened until the removal of the residual TEMAH gas and the intermediate of the TEMAH gas from the process chamber 201 is completed. By supplying N 2 as a purge gas into the processing chamber 201 while adjusting the flow rate by 241b, the effect of excluding the intermediate of residual TEMAH gas and TEMAH gas from the processing chamber 201 is further enhanced.

<오존 공급 공정(스텝 3)><Ozone Supply Process (Step 3)>

스텝 3에서는, 처리실(201) 내의 분위기의 배기를 실질적으로 중지한 상태에서, 처리실(201) 내로 오존을 공급한다.In step 3, ozone is supplied into the process chamber 201 while the exhaust of the atmosphere in the process chamber 201 is substantially stopped.

구체적으로는, 배기관(231)의 제5 밸브(243e)를 닫음으로써, 처리실(201) 내의 배기를 실질적으로 중지한다. 그리고, 제2 캐리어 가스 공급관(234b)의 제4 밸브(243d)를 개방하고, 캐리어 가스로서의 N2 가스를, 제3 매스 플로우 컨트롤러(241c)에 의해 유량 조정하면서, 제2 가스 공급관(232b)으로 흘린다. 또한, 제2 가스 공급관(232b)의 오존 공급 밸브(AV2)를 개방하고, 산화 가스로서의 오존 가스를, 제1 매스 플로우 컨트롤러(241a)에 의해 조정하면서, 제2 가스 공급 노즐(233b)을 향하여 흘린다. 오존 가스는, 제2 가스 공급관(232b) 내에서 캐리어 가스와 혼합한다. 오존 가스와 캐리어 가스와의 혼합 가스는, 제2 가스 공급 노즐(233b)의 제2 가스 분출구(248b)를 개재하여, 처리실(201) 내에 공급된다. 처리실(201) 내에 공급된 혼합 가스 중의 오존은, 웨이퍼(200)의 표면에 화학 흡착하고 있는 TEMAH와 표면 반응하고, 웨이퍼(200) 상에 HfO2막이 성막된다. HfO2막의 형성에 기여하지 않은 혼합 가스의 잉여분은, 배기가스로서 배기관(231)으로부터 배기된다.Specifically, the exhaust in the process chamber 201 is substantially stopped by closing the fifth valve 243e of the exhaust pipe 231. The second gas supply pipe 232b is opened while the fourth valve 243d of the second carrier gas supply pipe 234b is opened and the N 2 gas serving as the carrier gas is adjusted by the third mass flow controller 241c. Shed. Further, the ozone supply valve AV2 of the second gas supply pipe 232b is opened, and the ozone gas as the oxidizing gas is adjusted by the first mass flow controller 241a, and toward the second gas supply nozzle 233b. Shed. The ozone gas is mixed with the carrier gas in the second gas supply pipe 232b. The mixed gas of the ozone gas and the carrier gas is supplied into the process chamber 201 via the second gas ejection port 248b of the second gas supply nozzle 233b. Ozone in the mixed gas supplied into the processing chamber 201 is surface-reacted with TEMAH chemisorbed on the surface of the wafer 200, and an HfO 2 film is formed on the wafer 200. The surplus of the mixed gas that does not contribute to the formation of the HfO 2 film is exhausted from the exhaust pipe 231 as exhaust gas.

이 때, 제5 밸브(243e)의 개방도를, 처리실(201) 내의 압력을 0.1~400Pa의 범위로서, 예를 들면 200Pa로 유지하는 압력으로 설정한다. 또한, O3에 웨이퍼(200)를 노출하는 시간을, 10~120초 동안으로 설정한다. 또한, 히터(207)의 온도를, 웨이퍼(200)의 온도가 스텝 1의 TEMAH 가스의 공급시와 동일하게 180~250℃의 범위로서, 예를 들면 230℃가 되도록 설정한다.At this time, the opening degree of the 5th valve 243e is set to the pressure which keeps the pressure in the process chamber 201 as 0.1-400 Pa, for example at 200 Pa. In addition, the time for exposing the wafer 200 to O 3 is set to 10 to 120 seconds. In addition, the temperature of the heater 207 is set so that it may become 230 degreeC, for example in the range of 180-250 degreeC similarly to the time of supply of the TEMAH gas of step 1 in the case of supplying the TEMAH gas.

<반복 공정><Repeat process>

그 후, 상술한 스텝 1~4를 1 사이클로 하고, 이 사이클을 복수회 반복함으로써, 웨이퍼(200) 상에 소정의 막두께의 HfO2막을 성막하고, 본 실시 형태에 따른 기판 처리 공정을 종료한다. 그리고, 웨이퍼 반입 공정과 반대의 순서로, 처리실(201) 내로부터 처리 후의 웨이퍼(200)를 반출한다.Thereafter, the steps 1 to 4 described above are set as one cycle, and the cycle is repeated a plurality of times to form a HfO 2 film having a predetermined film thickness on the wafer 200, and the substrate processing process according to the present embodiment is completed. . And the processed wafer 200 is carried out from the process chamber 201 in the reverse order to the wafer loading process.

(5) 본 실시 형태에 따른 효과(5) Effect according to this embodiment

본 실시 형태에 의하면, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다. According to this embodiment, one or more effects shown below are exhibited.

(a) 본 실시 형태에 의하면, 처리실(201) 내에 오존을 공급하는 오존 공급 공정(스텝 3)을, 처리실(201) 내의 분위기의 배기를 실질적으로 중지한 상태에서 수행한다. 이에 의해, 처리실(201) 내에 오존을 충만 및 확산시키고, 웨이퍼(200)의 외연부(外緣部)뿐 아니라 중심부에도 오존을 충분히 공급할 수 있다. 그 결과, HfO2막을 형성할 때의 처리 시간을 단축시키고, 웨이퍼(200) 상에 형성되는 HfO2막의 막두께 분포나 조성 분포의 균일성을 향상시킬 수 있다.(a) According to this embodiment, the ozone supply process (step 3) which supplies ozone to the process chamber 201 is performed in the state which stopped exhaust of the atmosphere in the process chamber 201 substantially. Thereby, ozone is filled and diffused in the process chamber 201, and ozone can fully be supplied not only to the outer edge part of the wafer 200 but also to the center part. As a result, HfO 2, it is possible to shorten the processing time at the time of forming a film and, HfO improve the uniformity of the second film has a thickness distribution and the composition distribution to be formed on the wafer 200.

(b) 또한, 본 실시 형태에 의하면, 처리실(201) 내에 TEMAH 가스와 오존을 서로 혼합되지 않도록 교호로 공급한다. 이에 의해, 처리실(201) 내에 있어서의 여분(餘分)의 기상(氣相) 반응을 억제하고, 웨이퍼(200) 상에서 효율적으로 성막 반응을 발생시켜, HfO2막을 형성할 때의 처리 시간을 단축시킬 수 있다. 또한, 처리실(201) 내에서의 파티클의 발생이 억제되고, 웨이퍼(200) 상에 형성되는 HfO2막의 막두께 분포나 조성 분포의 균일성을 향상시킬 수 있다.(b) In addition, according to the present embodiment, TEMAH gas and ozone are alternately supplied into the processing chamber 201 so as not to be mixed with each other. This suppresses extra gas phase reaction in the processing chamber 201, efficiently forms a film reaction on the wafer 200, and shortens the processing time when forming the HfO 2 film. You can. In addition, generation of particles in the processing chamber 201 can be suppressed, and the uniformity of the film thickness distribution and the composition distribution of the HfO 2 film formed on the wafer 200 can be improved.

(c) 또한, 본 실시 형태에 의하면, 오존 공급 공정(스텝 3)을 처리실(201) 내의 분위기의 배기를 실질적으로 중지한 상태에서 수행함으로써 상술한 효과가 얻어지고, 처리실(201) 내에 오존을 대유량으로 공급할 필요가 없다. 그 때문에, 오존의 낭비를 억제하고, 기판 처리의 비용을 저감시킬 수 있다.(c) In addition, according to the present embodiment, the above-described effect is obtained by performing the ozone supplying step (step 3) in a state in which the exhaust of the atmosphere in the processing chamber 201 is substantially stopped, and ozone is introduced into the processing chamber 201. There is no need to supply a large flow rate. Therefore, waste of ozone can be suppressed and the cost of a substrate process can be reduced.

<제2 실시 형태><2nd embodiment>

다음에, 본 발명의 제2 실시 형태에 따른 사이드 플로우 방식의 종형 기판 처리 장치의 기본 구성 및 이 기판 처리 장치를 사용한 기판 처리 방법에 대해 설명한다.Next, the basic structure of the vertical type | mold substrate processing apparatus of the side flow system which concerns on 2nd Embodiment of this invention, and the substrate processing method using this substrate processing apparatus are demonstrated.

본 실시 형태에 따른 기판 처리 장치는, 도 18에 예시하는 바와 같이, 반응관(203)이, 아우터 튜브(31)와, 이 아우터 튜브(31) 내에 배치된 이너 튜브(38)로 구성되어 있는 점이, 상술한 실시 형태에 따른 기판 처리 장치와 다르다. 또한, 이너 튜브(38)의 측벽에 복수의 배기구(排氣口, 41)가 설치되어 있고, 이 복수의 배기구(41)를 통과한 배기가, 아우터 튜브(31)의 하부에 설치된 배기구(35)로부터 배출되는 점이, 상술한 실시 형태에 따른 기판 처리 장치와 다르다. 기타의 구성은, 노멀 플로우 방식의 종형 기판 처리 장치와 동일하다. In the substrate processing apparatus according to the present embodiment, as illustrated in FIG. 18, the reaction tube 203 includes an outer tube 31 and an inner tube 38 disposed in the outer tube 31. The point differs from the substrate processing apparatus which concerns on embodiment mentioned above. Moreover, the some exhaust port 41 is provided in the side wall of the inner tube 38, and the exhaust which passed this some exhaust port 41 is exhaust port 35 provided in the lower part of the outer tube 31. Moreover, as shown in FIG. The discharge point from) differs from the substrate processing apparatus according to the above-described embodiment. The other structure is the same as that of the vertical type substrate processing apparatus of a normal flow system.

이하, 차이점을 중심으로, 사이드 플로우 방식의 종형 기판 처리 장치를 설명한다.Hereinafter, the vertical type | mold substrate processing apparatus of a side flow system is demonstrated focusing on a difference.

도 18은, 본 실시 형태에 따른 사이드 플로우 방식의 처리로의 종단면도이다. 도시하는 바와 같이, 본 실시 형태에 따른 반응관은, 아우터 튜브(31)와, 아우터 튜브(31)의 내부에 설치된 이너 튜브(38)로 구성되어 있다. 아우터 튜브(31) 및 이너 튜브(38)는, 각각, 예를 들면 석영(SiO2)이나 탄화규소(SiC) 등의 내열성(耐熱性)을 가지는 비금속 재료로 구성되며, 상단부가 폐색되고, 하단부가 개방된 원통 형상으로 되어 있다. 아우터 튜브(31)는, 매니폴드(209)에 의해 하단부측으로부터 종방향으로 지지되어 있다. 아우터 튜브(31)의 하부 내벽 표면에는, 내측을 향하여 돌출하는 수대(受臺, 31a)가 형성되어 있다. 이너 튜브(38)의 하부 외벽 표면에는, 외측을 향하여 돌출하는 복수의 돌기부(38a)가 형성되어 있다. 돌기부(38a)가 수대(31a) 상에 설치됨으로써, 이너 튜브(38)는 아우터 튜브(31) 내에 하방으로부터 종방향으로 지지되도록 구성되어 있다. 이너 튜브(38) 외벽 표면과 아우터 튜브(31) 내벽 표면과의 사이에는, 상하 방향으로 이어지는 원통 형상의 간극(間隙, 39)이 형성되어 있다. 이너 튜브(38)의 내부에는 처리실(201)이 형성되고, 보트(217)가 하방으로부터 삽입되도록 구성되어 있다.18 is a longitudinal sectional view of a side flow system processing furnace according to the present embodiment. As shown, the reaction tube which concerns on this embodiment is comprised from the outer tube 31 and the inner tube 38 provided in the outer tube 31. As shown in FIG. The outer tube 31 and the inner tube 38 are each made of a non-metallic material having heat resistance such as quartz (SiO 2 ) or silicon carbide (SiC), respectively, and the upper end is closed and the lower end is Has an open cylindrical shape. The outer tube 31 is supported in the longitudinal direction from the lower end side by the manifold 209. On the surface of the lower inner wall of the outer tube 31, a water tank 31a which protrudes inward is formed. On the surface of the lower outer wall of the inner tube 38, a plurality of protrusions 38a protruding outward are formed. By providing the projection part 38a on the water | base 31a, the inner tube 38 is comprised so that it may be supported in the outer tube 31 from the downward from the longitudinal direction. Between the outer wall surface of the inner tube 38 and the inner wall surface of the outer tube 31, a cylindrical gap 39 extending in the vertical direction is formed. The processing chamber 201 is formed inside the inner tube 38, and the boat 217 is configured to be inserted from below.

제1 가스 공급 노즐(233a)의 수직부 및 제2 가스 공급 노즐(233b)의 수직부는, 각각, 이너 튜브(38)의 내벽과 보트(217) 상의 웨이퍼(200)와의 사이의 평면시 원호 형상의 공간 내를 지나서, 처리실(201)의 천정부 부근까지 연재되어 있다.The vertical portion of the first gas supply nozzle 233a and the vertical portion of the second gas supply nozzle 233b each have a planar circular arc shape between the inner wall of the inner tube 38 and the wafer 200 on the boat 217. The space is extended to the vicinity of the ceiling of the processing chamber 201 past the space of the space.

이너 튜브(38)의 측벽에는, 제1 가스 공급 노즐(233a) 및 제2 가스 공급 노즐(233b)에 대향한 위치에, 복수의 배기구(41)가 설치되어 있다. 복수의 배기구(41)는, 보트(217)에 보지되는 웨이퍼(200)의 재치 피치[즉 제1 가스 분출구(248a)나 제2 가스 분출구(248b)의 배열 피치]와 동일한 피치로 설치되어 있고, 보트(217) 상의 각 웨이퍼(200)의 상면을 따라 가스가 수평으로 흐르도록 형성되어 있다. 한편, 매니폴드(209)의 측벽 하방[이너 튜브(38)의 하단 하방]에는, 배기관(231)이 접속되는 배기구(35)가 형성되어 있다.On the side wall of the inner tube 38, a plurality of exhaust ports 41 are provided at positions facing the first gas supply nozzle 233a and the second gas supply nozzle 233b. The plurality of exhaust ports 41 are provided at the same pitch as the placement pitch of the wafer 200 held by the boat 217 (that is, the arrangement pitch of the first gas ejection port 248a or the second gas ejection port 248b). The gas is formed to flow horizontally along the upper surface of each wafer 200 on the boat 217. On the other hand, an exhaust port 35 to which the exhaust pipe 231 is connected is formed below the side wall of the manifold 209 (below the lower end of the inner tube 38).

또한, 매니폴드(209)의 하단에는, 개구인 노구(34)가 형성되어 있다. 노구(34)는, 노구(34)의 내경보다 큰 외경을 가지는 원반(덮개)인 씰 캡(219)에 의해, O링(씰 링)(220)을 개재하여 씰되도록 구성되어 있다. 또한, 씰 캡(219)의 축심부를 관통하도록, 회전 기구(267)의 회전축(64)이 설치되어 있다. 회전축(64)의 상단에는 지지대가 수직으로 입설(立設)되어 있다. 지지대 상에는 기판 보지구로서의 보트(217)가 수직으로 입설되어 있다. Moreover, the furnace port 34 which is an opening is formed in the lower end of the manifold 209. The furnace port 34 is configured to be sealed via an O-ring (sealing ring) 220 by a seal cap 219 that is a disk (cover) having an outer diameter larger than the inner diameter of the furnace port 34. Moreover, the rotating shaft 64 of the rotating mechanism 267 is provided so that the shaft center part of the seal cap 219 may penetrate. At the upper end of the rotating shaft 64, a support is vertically placed. The boat 217 as a board | substrate holding | maintenance tool is penetrated vertically on the support stand.

복수의 웨이퍼(200)를 보지한 보트(217)가 처리실(201) 내로 삽입되어, 처리실(201)이 씰 캡(219)에 의해 씰되면, 처리실(201) 내는 배기관(231)에 접속된 진공 펌프(246)에 의해 소정의 압력 이하로 배기되고, 히터(207)에의 공급 전력이 상승됨으로써, 처리실(201) 내의 온도가 소정 온도로 승온(昇溫)된다. 또한, 보트(217)는 회전 구동 기구(63)의 회전축(62)에 의해 회전된다. 핫 월(hot wall)식의 노(爐) 구조로서 구성되어 있음으로써, 처리실(201) 내의 온도는 전체에 걸쳐서 균일하게 유지되고, 보트(217) 및 이에 보지된 각 웨이퍼(200)의 온도 분포는 전체에 걸쳐서 균일하게 된다.When the boat 217 holding the plurality of wafers 200 is inserted into the processing chamber 201 and the processing chamber 201 is sealed by the seal cap 219, the vacuum inside the processing chamber 201 is connected to the exhaust pipe 231. The pump 246 exhausts the gas to a predetermined pressure or less, and the power supplied to the heater 207 is raised, whereby the temperature in the processing chamber 201 is raised to a predetermined temperature. In addition, the boat 217 is rotated by the rotation shaft 62 of the rotation drive mechanism 63. By being configured as a hot wall furnace structure, the temperature in the processing chamber 201 is uniformly maintained throughout, and the temperature distribution of the boat 217 and each wafer 200 held therein. Becomes uniform throughout.

본 실시 형태에 의하면, 상술한 효과에 더하여, 이하에 나타내는 하나 또는 복수의 효과를 더욱 발휘한다. According to this embodiment, one or more effects shown below are further exhibited in addition to the effects described above.

(a) 본 실시 형태에 의하면, 제1 가스 공급 노즐(233a), 제2 가스 공급 노즐(233b)이, 복수 매의 웨이퍼(200)의 적층 방향으로 연재하도록, 이너 튜브(38)의 내부에 설치되어 있다. 그리고, 복수의 배기구(41)가, 제1 가스 공급 노즐(233a), 제2 가스 공급 노즐(233b)에 대향한 이너 튜브(38)의 위치에 설치되어 있다. 이에 의해, 각 웨이퍼(200)에 대해서 원료 가스 및 산화 가스의 수평 플로우를 형성할 수 있다. 그리고, 각 웨이퍼(200) 상에 형성하는 HfO2막 등의 면내 균일성을 향상시킬 수 있다.(a) According to the present embodiment, the first gas supply nozzle 233a and the second gas supply nozzle 233b extend in the inner tube 38 so as to extend in the stacking direction of the plurality of wafers 200. It is installed. And the some exhaust port 41 is provided in the position of the inner tube 38 which opposes the 1st gas supply nozzle 233a and the 2nd gas supply nozzle 233b. Thereby, the horizontal flow of source gas and oxidizing gas can be formed with respect to each wafer 200. And, it is possible to improve the in-plane uniformity such as a HfO 2 film formed on each wafer 200.

(b) 또한, 본 실시 형태에 의하면, 제1 가스 공급 노즐(233a), 제2 가스 공급 노즐(233b)이, 보트(217)에 보지된 웨이퍼(200)의 외연에 근접하도록 배치되어 있다. 이에 의해, 웨이퍼(200)에의 원료 가스나 산화 가스의 공급 효율을 향상시킬 수 있고, 기판 처리의 생산성을 향상시킬 수 있다. 또한, 웨이퍼(200) 중심 부근에의 가스의 공급량을 증대시킬 수 있고, 웨이퍼(200) 상에 형성되는 HfO2막의 막두께의 면내 균일성을 향상시킬 수 있다.(b) Moreover, according to this embodiment, the 1st gas supply nozzle 233a and the 2nd gas supply nozzle 233b are arrange | positioned so that the outer periphery of the wafer 200 hold | maintained by the boat 217 may be arrange | positioned. Thereby, the supply efficiency of the source gas and the oxidizing gas to the wafer 200 can be improved, and the productivity of the substrate processing can be improved. In addition, the amount of gas supplied near the center of the wafer 200 can be increased, and the in-plane uniformity of the film thickness of the HfO 2 film formed on the wafer 200 can be improved.

(c) 또한, 본 실시 형태에 의하면, 이너 튜브(38) 외벽 표면과 아우터 튜브(31) 내벽 표면과의 사이에, 상하 방향으로 이어지는 간극(39)이 형성되어 있다. 또한, 배기구(35)가, 이너 튜브(38)의 개방단보다 하측에 설치되어 있다. 이에 의해, 이너 튜브(38)와 아우터 튜브(31)와의 사이의 간극(39)을 통과한 후의 가스와, 이너 튜브(38)의 개방단으로부터의 가스를 모두 동시에 배기시킬 수 있고, 가스의 치환 효율을 향상시킬 수 있다.(c) In addition, according to the present embodiment, a gap 39 extending in the vertical direction is formed between the inner tube 38 outer wall surface and the outer tube 31 inner wall surface. In addition, the exhaust port 35 is provided below the open end of the inner tube 38. As a result, both the gas after passing through the gap 39 between the inner tube 38 and the outer tube 31 and the gas from the open end of the inner tube 38 can be exhausted at the same time. The efficiency can be improved.

한편 도 19는, 도 18에 나타낸 이너 튜브(38)의 변형예를 나타내는 사시도이다.19 is a perspective view which shows the modification of the inner tube 38 shown in FIG.

도 18에서 설명한 기판 처리 장치와의 차이점은, 배기구(41A)가 이너 튜브(38)의 천정벽에 개설되어 있는 점이다. 배기구(41A)는, 배기관(231)이 설치된 측과 반대측[복수의 배기구(41)측]에 설치되어 있다. 이 변형예에 의하면, 제1 가스 공급 노즐(233a)의 제1 가스 분출구(248a)로부터 분출되는 가스 및 제2 가스 공급 노즐(233b)의 제2 가스 분출구(248b)로부터 분출되는 가스의 수평 플로우를 각각 억제할 수 있고, 처리실(201) 내의 가스 퍼지 효율을 향상시킬 수 있다. 한편, 배기구(41A)의 크기는, 수평 플로우 억제 효과와 가스 퍼지 효율을 비교하여 가장 적합하게 설정하는 것이 바람직하다.The difference from the substrate processing apparatus described with reference to FIG. 18 is that the exhaust port 41A is provided on the ceiling wall of the inner tube 38. The exhaust port 41A is provided on the side opposite to the side where the exhaust pipe 231 is provided (the plurality of exhaust ports 41 side). According to this modification, the horizontal flow of the gas ejected from the first gas ejection port 248a of the first gas supply nozzle 233a and the gas ejected from the second gas ejection port 248b of the second gas supply nozzle 233b. Can be suppressed, and the gas purge efficiency in the process chamber 201 can be improved. On the other hand, it is preferable to set the size of the exhaust port 41A in the most suitable manner by comparing the horizontal flow suppression effect and the gas purge efficiency.

<제3 실시 형태>Third Embodiment

다음에, 본 발명의 제3 실시 형태에 따른 기판 처리 장치의 구성 및 이 기판 처리 장치에 의해 실시되는 기판 처리 공정에 대해 설명한다.Next, the structure of the substrate processing apparatus which concerns on 3rd Embodiment of this invention, and the substrate processing process performed by this substrate processing apparatus are demonstrated.

(1) 기판 처리 장치의 구성(1) Structure of Substrate Processing Apparatus

먼저, 본 실시 형태에 따른 기판 처리 장치의 구성을, 도 4를 참조하면서 설명한다. 도 4는, 본 실시 형태에 따른 기판 처리 장치의 처리로 및 가스 공급 유닛의 개략 구성도이다. 본 실시 형태에 있어서는, 가스 공급 유닛이, 산화 가스로서의 오존 가스를 처리실(201) 내에 펄스(pulse)적으로 공급(플래시 공급)하도록 구성되어 있는 점이, 상술한 실시 형태와 다르다. 한편, 가스 공급 유닛 이외의 구성에 대해서는, 컨트롤러(280)의 산화 순서를 제외하고 제1 실시 형태와 동일하다. 이하, 본 실시 형태에 따른 기판 처리 장치의 가스 공급 유닛의 구성에 대해 설명한다.First, the structure of the substrate processing apparatus which concerns on this embodiment is demonstrated, referring FIG. 4 is a schematic configuration diagram of a processing furnace and a gas supply unit of the substrate processing apparatus according to the present embodiment. In the present embodiment, the gas supply unit is different from the above-described embodiment in that the gas supply unit is configured to supply (flash supply) the ozone gas as the oxidizing gas into the processing chamber 201 pulsed. In addition, about the structure other than a gas supply unit, it is the same as that of 1st Embodiment except the oxidation procedure of the controller 280. FIG. Hereinafter, the structure of the gas supply unit of the substrate processing apparatus which concerns on this embodiment is demonstrated.

도 4에 나타내는 바와 같이, 제1 가스 공급 노즐(233a)의 상류단에는, 제1 가스 공급관(232a)의 하류단이 접속되어 있다. 제1 가스 공급관(232a)의 상류단은, 기화기(242) 내에 형성된 기화실(242a)의 2차측(outlet)에 접속되어 있다. 기화실(242a)의 1차측(inlet)에는, 반송관(100)의 하류단이 접속되어 있다. 반송관(100)의 상류단은, 액체 원료 공급원으로서의 탱크(305) 내에 저류(貯留)된 액체 원료로서의 TEMAH 내에 삽입(침지)되어 있다. 반송관(100)에는, 상류측으로부터 순서대로, 밸브(AV4), 액체 매스 플로우 컨트롤러(240)가 설치되어 있다. 탱크(305) 내에 저류된 TEMAH의 상방 공간에는, 압송 가스 공급관(51)의 하류단이 접속되어 있고, 압송 가스 공급관(51)으로부터 압송 가스로서의 N2 가스가 공급되도록 구성되어 있다. 압송 가스 공급관(51)에는, 밸브(AV3)가 설치되어 있다. 기화실(242a) 내에는, 제1 캐리어 가스 공급관(234a)의 하류단이 접속되어 있고, 캐리어 가스(퍼지 가스)로서의 N2 가스가 공급되도록 구성되어 있다. 제1 캐리어 가스 공급관(234a)에는, 상류측으로부터 순서대로, 도시하지 않은 캐리어 가스 공급원, 제2 매스 플로우 컨트롤러(241b), 제3 밸브(243c)가 설치되어 있다. 기화기(242)에는 절환 밸브(切換弁, 50)가 설치되어 있다. 절환 밸브(50)는, 탱크(305) 내를 기화실(242a)에 연통시키는 절환 위치(이하, 원료 가스 공급 위치라고 함)와, 기화실(242a)을 통해서 제1 캐리어 가스 공급관(234a)과 제1 가스 공급관(232a)을 연통(連通)시키는 절환 위치(이하, 캐리어 가스 공급 위치) 중 어느 한 쪽으로 절환할 수 있도록 구성되어 있다.As shown in FIG. 4, the downstream end of the 1st gas supply pipe 232a is connected to the upstream end of the 1st gas supply nozzle 233a. The upstream end of the first gas supply pipe 232a is connected to a secondary outlet of the vaporization chamber 242a formed in the vaporizer 242. The downstream end of the conveyance pipe 100 is connected to the primary inlet of the vaporization chamber 242a. The upstream end of the conveyance pipe 100 is inserted (immersed) in TEMAH as the liquid raw material stored in the tank 305 as the liquid raw material supply source. In the conveyance pipe 100, the valve AV4 and the liquid mass flow controller 240 are provided in order from the upstream side. The upper space of the reservoir TEMAH in the tank 305, the pressure-fed, and is the downstream end of the gas supply pipe 51 is connected, and is configured such that N 2 gas as the pressurized gas from the pressurized gas supply pipe 51 is supplied. The valve AV3 is provided in the pressurized gas supply pipe 51. In the vaporizing chamber (242a), the first and the carrier is a downstream end of the gas supply pipe (234a) connection is configured as a carrier gas, N 2 gas (purge gas) is supplied. A carrier gas supply source (not shown), a second mass flow controller 241b, and a third valve 243c are provided in the first carrier gas supply pipe 234a in order from the upstream side. Carburetor 242 is provided with a switching valve (切換 弁, 50). The switching valve 50 is a switching position (hereinafter referred to as source gas supply position) for communicating the inside of the tank 305 to the vaporization chamber 242a and the first carrier gas supply pipe 234a through the vaporization chamber 242a. It is comprised so that switching to either of the switching positions (henceforth carrier gas supply position) which communicates with the 1st gas supply pipe 232a is carried out.

제2 가스 공급 노즐(233b)의 상류단에는, 제2 가스 공급관(232b)의 하류단이 접속되어 있다. 제2 가스 공급관(232b)에는, 상류측으로부터 순서대로, 오존 발생 장치로서의 오조나이저(52), 오존 도입 밸브(AV1), 제1 매스 플로우 컨트롤러(241a), 처리실(201)에 접속된 가스 저장부로서의 버퍼 탱크(102), 오존 공급 밸브(AV2)가 설치되어 있다. 오조나이저(52)는, 방전(放電)에 의해 산소(O2) 가스로부터 오존 가스를 생성하는 장치이다. 오조나이저(52)에는, 도시하지 않은 산소 가스 공급 라인으로부터 산소 가스가 공급되도록 구성되어 있다. 가스 저장부로서의 버퍼 탱크(102)는, 처리실(201) 내에 펄스적으로 공급하기 위한 오존 가스를 일시적으로 충전하는 압력 용기로서 구성되어 있다. 즉, 오조나이저(52)로부터 공급된 오존 가스가, 버퍼 탱크(102) 내에 일시적으로 충전된 후, 처리실(201) 내에 펄스 형상으로 공급(플래시 공급)되도록 구성되어 있다. 한편, 본 실시 형태에 있어서는, 제1 실시 형태에 따른 기판 처리 장치와 달리, 제2 캐리어 가스 공급관(234b)은 분리되어 있다. The downstream end of the second gas supply pipe 232b is connected to the upstream end of the second gas supply nozzle 233b. The gas storage connected to the ozone generator 52 as an ozone generator, the ozone introduction valve AV1, the 1st mass flow controller 241a, and the process chamber 201 is provided in the 2nd gas supply pipe 232b in order from an upstream. The buffer tank 102 and the ozone supply valve AV2 as a part are provided. The ozonizer 52 is a device that generates ozone gas from oxygen (O 2 ) gas by discharge. The ozoneizer 52 is comprised so that oxygen gas may be supplied from the oxygen gas supply line which is not shown in figure. The buffer tank 102 as a gas storage unit is configured as a pressure vessel that temporarily fills ozone gas for supplying pulses into the processing chamber 201. That is, the ozone gas supplied from the ozonizer 52 is temporarily filled in the buffer tank 102, and is comprised so that it may supply (flash supply) to the process chamber 201 in pulse form. In addition, in this embodiment, unlike the substrate processing apparatus which concerns on 1st Embodiment, the 2nd carrier gas supply pipe 234b is isolate | separated.

한편, 기화기(242)에 있어서 액체 원료가 기화됨으로써 생성되는 원료 가스는, 그 종류에 따라서는 재액화(再液化)하기 쉬운 경우가 있다. 그 때문에, 기화기(242)의 2차측(outlet)으로부터 처리실(201)에 도달할 때까지의 원료 가스의 공급 경로[제1 가스 공급관(232a), 제1 가스 공급 노즐(233a)의 상류측]을, 소정 온도(예를 들면 액체 원료로서 TEMAZ를 사용하는 경우는 130℃)로 가열하여, 원료 가스의 재액화를 억제하도록 하고 있다. 구체적으로는, 상술한 원료 가스의 공급 경로[제1 가스 공급관(232a), 제1 가스 공급 노즐(233a)의 상류측]의 외표면에, 리본 히터(도시하지 않음) 등을 설치하고 있다.On the other hand, the raw material gas produced | generated by vaporizing a liquid raw material in the vaporizer | carburetor 242 may be easy to re-liquefy depending on the kind. Therefore, the supply path of the source gas (upstream side of the 1st gas supply pipe 232a and the 1st gas supply nozzle 233a) from the secondary outlet of the vaporizer | carburetor 242 to the process chamber 201 is reached. Is heated to a predetermined temperature (for example, 130 ° C. when TEMAZ is used as the liquid raw material) to suppress reliquefaction of the source gas. Specifically, a ribbon heater (not shown) is provided on the outer surface of the above-described supply gas supply path (upstream side of the first gas supply pipe 232a and the first gas supply nozzle 233a).

또한, 기화기(242)에 있어서의 액체 원료의 기화를 촉진시키기 위해, 탱크(305)로부터 기화기(242)에 도달할 때까지의 액체 원료의 공급 경로[반송관(100)]를 소정 온도로 가열하여, 기화기(242)에 공급되는 액체 원료를 예열하도록 하고 있다. 구체적으로는, 상술한 액체 원료의 공급 경로[반송관(100)]의 외표면에, 리본 히터(도시하지 않음) 등을 설치하고 있다.Moreover, in order to promote vaporization of the liquid raw material in the vaporizer | carburetor 242, the liquid raw material supply path | route (conveyor pipe 100) from the tank 305 to the vaporizer | carburetor 242 is heated to predetermined temperature. Thus, the liquid raw material supplied to the vaporizer 242 is preheated. Specifically, a ribbon heater (not shown) or the like is provided on the outer surface of the above-described supply path of the liquid raw material (conveying pipe 100).

한편, 액체 원료나 원료 가스의 공급 경로[반송관(100), 제1 가스 공급관(232a), 제1 가스 공급 노즐(233a)의 상류측]의 외표면에 리본 히터(도시하지 않음)를 설치하여 이 공급 경로의 내부를 가열하도록 하면, 열전도에 의해, 버퍼 탱크(102) 내까지도 가열되어 버려, 버퍼 탱크(102) 내에 충전된 오존이 분해해 버리는 경우가 있다. 그 때문에, 버퍼 탱크(102) 내를 냉각하도록 하고 있다. 예를 들면, 도 15에 나타내는 바와 같이, 버퍼 탱크(102)의 외면에는 냉각 코일(300)을 설치하고, 냉각 코일(300) 내에 냉각(chiller)수, 공업용수 등의 열교환 매체를 흘림으로써, 버퍼 탱크(102)를 냉각하도록 하고 있다. 한편, 도 16에 나타내는 바와 같이, 버퍼 탱크(102)를 항온조(301)의 내부에 설치하는 것으로 하고, 항온조(301)의 내부를 -20~+25℃의 범위 내, 예를 들면 23℃ 전후로 온도를 유지하도록 해도 된다. 또한, 도시하지 않지만, 버퍼 탱크(102)를 펠티에 소자(peltier element)에 의해 냉각해도 된다. 이와 같이 구성함으로써, 처리실(201)에 도달하기 전에 버퍼 탱크(102) 내에서 오존이 분해되어 버리는 것을 억제할 수 있고, 처리실(201) 내에의 오존 가스의 공급을 안정시키고, 오존의 낭비를 억제하는 것이 가능하게 된다.On the other hand, a ribbon heater (not shown) is provided on the outer surface of the supply path of the liquid raw material or source gas (upstream side of the conveying pipe 100, the first gas supply pipe 232a, and the first gas supply nozzle 233a). If the inside of this supply path is heated, the inside of the buffer tank 102 may be heated by heat conduction, and the ozone filled in the buffer tank 102 may decompose. Therefore, the inside of the buffer tank 102 is cooled. For example, as shown in FIG. 15, by providing the cooling coil 300 in the outer surface of the buffer tank 102, and flowing heat exchange media, such as chiller water and industrial water, in the cooling coil 300, The buffer tank 102 is cooled. On the other hand, as shown in FIG. 16, it is supposed that the buffer tank 102 is provided inside the thermostat 301, and the inside of the thermostat 301 is in the range of -20 to +25 deg. C, for example, around 23 deg. May be maintained. In addition, although not shown, the buffer tank 102 may be cooled by a peltier element. By such a configuration, it is possible to suppress the decomposition of ozone in the buffer tank 102 before reaching the process chamber 201, to stabilize the supply of ozone gas into the process chamber 201, and to suppress the waste of ozone. It becomes possible.

또한, 버퍼 탱크(102) 내에 충전된 오존은, 버퍼 탱크(102)의 내벽면과 반응하여 실활(失活)해 버리는 경우가 있다. 이 때문에, 버퍼 탱크(102)의 내벽면을 코팅막에 의해 코팅하여, 버퍼 탱크(102)의 내벽면과 오존 가스와의 반응을 억제하도록 하고 있다. 코팅막종으로서는, 예를 들면 철(Fe), 티탄(Ti), 알루미늄(Al), 니켈(Ni) 또는 크롬(Cr) 등의 산화막(Fe산화막, Ti산화막, Al산화막, Ni산화막, Cr산화막)을 이용할 수 있다. 또한, SUS316 등의 스테인리스막을 버퍼 탱크(102)의 내면에 코팅하거나, 또는 버퍼 탱크(102)를 SUS316 등의 스테인리스강으로 구성해도 된다. 크롬을 포함하는 스테인리스강은 산화 처리에 의해 산화 크롬 등이 형성되기 쉽고, 안정된 부동막(不動膜)(산화막)을 형성하기 때문에, 버퍼 탱크(102) 내에 충전된 오존의 실활을 방지할 수 있다.In addition, ozone filled in the buffer tank 102 may deactivate in reaction with the inner wall surface of the buffer tank 102. For this reason, the inner wall surface of the buffer tank 102 is coated with a coating film to suppress the reaction between the inner wall surface of the buffer tank 102 and ozone gas. Examples of the coating film species include oxide films (Fe oxide film, Ti oxide film, Al oxide film, Ni oxide film, Cr oxide film) such as iron (Fe), titanium (Ti), aluminum (Al), nickel (Ni) or chromium (Cr). Can be used. Further, a stainless film such as SUS316 may be coated on the inner surface of the buffer tank 102, or the buffer tank 102 may be made of stainless steel such as SUS316. In the stainless steel containing chromium, chromium oxide and the like are easily formed by the oxidation treatment, and a stable passivation film (oxidation film) is formed, so that deactivation of ozone filled in the buffer tank 102 can be prevented. .

또한, 버퍼 탱크(102)의 내벽면 뿐 아니라, 기타의 오존 가스의 공급로, 즉, 제2 가스 공급관(232b)의 내벽면에 있어서의 오존의 실활을 억제하도록 하고 있다. 구체적으로는, 제2 가스 공급관(232b)의 내벽면을 상술한 코팅막에 의해 코팅하고 있다. 한편, 제2 가스 공급관(232b)을 스테인리스로 구성하여, 제2 가스 공급관(232b)의 내벽면에 산화 크롬 등으로 이루어지는 부동막을 형성하도록 해도 된다.In addition, deactivation of ozone in not only the inner wall surface of the buffer tank 102 but also other supply paths of ozone gas, that is, the inner wall surface of the second gas supply pipe 232b is suppressed. Specifically, the inner wall surface of the second gas supply pipe 232b is coated with the coating film described above. On the other hand, the second gas supply pipe 232b may be made of stainless steel to form a passivation film made of chromium oxide or the like on the inner wall surface of the second gas supply pipe 232b.

한편, 스테인리스강으로 구성된 버퍼 탱크(102)의 내벽면이나 제2 가스 공급관(232b)의 내벽면 등에 산화 크롬 등으로 이루어지는 부동막을 형성하기 위해서는, 버퍼 탱크(102)나 제2 가스 공급관(232b)의 내부의 수분을 충분히 제거한 상태에서, 오조나이저(52)로부터 제2 가스 공급관(232b)에 오존을 공급하는 코팅 공정을 실시하면 된다. 이 때, 오존 도입 밸브(AV1), 오존 공급 밸브(AV2)를 개방하고, 다른 밸브는 닫힘으로 한다. 그 결과, 스테인리스로 구성된 각 부 표면이 오존에 노출되어 산화되고, 이들의 표면에 산화 크롬 등의 안정된 부동막이 형성된다. 이에 의해 오존의 실활을 억제할 수 있음과 함께, 오존의 낭비를 방지할 수 있다. 한편, 버퍼 탱크(102)의 내벽면이나 제2 가스 공급관(232b)의 내벽면 등에 산화 크롬 등으로 이루어진 부동막을 형성하는 코팅 공정은, 후술하는 기판 처리 공정을 개시하기 전에 수행하도록 해도 된다. On the other hand, in order to form a passivation film made of chromium oxide or the like on the inner wall surface of the buffer tank 102 made of stainless steel, the inner wall surface of the second gas supply pipe 232b, the buffer tank 102 or the second gas supply pipe 232b. What is necessary is just to perform the coating process which supplies ozone from the ozonizer 52 to the 2nd gas supply pipe 232b in the state which removed the moisture inside. At this time, the ozone introduction valve AV1 and the ozone supply valve AV2 are opened, and the other valves are closed. As a result, each sub surface made of stainless steel is exposed to ozone to oxidize, and a stable passivation film such as chromium oxide is formed on these surfaces. As a result, the deactivation of ozone can be suppressed and the waste of ozone can be prevented. In addition, the coating process of forming the passivation film which consists of chromium oxide etc. in the inner wall surface of the buffer tank 102, the inner wall surface of the 2nd gas supply pipe 232b, etc. may be performed before starting a substrate processing process mentioned later.

(2) 기판 처리 공정(2) substrate processing process

다음에, 반도체 디바이스의 제조 공정의 하나로서 실시되는 본 실시 형태에 따른 기판 처리 공정에 대해 설명한다. 본 실시 형태에 따른 기판 처리 공정은, 오존 공급 공정 이전에, 처리실(201)에 접속된 버퍼 탱크(102) 내에 오존을 충전하는 오존 충전 공정을 포함하고, 오존 공급 공정에서는, 버퍼 탱크(102) 내에 충전된 오존을 처리실(201) 내에 펄스적으로 공급(플래시 공급)하는 점이, 제1 및 제2 실시 형태와 다르다. 한편, 본 실시 형태에 있어서는, 오존 충전 공정과 오존 공급 공정과 오존 제거 공정을 복수회 반복하도록 하고 있다. 본 실시 형태에 따른 기판 처리 공정은, 도 4에 나타내는 기판 처리 장치에 의해 실시된다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은, 컨트롤러(280)에 의해 제어된다.Next, the substrate processing process according to the present embodiment performed as one of the manufacturing processes of the semiconductor device will be described. The substrate processing step according to the present embodiment includes an ozone filling step of filling ozone into the buffer tank 102 connected to the processing chamber 201 before the ozone supply step, and the buffer tank 102 in the ozone supply step. The point (pulse supply) of supplying ozone filled in the inside into the process chamber 201 is different from 1st and 2nd embodiment. In addition, in this embodiment, an ozone filling process, an ozone supply process, and an ozone removal process are repeated multiple times. The substrate processing process according to the present embodiment is performed by the substrate processing apparatus shown in FIG. 4. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 280.

<웨이퍼 반입 공정><Wafer carrying process>

먼저, 상술한 바와 같이 웨이퍼(200)를 보트(217)에 장전하고, 처리실(201) 내에 반입한다. 보트(217)를 처리실(201) 내에 반입한 후, 후술하는 5가지의 스텝을 순차적으로 실행한다.First, as described above, the wafer 200 is loaded into the boat 217 and loaded into the processing chamber 201. After carrying in the boat 217 into the process chamber 201, the five steps mentioned later are performed sequentially.

<원료 가스 공급 공정(스텝 1)>Raw material gas supply process (step 1)

스텝 1에서는, 웨이퍼(200)를 수용한 처리실(201) 내의 분위기를 배기하면서, 처리실(201) 내에 원료 가스로서의 TEMAH 가스를 공급한다.In step 1, TEMAH gas as a source gas is supplied into the processing chamber 201 while exhausting the atmosphere in the processing chamber 201 containing the wafer 200.

구체적으로는, 배기관(231)의 제5 밸브(243e)를 개방하고, 처리실(201) 내의 분위기의 배기를 개시한다. 또한, 밸브(AV3)를 개방하고, 탱크(305) 내에 저류된 TEMAH의 상방 공간에, 압송 가스로서의 N2 가스를 공급한다. 또한, 절환 밸브(50)를 원료 가스 공급 위치로 하고, 밸브(AV4)를 개방하고, 탱크(305) 내에 저류된 TEMAH를, 액체 매스 플로우 컨트롤러(240)에 의해 유량 조정하면서, 기화기(242)[기화실(242a)]로 압송하고 기화시켜, TEMAH 가스를 발생시킨다. 또한, 제1 가스 공급관(232a)의 제1 밸브(243a)를 개방하고, 캐리어 가스로서의 N2 가스를, 제2 매스 플로우 컨트롤러(241b)에 의해 유량 조정하면서, 기화기(242)[기화실(242a)]로 공급한다. 그 결과, TEMAH 가스와 캐리어 가스와의 혼합 가스가, 제1 가스 공급 노즐(233a)의 제1 가스 분출구(248a)를 개재하여, 처리실(201) 내에 공급된다. 처리실(201) 내에 공급된 혼합 가스 중의 TEMAH는, 웨이퍼(200)의 표면 부분 등과 표면 반응(화학 흡착)하고, 웨이퍼(200) 상에 하지막이 형성된다. 하지막의 형성에 기여하지 않는 혼합 가스의 잉여분은, 배기가스로서 배기관(231)으로부터 배기된다.Specifically, the fifth valve 243e of the exhaust pipe 231 is opened to start exhausting the atmosphere in the processing chamber 201. Further, opening the valve (AV3), and supplies a N 2 gas as the pressurized gas, in the upper space of the reservoir TEMAH in the tank 305. Moreover, the vaporizer | carburetor 242 is made into the source gas supply position, the valve | bulb AV4 is opened, and the TEMAH stored in the tank 305 is flow-controlled by the liquid mass flow controller 240, and the evaporator 242 is carried out. It is sent to the vaporization chamber 242a and vaporized to generate a TEMAH gas. In addition, the first opening the first valve (243a) of the gas supply pipe (232a), and the N 2 gas as a carrier gas, a second and a flow rate controlled by a mass flow controller (241b), a vaporizer (242) vaporizing chamber ( 242a). As a result, the mixed gas of the TEMAH gas and the carrier gas is supplied into the process chamber 201 via the first gas ejection port 248a of the first gas supply nozzle 233a. TEMAH in the mixed gas supplied into the processing chamber 201 is surface-reacted (chemical adsorption) and the like on the surface portion of the wafer 200, and an underlayer is formed on the wafer 200. The surplus of the mixed gas which does not contribute to the formation of the underlying film is exhausted from the exhaust pipe 231 as exhaust gas.

이 때, 제5 밸브(243e)의 개방도를, 처리실(201) 내의 압력이 0.1~400Pa의 범위로서, 예를 들면 200Pa로 유지되도록 설정한다. 또한, 액체 매스 플로우 컨트롤러(240)가 제어하는 TEMAH의 유량을 0.01~0.1g/min로 하고, 혼합 가스에 웨이퍼(200)를 노출하는 시간을 30~180초 동안으로 한다. 또한, 히터(207)의 온도를, 웨이퍼(200)의 온도가 180~250℃의 범위로서, 예를 들면 230℃가 되도록 설정한다.At this time, the opening degree of the 5th valve 243e is set so that the pressure in the process chamber 201 may be maintained at 200 Pa, for example as 0.1-400 Pa. In addition, the flow rate of TEMAH controlled by the liquid mass flow controller 240 is set to 0.01 to 0.1 g / min, and the time for exposing the wafer 200 to the mixed gas is set to 30 to 180 seconds. In addition, the temperature of the heater 207 is set so that it may become 230 degreeC, for example as the temperature of the wafer 200 as 180-250 degreeC.

<원료 가스 제거 공정(스텝 2)><Raw gas removal process (step 2)>

스텝 2에서는, 처리실(201) 내에 잔류하는 TEMAH 가스 및 TEMAH 가스의 중간체를 제거한다.In step 2, the intermediate of the TEMAH gas and the TEMAH gas remaining in the process chamber 201 is removed.

구체적으로는, 기화기(242)의 절환 밸브(50)를 캐리어 가스 공급 위치로 하고, 처리실(201) 내에의 TEMAH 가스의 공급을 정지한다. 이 때, 배기관(231)의 제5 밸브(243e)는 개방한 상태로 하고, 진공 펌프(246)에 의해 처리실(201) 내를 20Pa 이하가 될 때까지 배기하고, 잔류 TEMAH 가스 및 TEMAH 가스의 중간체를 처리실(201) 내로부터 배제한다. 한편, 처리실(201) 내로부터의 잔류 TEMAH 가스 및 TEMAH 가스의 중간체의 제거가 완료될 때까지는, 제1 캐리어 가스 공급관(234a)의 제3 밸브(243c)를 개방해 두고, 제2 매스 플로우 컨트롤러(241b)에 의해 유량 조정하면서 퍼지 가스로서의 N2를 처리실(201) 내로 공급하도록 하면, 처리실(201) 내로부터 잔류 TEMAH 가스 및 TEMAH 가스의 중간체를 배제하는 효과가 더욱 높아진다.Specifically, the switching valve 50 of the vaporizer | carburetor 242 is made into the carrier gas supply position, and supply of TEMAH gas in the process chamber 201 is stopped. At this time, the fifth valve 243e of the exhaust pipe 231 is left open, and the vacuum pump 246 exhausts the inside of the process chamber 201 until it becomes 20 Pa or less, and the residual TEMAH gas and TEMAH gas are discharged. The intermediate is excluded from the process chamber 201. On the other hand, the second valve 243c of the first carrier gas supply pipe 234a is opened until the removal of the residual TEMAH gas and the intermediate of the TEMAH gas from the process chamber 201 is completed. By supplying N 2 as a purge gas into the processing chamber 201 while adjusting the flow rate by 241b, the effect of excluding the intermediate of residual TEMAH gas and TEMAH gas from the processing chamber 201 is further enhanced.

<산화막 형성 공정(스텝 3)><Oxide Film Forming Step (Step 3)>

다음에, 처리실(201)에 접속된 가스 저장부로서의 버퍼 탱크(102) 내에 오존을 충전하는 공정[오존 충전 공정(스텝 3a)]과, 버퍼 탱크(102) 내에 충전된 오존을 처리실(201) 내에 공급하는 오존 공급 공정(스텝 3b)과, 처리실(201)의 분위기를 배기하는 공정[오존 제거 공정(스텝 3c)]을 복수회 반복하는 산화막 형성 공정(스텝 3)을 실시한다.Next, a process of filling ozone in the buffer tank 102 as a gas storage unit connected to the process chamber 201 (ozone filling process (step 3a)) and ozone filled in the buffer tank 102 are processed in the process chamber 201. An oxide film forming step (step 3) of repeating the ozone supplying step (step 3b) to be supplied into the inside and the step of evacuating the atmosphere of the processing chamber 201 (ozone removal step (step 3c)) a plurality of times is performed.

산화막 형성 공정(스텝 3)의 시퀀스예 1~3을, 도 6~도 8에 각각 나타낸다. 6 to 8 show sequence examples 1 to 3 of the oxide film forming step (step 3).

<시퀀스예 1><Sequence Example 1>

도 6은 산화막 형성 공정(스텝 3)의 시퀀스예 1을 나타낸다.6 shows Sequence Example 1 of the oxide film forming step (step 3).

시퀀스예 1에서는, 먼저, 도 6의[1]에 나타내는 바와 같이, 제5 밸브(APC, 243e)를 개방으로 하고, 오존 공급 밸브(AV2)를 닫힘으로 한 상태에서, 오존 도입 밸브(AV1)를 개방으로 하고, 제1 매스 플로우 컨트롤러(241a)로 유량 조정하면서, 버퍼 탱크(102) 내에 오존 가스를 공급한다[오존 충전 공정(스텝 3a)].In Sequence Example 1, first, as shown in [1] of FIG. 6, the ozone inlet valve AV1 is opened while the fifth valves APC and 243e are opened and the ozone supply valve AV2 is closed. Is opened and ozone gas is supplied into the buffer tank 102, adjusting the flow volume by the 1st mass flow controller 241a (ozone filling process (step 3a)).

소정 시간이 경과하여, 버퍼 탱크(102) 내에 소정량의 오존 가스가 충전되고, 버퍼 탱크(102) 내의 압력이 예를 들면 100000Pa에 도달하면, 도 6의[2]에 나타내는 바와 같이, 오존 공급 밸브(AV2)를 개방으로 하고, 버퍼 탱크(102) 내에 충전되고 있던 오존 가스를 처리실(201) 내에 공급한다[오존 공급 공정(스텝 3 b)]. 오존 공급 공정(스텝 3b)에 있어서는, 버퍼 탱크(102) 내에 충전된 오존 가스가, 처리실(201) 내에 펄스적으로 공급(플래시 공급)된다. 오존 가스는, 웨이퍼(200)의 표면에 화학 흡착하고 있는 TEMAH와 표면 반응하고, 웨이퍼(200) 상에 HfO2막이 성막된다. 한편, 오존 공급 공정(스텝 3b)에서는, 오존을 공급한 직후의 처리실(201) 내의 압력이 예를 들면 0.1~1000Pa의 범위 내가 되도록 한다.After a predetermined time has elapsed, when a predetermined amount of ozone gas is filled in the buffer tank 102 and the pressure in the buffer tank 102 reaches 100000 Pa, for example, as shown in [2] of FIG. The valve AV2 is opened, and the ozone gas filled in the buffer tank 102 is supplied into the process chamber 201 (ozone supply process (step 3b)). In the ozone supply step (step 3b), the ozone gas filled in the buffer tank 102 is supplied pulsed (flash supply) into the processing chamber 201. The ozone gas is surface-reacted with TEMAH chemisorbed on the surface of the wafer 200, and an HfO 2 film is formed on the wafer 200. On the other hand, in an ozone supply process (step 3b), the pressure in the process chamber 201 immediately after supplying ozone is made into the range of 0.1-1000 Pa, for example.

소정 시간이 경과하면, 처리실(201) 내에 잔류하는 오존 및 오존의 중간체를 제거한다[오존 제거 공정(스텝 3c)]. 구체적으로는, 제2 가스 공급관(232b)의 오존 공급 밸브(AV2)를 닫아 처리실(201) 내에의 오존 가스의 공급을 정지한다. 이 때, 배기관(231)의 제5 밸브(243e)는 개방한 상태로 하고, 진공 펌프(246)에 의해 처리실(201) 내를 20Pa 이하가 될 때까지 배기하고, 잔류 오존 및 오존의 중간체를 처리실(201) 내로부터 배제한다. 한편, 처리실(201) 내로부터의 잔류 오존 및 오존의 중간체의 제거가 완료할 때까지는, 제2 캐리어 가스 공급관(234b)의 제4 밸브(243d)를 개방해 두고, 제3 매스 플로우 컨트롤러(241c)에 의해 유량 조정하면서 퍼지 가스로서의 N2를 처리실(201) 내로 공급하도록 하면, 처리실(201) 내로부터 잔류 오존 및 오존의 중간체를 배제하는 효과가 더욱 높아진다.When a predetermined time elapses, the ozone and the intermediate of ozone remaining in the processing chamber 201 are removed (ozone removal step (step 3c)). Specifically, the ozone supply valve AV2 of the second gas supply pipe 232b is closed to stop the supply of ozone gas into the processing chamber 201. At this time, the fifth valve 243e of the exhaust pipe 231 is kept open, and the vacuum pump 246 exhausts the inside of the processing chamber 201 until it becomes 20 Pa or less, and the residual ozone and the intermediate of ozone are discharged. It removes from the process chamber 201. On the other hand, the 4th valve 243d of the 2nd carrier gas supply pipe 234b is opened, and the 3rd mass flow controller 241c until removal of residual ozone and the intermediate of ozone from the process chamber 201 is completed. By supplying N 2 as a purge gas into the processing chamber 201 while adjusting the flow rate by using a), the effect of removing residual ozone and an intermediate of ozone from the processing chamber 201 is further enhanced.

그리고, 오존 충전 공정(스텝 3a), 오존 공급 공정(스텝 3b), 오존 제거 공정(스텝 3c)을 1 사이클로 하여 이 사이클을 복수회 반복한다.Then, the cycle is repeated a plurality of times with the ozone filling step (step 3a), the ozone supply step (step 3b), and the ozone removal step (step 3c) as one cycle.

<시퀀스예 2><Sequence Example 2>

도 7은, 산화막 형성 공정(스텝 3)의 시퀀스예 2를 나타낸다. 시퀀스예 2에서는, 오존 공급 공정(스텝 3b)을 실시할 때, 처리실(201) 내의 배기를 정지하도록 하고 있다.7 shows a sequence example 2 of an oxide film forming step (step 3). In Sequence Example 2, when performing the ozone supply step (step 3b), the exhaust in the process chamber 201 is stopped.

시퀀스예 2에서는, 먼저, 도 7의[1]에 나타내는 바와 같이, 제5 밸브(APC, 243e)를 개방으로 하고, 오존 공급 밸브(AV2)를 닫힘으로 한 상태에서, 오존 도입 밸브(AV1)를 개방으로 하고, 제1 매스 플로우 컨트롤러(241a)로 유량 조정하면서, 버퍼 탱크(102) 내에 오존 가스를 공급한다[오존 충전 공정(스텝 3a)].In Sequence Example 2, first, as shown in FIG. 7 [1], the ozone inlet valve AV1 is opened while the fifth valves APC and 243e are opened and the ozone supply valve AV2 is closed. Is opened and ozone gas is supplied into the buffer tank 102, adjusting the flow volume by the 1st mass flow controller 241a (ozone filling process (step 3a)).

소정 시간이 경과하여, 버퍼 탱크(102) 내에 소정량의 오존 가스가 충전되고, 버퍼 탱크(102) 내의 압력이 예를 들면 100000Pa에 도달하면, 도 7의[2]에 나타내는 바와 같이, 제5 밸브(APC, 243e)를 닫힘으로 하고, 오존 공급 밸브(AV2)를 개방으로 하고, 버퍼 탱크(102) 내에 충전되고 있던 오존 가스를 처리실(201) 내에 공급한다[오존 공급 공정(스텝 3b)]. 오존 공급 공정(스텝 3b)에 있어서는, 버퍼 탱크(102) 내에 충전된 오존 가스가, 처리실(201) 내에 펄스적으로 공급(플래시 공급)된다. 오존 가스는, 웨이퍼(200)의 표면에 화학 흡착하고 있는 TEMAH와 표면 반응하고, 웨이퍼(200) 상에 HfO2막이 성막된다. 한편, 오존 공급 공정(스텝 3b)에서는, 오존을 공급한 직후의 처리실(201) 내의 압력이 예를 들면 0.1~1000Pa의 범위 내가 되도록 한다.When a predetermined time has elapsed and a predetermined amount of ozone gas is filled in the buffer tank 102 and the pressure in the buffer tank 102 reaches 100000 Pa, for example, as shown in [2] in FIG. The valves APC and 243e are closed, the ozone supply valve AV2 is opened, and the ozone gas filled in the buffer tank 102 is supplied into the processing chamber 201 (ozone supply process (step 3b)). . In the ozone supply step (step 3b), the ozone gas filled in the buffer tank 102 is supplied pulsed (flash supply) into the processing chamber 201. The ozone gas is surface-reacted with TEMAH chemisorbed on the surface of the wafer 200, and an HfO 2 film is formed on the wafer 200. On the other hand, in an ozone supply process (step 3b), the pressure in the process chamber 201 immediately after supplying ozone is made into the range of 0.1-1000 Pa, for example.

그 후, 시퀀스예 1과 마찬가지로, 오존 제거 공정(스텝 3c)을 실시한다. 그리고, 오존 충전 공정(스텝 3a), 오존 공급 공정(스텝 3b), 오존 제거 공정(스텝 3c)을 1 사이클로 하여 이 사이클을 복수회 반복한다.Thereafter, similarly to the sequence example 1, an ozone removal process (step 3c) is performed. Then, the cycle is repeated a plurality of times with the ozone filling step (step 3a), the ozone supply step (step 3b), and the ozone removal step (step 3c) as one cycle.

<시퀀스예 3>Sequence Example 3

도 8은, 산화막 형성 공정(스텝 3)의 시퀀스예 3을 나타낸다. 시퀀스예 3에서는, 오존 공급 공정(스텝 3b)을 실시할 때, 제5 밸브(APC, 243e)의 개방도를 조정하고, 처리실(201) 내의 압력을 평균 압력으로 조압(調壓)하면서, 처리실(201) 내에 오존 가스를 공급하도록 하고 있다.8 shows a sequence example 3 of an oxide film forming step (step 3). In Sequence Example 3, when the ozone supply step (step 3b) is performed, the opening of the fifth valves APC and 243e is adjusted, and the pressure in the processing chamber 201 is adjusted to an average pressure, thereby, the processing chamber. The ozone gas is supplied into the 201.

시퀀스예 3에서는, 먼저, 도 8의[1]에 나타내는 바와 같이, 제5 밸브(APC, 243e)를 개방으로 하고, 오존 공급 밸브(AV2)를 닫힘으로 한 상태에서, 오존 도입 밸브(AV1)를 개방으로 하고, 제1 매스 플로우 컨트롤러(241a)로 유량 조정하면서, 버퍼 탱크(102) 내에 오존 가스를 공급한다[오존 충전 공정(스텝 3a)].In Sequence Example 3, first, as shown in [1] of FIG. 8, the ozone inlet valve AV1 is opened while the fifth valves APC and 243e are opened and the ozone supply valve AV2 is closed. Is opened and ozone gas is supplied into the buffer tank 102, adjusting the flow volume by the 1st mass flow controller 241a (ozone filling process (step 3a)).

소정 시간이 경과하여, 버퍼 탱크(102) 내에 소정량의 오존 가스가 충전되고, 버퍼 탱크(102) 내의 압력이 예를 들면 100000Pa에 도달하면, 도 8의[2]에 나타내는 바와 같이, 제5 밸브(APC, 243e)의 개방도를 조정함과 함께, 오존 공급 밸브(AV2)를 개방으로 하고, 버퍼 탱크(102) 내에 충전되고 있던 오존 가스를 처리실(201) 내에 공급한다[오존 공급 공정(스텝 3b)]. 오존 공급 공정(스텝 3b)에 있어서는, 버퍼 탱크(102) 내에 충전된 오존 가스가, 처리실(201) 내에 펄스적으로 공급(플래시 공급)된다. 오존 가스는, 웨이퍼(200)의 표면에 화학 흡착하고 있는 TEMAH와 표면 반응하고, 웨이퍼(200) 상에 HfO2막이 성막된다. 한편, 오존 공급 공정(스텝 3b)에서는, 오존을 공급한 직후의 처리실(201) 내의 압력이 예를 들면 0.1~1000Pa의 범위 내가 되도록 한다.When a predetermined time has elapsed and a predetermined amount of ozone gas is filled in the buffer tank 102 and the pressure in the buffer tank 102 reaches 100000 Pa, for example, as shown in [2] in FIG. While adjusting the opening degree of the valves APC and 243e, the ozone supply valve AV2 is opened and the ozone gas filled in the buffer tank 102 is supplied into the processing chamber 201 (ozone supply process ( Step 3b)]. In the ozone supply step (step 3b), the ozone gas filled in the buffer tank 102 is supplied pulsed (flash supply) into the processing chamber 201. The ozone gas is surface-reacted with TEMAH chemisorbed on the surface of the wafer 200, and an HfO 2 film is formed on the wafer 200. On the other hand, in an ozone supply process (step 3b), the pressure in the process chamber 201 immediately after supplying ozone is made into the range of 0.1-1000 Pa, for example.

그 후, 시퀀스예 1과 마찬가지로, 오존 제거 공정(스텝 3c)을 실시한다. 그리고, 오존 충전 공정(스텝 3a), 오존 공급 공정(스텝 3b), 오존 제거 공정(스텝 3c)을 1 사이클로 하여 이 사이클을 복수회 반복한다.Thereafter, similarly to the sequence example 1, an ozone removal process (step 3c) is performed. Then, the cycle is repeated a plurality of times with the ozone filling step (step 3a), the ozone supply step (step 3b), and the ozone removal step (step 3c) as one cycle.

한편, 어떤 시퀀스예에 있어서도, 적어도 반복의 첫회에 수행하는 오존 충전 공정(스텝 3a)은, 상술한 원료 가스 공급 공정(스텝 1) 및/또는 원료 가스 제거 공정(스텝 2)과 동시에 수행한다. 즉, 원료 가스 공급 공정(스텝 1)과 동시에 수행하거나, 원료 가스 제거 공정(스텝 2)과 동시에 수행하거나, 또는, 원료 가스 공급 공정(스텝 1) 및 원료 가스 제거 공정(스텝 2)과 동시에 수행한다. 또한, 반복의 2회째에 수행하는 오존 충전 공정(스텝 3a)은, 오존 제거 공정(스텝 3c)과 동시에 수행하도록 해도 된다. 즉, 오존 공급 공정(스텝 3b)을 실시한 후, 버퍼 탱크(102) 내에의 오존 가스의 충전을 재개하는 타이밍은, 오존 공급 공정(스텝 3b)의 실행이 완료된 후로 하는 것이 바람직하다.In addition, in any sequence example, the ozone filling process (step 3a) performed at least at the beginning of a repetition is performed simultaneously with the above-mentioned source gas supply process (step 1) and / or source gas removal process (step 2). That is, it is performed simultaneously with the source gas supply process (step 1), simultaneously with the source gas removal process (step 2), or simultaneously with the source gas supply process (step 1) and the source gas removal process (step 2). do. In addition, you may make it perform the ozone filling process (step 3a) performed at the 2nd time of a repetition simultaneously with an ozone removal process (step 3c). That is, after performing the ozone supply step (step 3b), it is preferable that the timing of resuming the filling of the ozone gas in the buffer tank 102 is after the execution of the ozone supply step (step 3b) is completed.

또한, 어떤 시퀀스예에 있어서도, 웨이퍼(200)를 제1 온도(180~250℃의 범위로서, 예를 들면 230℃)로 가열하면서, 버퍼 탱크(102)와 처리실(201)을 접속하는 제2 가스 공급관(232b)을 제2 온도로 가열하고, 버퍼 탱크(102)를 제3 온도로 냉각한다. 이 때, 제1 온도는 제2 온도보다 높고, 제2 온도는 제3 온도보다 높게 한다. 이에 의해, 버퍼 탱크(102) 내에서 오존이 분해되어 버리는 것을 억제할 수 있다.Moreover, also in any sequence example, the 2nd which connects the buffer tank 102 and the process chamber 201, heating the wafer 200 to 1st temperature (180-250 degreeC, for example, 230 degreeC), The gas supply pipe 232b is heated to a second temperature, and the buffer tank 102 is cooled to a third temperature. At this time, the first temperature is higher than the second temperature, and the second temperature is higher than the third temperature. Thereby, it can suppress that ozone decomposes in the buffer tank 102. FIG.

<반복 공정><Repeat process>

그 후, 상술한 원료 가스 공급 공정(스텝 1)~산화막 형성 공정(스텝 3)을 1 사이클로 하고, 이 사이클을 복수회 반복함으로써, 웨이퍼(200) 상에 소정의 막두께의 HfO2막을 성막하고, 본 실시 형태에 따른 기판 처리 공정을 종료한다. 그리고, 웨이퍼 반입 공정과 반대의 순서로, 처리실(201) 내로부터 처리 후의 웨이퍼(200)를 반출한다.Thereafter, the above-described source gas supply process (step 1) to oxide film formation process (step 3) is performed as one cycle, and the cycle is repeated a plurality of times to form a HfO 2 film having a predetermined film thickness on the wafer 200. The substrate processing process according to the present embodiment is finished. And the processed wafer 200 is carried out from the process chamber 201 in the reverse order to the wafer loading process.

한편, 본 실시 형태에 있어서, 처리실(201)에 대한 버퍼 탱크(102)의 용적비는, 예를 들면 1/2100~1/105로 하면 좋다. 예를 들면, 처리실(201)의 용적을 210L로 하면, 버퍼 탱크(102)의 용적은 0.1L~2L로 하면 된다. 용적비가 1/2100 미만이 되면, 처리실(201) 내에 펄스 공급되는 오존 가스의 유속이, 버퍼 탱크(102)를 이용하지 않은 경우의 오존 가스의 유속과 동일한 정도가 되어 버려, 버퍼 탱크(102)를 이용하는 것에 의한 효과를 얻기 어려워지기 때문이다. 또한, 용적비가 1/105를 넘으면, 버퍼 탱크(102) 내로부터 처리실(201) 내에 오존 가스를 펄스 공급했을 때, 처리실(201) 내의 압력이 너무 높아져 버려, 바람직하지 않기 때문이다.In addition, in this embodiment, the volume ratio of the buffer tank 102 with respect to the process chamber 201 may be 1 / 2100-1 / 105, for example. For example, if the volume of the processing chamber 201 is 210L, the volume of the buffer tank 102 may be 0.1L-2L. When the volume ratio is less than 1/2100, the flow rate of the ozone gas pulsed into the processing chamber 201 becomes about the same as the flow rate of the ozone gas when the buffer tank 102 is not used, and thus the buffer tank 102 This is because it is difficult to obtain the effect by using When the volume ratio exceeds 1/105, when the ozone gas is pulsed from the buffer tank 102 into the processing chamber 201, the pressure in the processing chamber 201 becomes too high, which is undesirable.

또한, 버퍼 탱크(102) 내에 충전하는 오존 가스의 압력은 200~101130Pa의 범위 내로서, 예를 들면 100000Pa로 해도 좋다. 버퍼 탱크(102)에 충전하는 오존 가스의 압력이 200Pa 미만이 되면, 처리실(201) 내에 펄스 공급되는 오존 가스의 유속이, 버퍼 탱크(102)를 이용하지 않은 경우의 오존 가스의 유속과 동일한 정도가 되어 버려, 버퍼 탱크(102)를 이용하는 것에 의한 효과를 얻기 어려워지기 때문이다. 또한, 버퍼 탱크(102)에 충전하는 오존 가스의 압력이 101130Pa를 넘으면, 버퍼 탱크(102) 내로부터 처리실(201) 내에 오존 가스를 펄스 공급했을 때, 공급압과의 차압(差壓)을 취할 수 없어 유량 제어할 수 없게 되어 버려, 바람직하지 않기 때문이다.In addition, the pressure of the ozone gas to be filled in the buffer tank 102 may be in the range of 200 to 101130 Pa, for example, 100000 Pa. When the pressure of the ozone gas filling the buffer tank 102 is less than 200 Pa, the flow rate of the ozone gas pulsed into the processing chamber 201 is the same as the flow rate of the ozone gas when the buffer tank 102 is not used. This is because it becomes difficult to obtain an effect by using the buffer tank 102. In addition, when the pressure of the ozone gas to fill the buffer tank 102 exceeds 101130 Pa, when the ozone gas is pulsed from the buffer tank 102 into the process chamber 201, a differential pressure with the supply pressure is taken. This is because the flow rate cannot be controlled because it is not possible, which is not preferable.

또한 오존 공급 공정(스텝 3b)을 실시 중인 처리실(201) 내의 압력을 0.1~1000Pa로 하면 된다. 오존 공급 공정(스텝 3b)을 실시 중인 처리실(201)의 압력이 0.1Pa 미만이 되면, 웨이퍼(200) 표면에의 오존 공급이 부족하게 되기 때문이다. 또한, 오존 공급 공정(스텝 3b)을 실시 중인 처리실(201) 내의 압력이 1000Pa 이상이 되면, 진공 펌프(246)의 배기 속도가 저하되어 버리기 때문이다.Moreover, what is necessary is just to make the pressure in the process chamber 201 which is performing an ozone supply process (step 3b) into 0.1-1000 Pa. This is because when the pressure in the processing chamber 201 during the ozone supply step (step 3b) becomes less than 0.1 Pa, the supply of ozone to the surface of the wafer 200 is insufficient. Moreover, when the pressure in the process chamber 201 which is performing ozone supply process (step 3b) becomes 1000 Pa or more, the exhaust velocity of the vacuum pump 246 will fall.

(3) 본 실시 형태에 따른 효과(3) effects according to the present embodiment

본 실시 형태에 의하면, 상술한 효과에 더하여, 이하에 나타내는 하나 또는 복수의 효과를 더욱 발휘한다. According to this embodiment, one or more effects shown below are further exhibited in addition to the effects described above.

(a) 본 실시 형태에 의하면, 오존 공급 공정(스텝 3b) 이전에, 가스 저장부로서의 버퍼 탱크(102) 내에 오존을 충전하는 오존 충전 공정(스텝 3a)을 실시한다. 그리고, 오존 공급 공정(스텝 3b)에서는, 버퍼 탱크(102) 내에 충전된 오존을 처리실(201) 내에 펄스적으로 공급(플래시 공급)한다. 이에 의해, 웨이퍼(200) 상에의 오존의 공급량이 증가하고, 웨이퍼(200) 중앙부에서의 하지막의 산화 지연이 억제된다. 그리고, 웨이퍼(200)의 표면에 형성하는 HfO2막의 막두께 분포나 조성 분포의 균일성이 향상하고, 반도체 장치의 제조 수율을 향상시킬 수 있다.(a) According to this embodiment, before the ozone supply process (step 3b), the ozone filling process (step 3a) which fills ozone in the buffer tank 102 as a gas storage part is performed. Then, in the ozone supply step (step 3b), ozone filled in the buffer tank 102 is pulsedly supplied (flash supply) into the processing chamber 201. Thereby, the supply amount of ozone on the wafer 200 increases, and the oxidation delay of the underlayer in the center part of the wafer 200 is suppressed. And, HfO 2 film to enhance the uniformity of the thickness distribution and the composition distribution, and forming the surface of the wafer 200, it is possible to improve the manufacturing yield of the semiconductor device.

(b) 또한, 본 실시 형태에 의하면, 웨이퍼(200) 상에 오존을 고밀도로 포함한 산화 가스를 대유량으로 공급하는 일 없이, 웨이퍼(200) 상에의 오존의 공급량을 증가시켜, 웨이퍼(200) 중앙부에서의 하지막의 산화 지연을 억제할 수 있다. 그 때문에, 오존의 낭비가 억제되고, 기판 처리의 코스트가 저감됨과 함께, 기판 처리의 스루풋(생산성)을 향상시킬 수 있다.(b) In addition, according to the present embodiment, the supply amount of ozone on the wafer 200 is increased to increase the amount of ozone supplied to the wafer 200 without supplying a high flow rate of oxidizing gas containing ozone on the wafer 200 at a high flow rate. Retardation of oxidation of the underlying film at the center portion can be suppressed. Therefore, waste of ozone is suppressed, the cost of substrate processing is reduced, and the throughput (productivity) of substrate processing can be improved.

(c) 또한, 본 실시 형태에 있어서는, 기화기(242)의 2차측(outlet)으로부터 처리실(201)에 도달할 때까지의 원료 가스의 공급 경로[제1 가스 공급관(232a), 제1 가스 공급 노즐(233a)의 상류측]의 외표면에, 리본 히터(도시하지 않음) 등을 설치하고, 소정 온도(예를 들면 액체 원료로서 TEMAZ를 사용하는 경우는 130℃)로 가열한다. 이에 의해, 원료 가스의 재액화를 억제할 수 있다.(c) In addition, in this embodiment, the supply path | route (1st gas supply pipe 232a, 1st gas supply) of the source gas until it reaches the process chamber 201 from the secondary outlet of the vaporizer | carburetor 242. A ribbon heater (not shown) or the like is provided on the outer surface of the upstream side of the nozzle 233a and heated to a predetermined temperature (for example, 130 ° C. when TEMAZ is used as the liquid raw material). Thereby, reliquefaction of source gas can be suppressed.

(d) 또한, 본 실시 형태에 의하면, 탱크(305)로부터 기화기(242)에 도달할 때까지의 액체 원료의 공급 경로[반송관(100)]의 외표면에, 리본 히터(도시하지 않음) 등을 설치하여 소정 온도로 가열한다. 이에 의해, 기화기(242)에 있어서의 액체 원료의 기화를 촉진할 수 있다.(d) In addition, according to the present embodiment, a ribbon heater (not shown) is provided on the outer surface of the supply path of the liquid raw material from the tank 305 to the vaporizer 242 (the conveying pipe 100). And the like are heated to a predetermined temperature. Thereby, vaporization of the liquid raw material in the vaporizer | carburetor 242 can be accelerated | stimulated.

(e) 또한, 본 실시 형태에 의하면, 예를 들면 도 15에 나타내는 바와 같이, 버퍼 탱크(102)의 외면에 냉각 코일(300)을 설치하고, 냉각 코일(300) 내에 냉각수, 공업용수 등의 열교환 매체를 흘려, 버퍼 탱크(102)를 냉각한다. 이에 의해, 열전도에 의한 버퍼 탱크(102) 내의 승온을 억제할 수 있고, 처리실(201)에 도달하기 전에 버퍼 탱크(102) 내에서 오존이 분해되어 버리는 것을 억제할 수 있다. 따라서, 처리실(201) 내에의 오존 가스의 공급을 안정시키고, 오존의 낭비를 억제하는 것이 가능하게 된다. (e) In addition, according to the present embodiment, for example, as shown in FIG. 15, a cooling coil 300 is provided on an outer surface of the buffer tank 102, and cooling water, industrial water, and the like are provided in the cooling coil 300. The heat exchange medium flows to cool the buffer tank 102. Thereby, the temperature rising in the buffer tank 102 by heat conduction can be suppressed, and it can suppress that ozone decomposes in the buffer tank 102 before reaching the process chamber 201. Therefore, it becomes possible to stabilize the supply of ozone gas into the processing chamber 201 and to suppress the waste of ozone.

[실시예][Example]

먼저, 본 발명의 실시예 1~3을, 비교예와 함께 설명한다.First, Examples 1-3 of this invention are demonstrated with a comparative example.

도 9는, 본 발명의 실시예 1~3을 비교예 1과 함께 설명하는 표이며, 평균 산화막두께, 기판 중앙부 막두께, 막두께 균일성을 나타내고 있다.9 is a table explaining Examples 1 to 3 of the present invention together with Comparative Example 1, showing an average oxide film thickness, a substrate center portion film thickness, and a film thickness uniformity.

<실시예 1>&Lt; Example 1 >

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 1(도 6)과 동일하게 했다. 그리고, 버퍼 탱크(102) 내에 오존 가스를 충전하는 시간을 3초 동안, 버퍼 탱크(102) 내로부터 처리실(201) 내에 오존 가스를 흘리는 시간을 2초 동안으로 하고, 오존 충전 공정(스텝 3a)으로부터 오존 제거 공정(스텝 3c)을 36회 반복하고, 산화막 형성 공정(스텝 3)의 실시 시간을 합계 180초 동안으로 했다. 제1 매스 플로우 컨트롤러(MFC, 241a)에 의해 조정되어 버퍼 탱크(102) 내에 공급되는 O3 가스의 유량을 9slm로 일정하게 했다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as that of the above-described sequence example 1 (Fig. 6). Then, the time for filling ozone gas into the buffer tank 102 is set for 3 seconds, the time for flowing ozone gas from the buffer tank 102 into the processing chamber 201 is set for 2 seconds, and the ozone filling step (step 3a). From the ozone removal process (step 3c) was repeated 36 times, and the execution time of the oxide film formation process (step 3) was made into 180 seconds in total. The flow rate of the O 3 gas adjusted by the first mass flow controller (MFC) 241a and supplied into the buffer tank 102 was kept constant at 9 slm.

<실시예 2><Example 2>

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 2(도 7)와 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)를 닫힘으로 했다. 기타의 조건은 실시예 1과 동일하다. In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the above-described sequence example 2 (Fig. 7). That is, in the ozone supply process (step 3b), the 5th valve (exhaust valve) 243e was made closed. Other conditions are the same as in Example 1.

<실시예 3><Example 3>

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 3(도 8)와 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)의 개방도를 조정하고, 처리실(201)의 압력을 평균 압력으로 조압했다. 기타의 조건은 실시예 1과 동일하다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the above-described sequence example 3 (Fig. 8). That is, in the ozone supply process (step 3b), the opening degree of the 5th valve (exhaust valve) 243e was adjusted, and the pressure of the process chamber 201 was adjusted to average pressure. Other conditions are the same as in Example 1.

<비교예 1>Comparative Example 1

본 비교예에서는, 도 5에 나타내는 바와 같이, 오존 가스를 버퍼 탱크(102) 내에 충전하는 일 없이, 처리실(201) 내에 연속적으로 공급했다. 도 5는, 비교예에 따른 산화막 형성 공정의 시퀀스도이다. 즉, 밸브(AV1)와 밸브(AV2)를 동시에 개방하고, 오존 충전 공정(스텝 3a)을 실시하는 일 없이(오존 가스를 펄스적으로 공급하는 일 없이), 산화막의 형성을 수행했다.In this comparative example, as shown in FIG. 5, ozone gas was continuously supplied into the processing chamber 201 without filling the buffer tank 102. 5 is a sequence diagram of an oxide film forming process according to a comparative example. That is, the oxide film was formed without opening the valve AV1 and the valve AV2 at the same time and without performing the ozone filling step (step 3a) (without supplying ozone gas in a pulsed manner).

도 9에 의하면, 실시예 1, 2 모두, 비교예 1과 비교하여, HfO2막의 막두께가 두껍게 되어 있고, 높은 성막 속도가 얻어지는 것을 알 수 있다. 또한, 실시예 1, 2, 3 모두, 비교예 1과 비교하여, 웨이퍼(200) 중앙부의 막두께가 두껍게 되어 있고, 웨이퍼(200) 중앙부에서의 하지막의 산화 지연을 억제할 수 있음을 알 수 있다. 또한, 실시예 1, 2, 3 모두, 비교예 1과 비교하여, 막두께 균일성이 개선되어 있음을 알 수 있다. 한편, 실시예 1, 2는, 실시예 3과 비교하여, 성막 속도가 높고, 웨이퍼(200) 중앙부의 막두께가 두껍고, 막두께 균일성이 높은 것을 알 수 있다.According to FIG. 9, it turns out that the film thickness of the HfO2 film | membrane becomes thick, and a high film-forming rate is obtained compared with the comparative example 1 in Examples 1 and 2 . In addition, in Examples 1, 2, and 3, the film thickness of the central portion of the wafer 200 is thicker than that of Comparative Example 1, and it can be seen that the oxidation delay of the underlying film at the central portion of the wafer 200 can be suppressed. have. In addition, it turns out that film thickness uniformity improves in Example 1, 2, 3 compared with the comparative example 1. As shown in FIG. On the other hand, compared with Example 3, Example 1 and 2 show that the film-forming speed is high, the film thickness of the center part of the wafer 200 is thick, and film thickness uniformity is high.

다음에, 본 발명의 실시예 4~6을, 비교예 2와 함께 설명한다.Next, Examples 4-6 of this invention are demonstrated with Comparative Example 2. FIG.

도 10은 본 발명의 실시예 4~6을 비교예 2와 함께 설명하는 그래프이며, (a)는 기판 면내에 있어서의 산화막의 평균 막두께 증가량과 산화 시간과의 관계를 나타내고, (b)는 기판 중앙부에 있어서의 산화막의 막두께 증가량과 산화 시간과의 관계를 각각 나타내고 있다.10 is a graph illustrating Examples 4 to 6 of the present invention together with Comparative Example 2, (a) shows the relationship between the average film thickness increase amount and the oxidation time of the oxide film in the substrate plane. The relationship between the increase in the thickness of the oxide film in the center portion of the substrate and the oxidation time is shown, respectively.

<실시예 4><Example 4>

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 1(도 6)과 동일하게 했다. 그리고, 오존 충전 공정(스텝 3a)으로부터 오존 제거 공정(스텝 3c)의 반복 회수를 변화시켜, 산화막 형성 공정(스텝 3)의 실시 시간(산화 시간)을 60초, 120초, 180초로 변화시켰다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as that of the above-described sequence example 1 (Fig. 6). The number of repetitions of the ozone removal step (step 3c) was changed from the ozone filling step (step 3a) to change the execution time (oxidation time) of the oxide film forming step (step 3) to 60 seconds, 120 seconds, and 180 seconds.

<실시예 5>Example 5

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 2(도 7)와 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)를 닫힘으로 했다. 그리고, 오존 충전 공정(스텝 3a)으로부터 오존 제거 공정(스텝 3c)의 반복 회수를 변화시켜, 산화막 형성 공정(스텝 3)의 실시 시간(산화 시간)을 60초, 120초, 180초로 변화시켰다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the above-described sequence example 2 (Fig. 7). That is, in the ozone supply process (step 3b), the 5th valve (exhaust valve) 243e was made closed. The number of repetitions of the ozone removal step (step 3c) was changed from the ozone filling step (step 3a) to change the execution time (oxidation time) of the oxide film forming step (step 3) to 60 seconds, 120 seconds, and 180 seconds.

<실시예 6><Example 6>

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 3(도 8)과 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)의 개방도를 조정하고, 처리실(201)의 압력을 평균 압력(230Pa)으로 조압했다. 그리고, 오존 충전 공정(스텝 3a)으로부터 오존 제거 공정(스텝 3c)을 반복하여, 산화 시간을 180초로 했다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the sequence example 3 (Fig. 8) described above. That is, in the ozone supply process (step 3b), the opening degree of the 5th valve (exhaust valve) 243e was adjusted, and the pressure of the process chamber 201 was adjusted to average pressure 230Pa. And the ozone removal process (step 3c) was repeated from the ozone filling process (step 3a), and oxidation time was 180 second.

<비교예 2>Comparative Example 2

본 비교예에서는, 도 5에 나타내는 바와 같이, 오존 가스를 버퍼 탱크(102) 내에 충전하는 일 없이, 처리실(201) 내에 연속적으로 공급했다. 도 5는, 비교예에 따른 산화막 형성 공정의 시퀀스도이다. 즉, 밸브(AV1)와 밸브(AV2)를 동시에 개방하고, 오존 충전 공정(스텝 3a)을 실시하는 일 없이(오존 가스를 펄스적으로 공급하는 일 없이), HfO2막의 형성을 수행했다. 밸브(AV1)와 밸브(AV2)를 동시에 개방하여 오존 가스를 공급하는 시간(산화 시간)을 60초, 120초, 180초로 변화시켰다.In this comparative example, as shown in FIG. 5, ozone gas was continuously supplied into the processing chamber 201 without filling the buffer tank 102. 5 is a sequence diagram of an oxide film forming process according to a comparative example. That is, the HfO 2 film was formed without opening the valve AV1 and the valve AV2 at the same time and without performing the ozone filling step (step 3a). The time (oxidation time) for supplying ozone gas by simultaneously opening the valve AV1 and the valve AV2 was changed to 60 seconds, 120 seconds, and 180 seconds.

도 10(a)에 의하면, 비교예 2의 경우, HfO2막의 평균 막두께를 3.5Å 증가시키는 데에, 180초 정도의 산화 시간을 필요로 하는 것을 알 수 있다. 이에 반해, 실시예 4, 5, 6 모두, HfO2막의 평균 막두께를 3.5Å 증가시키는데, 단(短)시간의 산화로 끝나는 것을 알 수 있다. 예를 들면, HfO2막의 평균 막두께를 3.5Å 증가시키는 데에, 실시예 4의 경우에는 60초 정도의 산화 시간으로 끝나고, 실시예 5의 경우에는 40초 정도의 산화 시간으로 끝나는 것을 알 수 있다. 즉, 실시예 4~6 모두, 비교예 2와 비교하여 높은 성막 속도가 얻어지는 것을 알 수 있다. 10 (a), it can be seen that in the case of Comparative Example 2, an oxidation time of about 180 seconds is required to increase the average film thickness of the HfO 2 film by 3.5 kW. On the other hand, in Examples 4, 5, and 6, the average film thickness of the HfO 2 film is increased by 3.5 kV, and it can be seen that the oxidation ends in a short time. For example, it can be seen that the average film thickness of the HfO 2 film is increased by 3.5 kPa, but in Example 4, the oxidation time is about 60 seconds, and in Example 5, the oxidation time is about 40 seconds. have. That is, it turns out that the high film-forming rate is obtained in all of Examples 4-6 compared with the comparative example 2.

또한, 도 10(b)에 의하면, 비교예 2의 경우, 산화 시간을 60초로부터 180초로 증가시키더라도, 기판 중앙부에 있어서의 산화막의 막두께는 거의 증가하지 않는(0.1~0.2Å) 것을 알 수 있다. 이에 반해, 실시예 4, 5에 있어서는, 산화 시간을 60초로부터 180초로 증가시킴으로써, 웨이퍼(200) 중앙부에 있어서의 HfO2막의 막두께가 비교적 크게 증가하는(1~2Å) 것을 알 수 있다. 즉, 실시예 4, 5 모두, 비교예 2와 비교하여, 웨이퍼(200) 중앙부에서의 하지막의 산화 지연을 억제할 수 있음을 알 수 있다.Also, according to Fig. 10 (b), in the case of Comparative Example 2, even if the oxidation time was increased from 60 seconds to 180 seconds, the film thickness of the oxide film in the center portion of the substrate hardly increased (0.1 to 0.2 kPa). Can be. On the other hand, in Examples 4 and 5, by increasing the oxidation time from 60 seconds to 180 seconds, it can be seen that the film thickness of the HfO 2 film in the center portion of the wafer 200 increases relatively large (1 to 2 kPa). In other words, it can be seen that in Examples 4 and 5, in comparison with Comparative Example 2, the oxidation delay of the underlying film at the center of the wafer 200 can be suppressed.

다음에, 본 발명의 실시예 7, 8을, 비교예 3과 함께 설명한다.Next, Examples 7, 8 of the present invention will be described together with Comparative Example 3.

도 11은, 본 발명의 실시예 7, 8을 비교예 3과 함께 설명하는 표이며, 기판 처리 위치가 상부의 경우와 하부의 경우와의 각각에 있어서의, HfO2막의 평균 막두께 및 막두께 균일성을 나타내고 있다.11 is a table for explaining Examples 7 and 8 of the present invention together with Comparative Example 3, wherein the average film thickness and the film thickness of the HfO 2 film in the case where the substrate processing position is the upper case and the lower case case, respectively; Uniformity is shown.

<실시예 7><Example 7>

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 2(도 7)와 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)를 닫힘으로 했다. 그리고, 원료 가스 공급 공정(스텝 1)~산화막 형성 공정(스텝 3)을 1 사이클로 하고, 이 사이클을 복수회 반복하는 ALD법에 의해, 기판 상에 소정의 막두께의 HfO2막을 형성했다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the above-described sequence example 2 (Fig. 7). That is, in the ozone supply process (step 3b), the 5th valve (exhaust valve) 243e was made closed. Then, the raw material gas supply step (step 1) to one cycle of the oxide film forming step (step 3), thereby forming a plurality of times repeatedly ALD method a film of a predetermined thickness HfO 2 on the substrate, by which this cycle.

<실시예 8><Example 8>

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 3(도 8)과 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)의 개방도를 조정하고, 처리실(201)의 압력을 평균 압력으로 조압했다. 그리고, 원료 가스 공급 공정(스텝 1)~산화막 형성 공정(스텝 3)을 1 사이클로 하고, 이 사이클을 복수회 반복하는 ALD법에 의해, 기판 상에 소정의 막두께의 HfO2막을 형성했다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the sequence example 3 (Fig. 8) described above. That is, in the ozone supply process (step 3b), the opening degree of the 5th valve (exhaust valve) 243e was adjusted, and the pressure of the process chamber 201 was adjusted to average pressure. Then, the raw material gas supply step (step 1) to one cycle of the oxide film forming step (step 3), thereby forming a plurality of times repeatedly ALD method a film of a predetermined thickness HfO 2 on the substrate, by which this cycle.

<비교예 3>Comparative Example 3

본 비교예에서는, 도 5에 나타내는 바와 같이, 오존 가스를 버퍼 탱크(102) 내에 충전하는 일 없이, 처리실(201) 내에 연속적으로 공급했다. 도 5는, 비교예에 따른 산화막 형성 공정의 시퀀스도이다. 즉, 밸브(AV1)와 밸브(AV2)를 동시에 개방하고, 오존 충전 공정(스텝 3a)을 실시하는 일 없이(오존 가스를 펄스적으로 공급하는 일 없이), ALD법에 의해 HfO2막의 형성을 실시했다.In this comparative example, as shown in FIG. 5, ozone gas was continuously supplied into the processing chamber 201 without filling the buffer tank 102. 5 is a sequence diagram of an oxide film forming process according to a comparative example. That is, without opening the valve AV1 and the valve AV2 simultaneously and performing the ozone filling step (step 3a) (without supplying ozone gas pulsedly), formation of the HfO 2 film is performed by the ALD method. Carried out.

도 11에 의하면, 실시예 7, 8 모두, 비교예 3과 비교하여, 막두께 균일성이 향상하고 있음을 알 수 있다. 한편, 실시예 7, 8의 막두께, 비교예 3의 막두께가 각각 다른데, 이것은, 실시예 7, 8의 ALD 사이클수가, 비교예 3의 ALD 사이클수보다 적기 때문이지, 실시예 7, 8의 성막 속도가 비교예 3의 성막 속도보다 늦은 것은 아니다.According to FIG. 11, it turns out that film thickness uniformity improves compared with the comparative example 3 in Example 7, 8, respectively. On the other hand, although the film thickness of Example 7, 8 and the film thickness of Comparative Example 3 differ, respectively, since the ALD cycle number of Example 7, 8 is less than the ALD cycle number of Comparative Example 3, Example 7, 8 The deposition rate of is not slower than the deposition rate of Comparative Example 3.

다음에, 본 발명의 실시예 9, 10을, 비교예 4와 함께 설명한다.Next, Examples 9 and 10 of the present invention will be described with Comparative Example 4. FIG.

도 12는, 기판 처리 위치가 상부, 중부, 하부의 각각에 있어서의 HfO2막의 조성 균일성을 나타내는 표이며, (a)는 비교예 4의 조성 균일성을, (b)는 실시예 9의 조성 균일성을, (c)는 실시예 10의 조성 균일성을 각각 나타내고 있다. 한편, 어떤 경우에 있어서도, 조성 균일성의 평가는 XPS로 수행했다. 12 is a table showing the compositional uniformity of the HfO 2 film in the substrate processing position at each of the top, middle, and bottom, (a) is the composition uniformity of Comparative Example 4, and (b) is the example 9 Composition uniformity (c) has shown the composition uniformity of Example 10, respectively. In any case, the composition uniformity was evaluated by XPS.

<실시예 9>Example 9

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 2(도 7)와 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)를 닫힘으로 했다. 그리고, 원료 가스 공급 공정(스텝 1)~산화막 형성 공정(스텝 3)을 1 사이클로 하고, 이 사이클을 복수회 반복하는 ALD법에 의해, 기판 상에 소정의 막두께의 HfO2막을 형성했다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the above-described sequence example 2 (Fig. 7). That is, in the ozone supply process (step 3b), the 5th valve (exhaust valve) 243e was made closed. Then, the raw material gas supply step (step 1) to one cycle of the oxide film forming step (step 3), thereby forming a plurality of times repeatedly ALD method a film of a predetermined thickness HfO 2 on the substrate, by which this cycle.

<실시예 10><Example 10>

본 실시예에서는, 산화막 형성 공정(스텝 3)의 순서를, 상술한 시퀀스예 3(도 8)과 동일하게 했다. 즉, 오존 공급 공정(스텝 3b)에 있어서는, 제5 밸브(배기 밸브)(243e)의 개방도를 조정하고, 처리실(201)의 압력을 평균 압력으로 조압했다. 그리고, 원료 가스 공급 공정(스텝 1)~산화막 형성 공정(스텝 3)을 1 사이클로 하고, 이 사이클을 복수회 ALD법에 의해, 기판 상에 소정의 막두께의 HfO2막을 형성했다.In this embodiment, the procedure of the oxide film forming step (step 3) is the same as in the sequence example 3 (Fig. 8) described above. That is, in the ozone supply process (step 3b), the opening degree of the 5th valve (exhaust valve) 243e was adjusted, and the pressure of the process chamber 201 was adjusted to average pressure. Then, the raw material gas supply step (step 1) to one cycle of the oxide film forming step (step 3), thereby forming a plurality of times ALD method a film of a predetermined thickness HfO 2 on the substrate, by the cycle.

<비교예 4><Comparative Example 4>

본 비교예에서는, 오존 가스를 버퍼 탱크(102) 내에 충전하는 일 없이, 처리실(201) 내에 연속적으로 공급했다. 즉, 밸브(AV1)와 밸브(AV2)를 동시에 개방하고, 오존 충전 공정(스텝 3a)을 실시하는 일 없이(오존 가스를 펄스적으로 공급하는 일 없이), ALD법에 의해 HfO2막의 형성을 수행했다.In this comparative example, ozone gas was continuously supplied into the processing chamber 201 without filling the buffer tank 102. That is, without opening the valve AV1 and the valve AV2 simultaneously and performing the ozone filling step (step 3a) (without supplying ozone gas pulsedly), formation of the HfO 2 film is performed by the ALD method. Performed.

도 12에 의하면, 비교예 4의 경우에는, 기판 처리 위치가 하부에서 상부로 됨에 따라, 조성 균일성이 악화(±1.40%로부터 ±3.00%로 악화)되고 있음을 알 수 있다. 즉, 비교예 4의 경우에는, 기판 처리 위치가 하부에서 상부로 됨에 따라 웨이퍼 중앙부에의 오존 공급량이 저하되어 버리고 있음을 알 수 있다. 이에 반해, 실시예 9, 10 모두, 기판 처리 위치가 변화하더라도 높은 조성 균일성이 얻어지는(실시예 9의 경우에는±0.9~±1.0%, 실시예 10의 경우에는±1.25%) 것을 알 수 있다. 즉, 실시예 9, 10 모두, 기판 처리 위치가 하부에서 상부로 됨에 따라 웨이퍼 중앙부에의 오존 공급량이 저하되어 버리는 것을 억제할 수 있음을 알 수 있다. According to FIG. 12, in the case of the comparative example 4, it turns out that composition uniformity deteriorates (deterioration from +/- 1.40% to +/- 3.00%) as a substrate processing position goes from top to bottom. That is, in the case of the comparative example 4, it turns out that the supply amount of ozone to the center part of a wafer falls as the board | substrate process position goes from top to bottom. On the other hand, it can be seen that, in Examples 9 and 10, even if the substrate processing position changes, high composition uniformity is obtained (± 0.9 to ± 1.0% in Example 9 and ± 1.25% in Example 10). . That is, in Examples 9 and 10, it can be seen that the ozone supply amount to the center portion of the wafer can be suppressed from decreasing as the substrate processing position goes from the bottom to the top.

<제4의 실시 형태><4th embodiment>

다음에, 본 발명의 제4 실시 형태에 따른 기판 처리 장치의 구성 및 이 기판 처리 장치에 의해 실시되는 기판 처리 공정에 대해 설명한다.Next, the structure of the substrate processing apparatus which concerns on 4th Embodiment of this invention, and the substrate processing process performed by this substrate processing apparatus are demonstrated.

(1) 기판 처리 장치의 구성(1) Structure of Substrate Processing Apparatus

먼저, 본 실시 형태에 따른 기판 처리 장치의 구성을, 도 13을 참조하면서 설명한다. 도 13은, 본 실시 형태에 따른 기판 처리 장치의 처리로 및 가스 공급 유닛의 개략 구성도이다. 본 실시 형태에 있어서는, 가스 공급 유닛이, 오조나이저(52)로부터 제2 가스 공급 노즐(233b)에 도달하는 오존 가스 공급 경로를 복수 구비하고 있고, 이들 복수의 오존 가스 공급 경로가 병렬로 설치되어 있는 점이, 제3 실시 형태와 다르다. 한편, 기타의 구성은, 컨트롤러(280)의 산화 순서를 제외하고 제3 실시 형태와 동일하다. 이하, 본 실시 형태에 따른 가스 공급 유닛의 구성에 대해 설명한다.First, the structure of the substrate processing apparatus which concerns on this embodiment is demonstrated, referring FIG. 13 is a schematic configuration diagram of a processing furnace and a gas supply unit of the substrate processing apparatus according to the present embodiment. In the present embodiment, the gas supply unit includes a plurality of ozone gas supply paths that reach the second gas supply nozzle 233b from the ozonizer 52, and the plurality of ozone gas supply paths are provided in parallel. This point is different from the third embodiment. In addition, the other structure is the same as that of 3rd Embodiment except the oxidation procedure of the controller 280. FIG. Hereinafter, the structure of the gas supply unit which concerns on this embodiment is demonstrated.

도 13에 나타내는 바와 같이, 제2 가스 공급 노즐(233b)의 상류단에는, 제2 가스 공급관(232b)의 하류단이 접속되어 있다. 제2 가스 공급관(232b)은, 중류 부근에 있어서 복수 개(도 13에서는 N개)의 지선(支線)에 병렬로 분기(分岐)하고 있다. 분기한 각 지선은, 상류측에서 다시 합류하여 일체화하고, 오조나이저(52)에 접속되어 있다. 제2 가스 공급관(232b)이 병렬로 분기한 각 지선에는, 상류측부터 순서대로, 오존 도입 밸브(AV1-1~AV1-N), 제1 매스 플로우 컨트롤러(241a-1~241a-N), 처리실(201)에 접속된 가스 저장부로서의 버퍼 탱크(102-1~102-N), 오존 공급 밸브(AV2-1~AV2-N)가 각각 설치되어 있다.As shown in FIG. 13, the downstream end of the 2nd gas supply pipe 232b is connected to the upstream end of the 2nd gas supply nozzle 233b. The second gas supply pipe 232b is branched in parallel to a plurality of branch lines (N in FIG. 13) near the midstream. Each branch line branched again joins and integrates from the upstream side, and is connected to the ozoneizer 52. To each branch line branched in parallel by the second gas supply pipe 232b, the ozone inlet valves AV1-1 to AV1-N, the first mass flow controllers 241a-1 to 241a -N, in order from the upstream side, Buffer tanks 102-1 to 102-N and ozone supply valves AV2-1 to AV2-N as gas storage units connected to the processing chamber 201 are provided, respectively.

오존 공급 밸브(AV2-1~AV2-N)를 닫힘으로 하고, 오존 도입 밸브(AV1-1~AV1-N)를 개방으로 함으로써, 제1 매스 플로우 컨트롤러(241a-1~241a-N)에 의해 유량 조정하면서, 버퍼 탱크(102-1~102-N) 내에 오존 가스를 충전하는 것이 가능하도록 구성되어 있다. 그 후, 오존 공급 밸브(AV2-1~AV2-N)를 순서대로 개방함으로써, 버퍼 탱크(102-1~102-N) 내에 충전된 오존 가스를, 처리실(201) 내에 펄스적으로 공급(플래시 공급)하는 것이 가능하도록 구성되어 있다. 또한, 오존 공급 밸브(AV2-1~AV2-N)를 개방하는 시간 간격을 제어함으로써, 펄스 공급의 시간 간격을 좁히고, 산화 처리 속도를 증대시키는 것이 가능하도록 구성되어 있다.By closing the ozone supply valves AV2-1 to AV2-N and opening the ozone inlet valves AV1-1 to AV1-N, the first mass flow controller 241a-1 to 241a -N is used. It is comprised so that ozone gas can be filled in buffer tank 102-1-102-N, adjusting flow volume. Thereafter, by opening the ozone supply valves AV2-1 to AV2-N in order, the ozone gas filled in the buffer tanks 102-1 to 102-N is pulsedly supplied into the processing chamber 201 (flash). It is configured to be able to supply). Moreover, it is comprised so that the time interval of pulse supply can be narrowed and the oxidation process speed | rate can be increased by controlling the time interval which opens ozone supply valve AV2-1-1 AV2-N.

(2) 기판 처리 공정(2) substrate processing process

다음에, 반도체 디바이스의 제조 공정의 하나로서 실시되는 본 실시 형태에 따른 기판 처리 공정에 대해, 도 14를 참조하면서 설명한다. 도 14는, 본 실시 형태에 따른 가스 공급 유닛의 동작 및 밸브 개폐 순서를 예시하는 도이다. 본 실시 형태에 따른 기판 처리 공정은, 산화막 형성 공정(스텝 3)에 있어서, 병렬로 설치된 복수의 오존 공급 경로로부터, 산화 가스로서의 오존 가스를 처리실(201) 내에 순서대로 펄스적으로 공급(플래시 공급)하는 점이, 제3 실시 형태와 다르다. 본 실시 형태에 따른 기판 처리 공정은, 도 13에 나타내는 기판 처리 장치에 의해 실시된다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은, 컨트롤러(280)에 의해 제어된다.Next, the substrate processing process according to the present embodiment which is performed as one of the manufacturing processes of the semiconductor device will be described with reference to FIG. 14. 14 is a diagram illustrating an operation and a valve opening and closing procedure of the gas supply unit according to the present embodiment. In the substrate processing step of the present embodiment, in the oxide film forming step (step 3), ozone gas as the oxidizing gas is sequentially supplied into the processing chamber 201 from the plurality of ozone supply paths provided in parallel (flash supply). ) Is different from the third embodiment. The substrate processing process according to the present embodiment is performed by the substrate processing apparatus shown in FIG. 13. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 280.

<웨이퍼 반입 공정~원료 가스 제거 공정(스텝 2)><Wafer carrying process-raw material gas removal process (step 2)>

먼저, 상술한 실시 형태와 마찬가지로, 웨이퍼 반입 공정, 원료 가스 공급 공정(스텝 1) 및 원료 가스 제거 공정(스텝 2)을 순차적으로 실시한다.First, similarly to the above-described embodiment, the wafer loading step, the source gas supply step (step 1), and the source gas removal step (step 2) are sequentially performed.

<산화막 형성 공정(스텝 3)><Oxide Film Forming Step (Step 3)>

다음에, 산화막 형성 공정(스텝 3)을 실시한다. 한편, 도 14에 예시하는 산화막 형성 공정(스텝 3)에서는, 3 계통수의 오존 공급 계통을 이용하여, 오존 가스를 처리실(201) 내에 순서대로 펄스적으로 공급(플래시 공급)한다.Next, an oxide film forming step (step 3) is performed. On the other hand, in the oxide film formation process (step 3) illustrated in FIG. 14, ozone gas is pulse-supplied in order in the process chamber 201 (flash supply) using the ozone supply system of three system water.

먼저 도 14의[1]에 나타내는 바와 같이, 오존 공급 밸브(AV2-1~AV2-3) 및 오존 도입 밸브(AV1-2, AV1-3)를 닫힘으로 하고, 오존 도입 밸브(AV1-1)를 개방으로 하고, 제1 매스 플로우 컨트롤러(241a-1)로 유량 조정하면서, 버퍼 탱크(102-1) 내에 오존 가스를 충전한다[오존 충전 공정(스텝 3 a-1)].First, as shown in [1] of FIG. 14, the ozone supply valves AV2-1 to AV2-3 and the ozone inlet valves AV1-2 and AV1-3 are closed, and the ozone inlet valve AV1-1 is closed. Is opened and ozone gas is filled into the buffer tank 102-1 while adjusting the flow rate with the first mass flow controller 241a-1 (ozone filling step (step 3a-1)).

소정 시간이 경과하여, 버퍼 탱크(102-1) 내에 소정량의 오존 가스가 충전되고, 버퍼 탱크(102-1) 내의 압력이 예를 들면 100000Pa에 도달하면, 도 14의[2]에 나타내는 바와 같이, 오존 도입 밸브(AV1-1)를 닫힘으로 하고, 오존 공급 밸브(AV2-1)를 개방으로 하고, 버퍼 탱크(102-1) 내에 충전되고 있던 오존 가스를 처리실(201) 내에 공급한다[오존 공급 공정(스텝 3b-1)]. 오존 공급 공정(스텝 3b-1)에 있어서는, 버퍼 탱크(102-1) 내에 충전된 오존 가스가, 처리실(201) 내에 펄스적으로 공급(플래시 공급)된다. 오존 가스는, 웨이퍼(200)의 표면에 화학 흡착하고 있는 TEMAH와 표면 반응하고, 웨이퍼(200) 상에 HfO2막이 성막된다. 한편, 오존 공급 공정(스텝 3b-1)에서는, 오존을 공급한 직후의 처리실(201) 내의 압력이 예를 들면 0.1~1000Pa의 범위 내가 되도록 한다.After a predetermined time has elapsed, a predetermined amount of ozone gas is filled into the buffer tank 102-1, and the pressure in the buffer tank 102-1 reaches 100000 Pa, for example, as shown in [2] in FIG. 14. Similarly, the ozone introduction valve AV1-1 is closed, the ozone supply valve AV2-1 is opened, and the ozone gas filled in the buffer tank 102-1 is supplied into the processing chamber 201 [ Ozone supply process (step 3b-1)]. In the ozone supply step (step 3b-1), the ozone gas filled in the buffer tank 102-1 is supplied pulsed (flash supply) into the processing chamber 201. The ozone gas is surface-reacted with TEMAH chemisorbed on the surface of the wafer 200, and an HfO 2 film is formed on the wafer 200. In addition, in an ozone supply process (step 3b-1), the pressure in the process chamber 201 immediately after supplying ozone is made into the range of 0.1-1000 Pa, for example.

또한, 도 14의[2]에 나타내는 바와 같이, 오존 공급 공정(스텝 3b-1)의 실시와 병행하여, 오존 도입 밸브(AV1-2)를 개방으로 하고, 제1 매스 플로우 컨트롤러(241a-2)로 유량 조정하면서, 버퍼 탱크(102-2) 내에 오존 가스를 충전한다[오존 충전 공정(스텝 3a-2)].In addition, as shown in [2] of FIG. 14, the ozone inlet valve AV1-2 is opened in parallel with the implementation of the ozone supply step (step 3b-1), and the first mass flow controller 241a-2 is used. ), Ozone gas is charged into the buffer tank 102-2 (ozone filling step (step 3a-2)).

소정 시간이 경과하여, 버퍼 탱크(102-2) 내에 소정량의 오존 가스가 충전되고, 버퍼 탱크(102-2) 내의 압력이 예를 들면 100000Pa에 도달하면, 도 14의[3]에 나타내는 바와 같이, 오존 도입 밸브(AV1-2)를 닫힘으로 하고, 오존 공급 밸브(AV2-2)를 개방으로 하고, 버퍼 탱크(102-2) 내에 충전되고 있던 오존 가스를 처리실(201) 내에 공급한다[오존 공급 공정(스텝 3b-2)]. 오존 공급 공정(스텝 3b-2)에 있어서는, 버퍼 탱크(102-2) 내에 충전된 오존 가스가, 처리실(201) 내에 펄스적으로 공급(플래시 공급)된다. 오존 가스는, 웨이퍼(200)의 표면에 화학 흡착하고 있는 TEMAH와 표면 반응하고, 웨이퍼(200) 상에 HfO2막이 성막된다. 한편, 오존 공급 공정(스텝 3b-2)에서는, 오존을 공급한 직후의 처리실(201) 내의 압력이 예를 들면 0.1~1000Pa의 범위 내가 되도록 한다.When a predetermined time has elapsed and a predetermined amount of ozone gas is filled in the buffer tank 102-2 and the pressure in the buffer tank 102-2 reaches 100000 Pa, for example, as shown in [3] of FIG. 14. Similarly, the ozone introduction valve AV1-2 is closed, the ozone supply valve AV2-2 is opened, and the ozone gas filled in the buffer tank 102-2 is supplied into the processing chamber 201 [ Ozone supply process (step 3b-2)]. In the ozone supply process (step 3b-2), the ozone gas filled in the buffer tank 102-2 is pulsedly supplied (flash supply) to the process chamber 201. The ozone gas is surface-reacted with TEMAH chemisorbed on the surface of the wafer 200, and an HfO 2 film is formed on the wafer 200. On the other hand, in an ozone supply process (step 3b-2), the pressure in the process chamber 201 immediately after supplying ozone is made into the range of 0.1-1000 Pa, for example.

또한, 도 14의[3]에 나타내는 바와 같이, 오존 공급 공정(스텝 3b-2)의 실시와 병행하여, 오존 도입 밸브(AV1-3)를 개방으로 하고, 제1 매스 플로우 컨트롤러(241a-3)로 유량 조정하면서, 버퍼 탱크(102-3) 내에 오존 가스를 충전한다[오존 충전 공정(스텝 3a-3)].In addition, as shown in [3] of FIG. 14, in parallel with the implementation of the ozone supplying step (step 3b-2), the ozone inlet valve AV1-3 is opened to open the first mass flow controller 241a-3. ), Ozone gas is filled into the buffer tank 102-3 (ozone filling step (step 3a-3)).

소정 시간이 경과하여, 버퍼 탱크(102-3) 내에 소정량의 오존 가스가 충전되고, 버퍼 탱크(102-3) 내의 압력이 예를 들면 100000Pa에 도달하면, 도 14의[4]에 나타내는 바와 같이, 오존 도입 밸브(AV1-3)를 닫힘으로 하고, 오존 공급 밸브(AV2-3)를 개방으로 하여, 버퍼 탱크(102-3) 내에 충전되고 있던 오존 가스를 처리실(201) 내에 공급한다[오존 공급 공정(스텝 3b-3)]. 오존 공급 공정(스텝 3b-3)에 있어서는, 버퍼 탱크(102-3) 내에 충전된 오존 가스가, 처리실(201) 내에 펄스적으로 공급(플래시 공급)된다. 오존 가스는, 웨이퍼(200)의 표면에 화학 흡착하고 있는 TEMAH와 표면 반응하여, 웨이퍼(200) 상에 HfO2막이 성막된다. 한편, 오존 공급 공정(스텝 3b-3)에서는, 오존을 공급한 직후의 처리실(201) 내의 압력이 예를 들면 0.1~1000Pa의 범위 내가 되도록 한다.When a predetermined time has elapsed and a predetermined amount of ozone gas is filled in the buffer tank 102-3, and the pressure in the buffer tank 102-3 reaches 100000 Pa, for example, as shown in [4] of FIG. Similarly, the ozone inlet valve AV1-3 is closed, the ozone supply valve AV2-3 is open, and the ozone gas filled in the buffer tank 102-3 is supplied into the processing chamber 201 [ Ozone supply process (step 3b-3)]. In the ozone supply step (step 3b-3), the ozone gas filled in the buffer tank 102-3 is supplied pulsed (flash supply) into the processing chamber 201. The ozone gas is surface-reacted with TEMAH chemisorbed on the surface of the wafer 200 to form an HfO 2 film on the wafer 200. On the other hand, in the ozone supply process (step 3b-3), the pressure in the process chamber 201 immediately after supplying ozone is made into the range of 0.1-1000 Pa, for example.

또한, 도 14의[4]에 나타내는 바와 같이, 오존 공급 공정(스텝 3b-3)의 실시와 병행하여, 오존 도입 밸브(AV1-1)를 개방으로 하고, 제1 매스 플로우 컨트롤러(241a-3)로 유량 조정하면서, 버퍼 탱크(102-1) 내에 오존 가스를 충전한다[오존 충전 공정(스텝 3a-1)].In addition, as shown in [4] of FIG. 14, in parallel with the implementation of the ozone supply step (step 3b-3), the ozone inlet valve AV1-1 is opened and the first mass flow controller 241a-3 is used. ), Ozone gas is filled into the buffer tank 102-1 (ozone filling step (step 3a-1)).

이후, 오존 충전 공정(스텝 3a-1)부터 오존 공급 공정(스텝 3b-3)을 1 사이클로 하여 이 사이클을 소정 회수 반복한 후, 오존 공급 밸브(AV2-1~AV2-3)를 닫힘으로 하고, 산화막 형성 공정(스텝 3)을 종료한다. 한편, 산화막 형성 공정(스텝 3)의 실시 중 및 종료 후는, 배기관(231)의 제5 밸브(243e)는 항상 개방한 상태로 하고, 진공 펌프(246)에 의해 처리실(201) 내를 배기하고, 잔류 오존 및 오존의 중간체를 처리실(201) 내로부터 배제하도록 한다. 한편, 제5 밸브(243e)의 개방도를 조정하고, 처리실(201) 내의 압력을 조압하도록 해도 된다. 한편, 처리실(201)내로부터의 잔류 오존 및 오존의 중간체의 제거가 완료할 때까지는, 퍼지 가스로서의 N2를 처리실(201) 내에 공급하도록 하면, 처리실(201) 내로부터 잔류 오존 및 오존의 중간체를 배제하는 효과가 더욱 높아진다.Subsequently, after repeating this cycle for a predetermined number of times from the ozone filling step (step 3a-1) to the ozone supply step (step 3b-3), the ozone supply valves AV2-1 to AV2-3 are closed. Then, the oxide film forming step (step 3) is finished. On the other hand, during and after the completion of the oxide film forming step (step 3), the fifth valve 243e of the exhaust pipe 231 is always opened, and the inside of the processing chamber 201 is exhausted by the vacuum pump 246. Then, residual ozone and an intermediate of ozone are excluded from the treatment chamber 201. In addition, you may adjust the opening degree of the 5th valve 243e, and adjust the pressure in the process chamber 201. FIG. On the other hand, when the removal of residual ozone and ozone intermediate from the processing chamber 201 is completed, when N 2 as a purge gas is supplied into the processing chamber 201, the intermediate of residual ozone and ozone from the processing chamber 201 is supplied. The effect of excluding this becomes even higher.

<반복 공정><Repeat process>

그 후, 상술한 원료 가스 공급 공정(스텝 1)~산화막 형성 공정(스텝 3)을 1 사이클로 하고, 이 사이클을 복수회 반복함으로써, 웨이퍼(200) 상에 소정의 막두께의 HfO2막을 성막하여, 본 실시 형태에 따른 기판 처리 공정을 종료한다. 그리고, 웨이퍼 반입 공정과 반대의 순서로, 처리실(201) 내로부터 처리 후의 웨이퍼 (200)을 반출한다.Thereafter, the above-described source gas supply process (step 1) to oxide film formation process (step 3) is performed as one cycle, and the cycle is repeated a plurality of times to form a HfO 2 film having a predetermined film thickness on the wafer 200. The substrate processing process according to the present embodiment is finished. And the processed wafer 200 is carried out from the process chamber 201 in the reverse order to the wafer loading process.

(3) 본 실시 형태에 따른 효과(3) effects according to the present embodiment

본 실시 형태에 의하면, 상술한 효과에 더하여, 이하에 나타내는 하나 또는 복수의 효과를 더욱 발휘한다. According to this embodiment, one or more effects shown below are further exhibited in addition to the effects described above.

(a) 본 실시 형태에 의하면, 오존 공급 밸브(AV2-1~AV2-N)를 개방하는 시간 간격을 제어함으로써, 펄스 공급의 시간 간격을 좁히고, 산화 처리 속도를 증대시켜, 기판 처리의 스루풋(생산성)을 향상시키는 것이 가능하게 된다. (a) According to this embodiment, by controlling the time interval for opening the ozone supply valves AV2-1 to AV2-N, the time interval of pulse supply is narrowed, the oxidation treatment speed is increased, and the throughput of substrate processing ( Productivity) can be improved.

(b) 또한, 본 실시 형태에 의하면, 벤트 라인으로부터 배출하는 오존의 낭비량이 적어지게 된다. 그 때문에, 소모품인 오조나이저(52)의 수명을 길게 할 수 있고, 러닝 코스트(running cost)를 저감시킬 수 있다.(b) In addition, according to the present embodiment, the amount of waste of ozone discharged from the vent line is reduced. Therefore, the lifetime of the ozonizer 52 which is a consumable can be lengthened, and a running cost can be reduced.

한편, 본 실시 형태에 있어서는, 병렬로 설치하는 오존 가스 공급 경로의 수[버퍼 탱크(102-1~102N의 수)]는, 산화막 형성에 필요한 처리 시간과 제조 비용의 밸런스에 근거하여 결정(決定)하면 좋다.In the present embodiment, on the other hand, the number of the ozone gas supply paths provided in parallel (the number of buffer tanks 102-1 to 102N) is determined based on the balance between the processing time and the manufacturing cost required for oxide film formation. )

<본 발명의 다른 실시 형태><Other embodiments of the present invention>

이상, 본 발명의 실시의 형태를 구체적으로 설명했는데, 본 발명은 상술한 실시 형태에 한정되는 것은 아니고, 그 요지를 일탈하지 않는 범위에서 여러 가지 변경 가능하다.As mentioned above, although embodiment of this invention was described concretely, this invention is not limited to embodiment mentioned above, A various change is possible in the range which does not deviate from the summary.

예를 들면, 본 발명은, HfOx막 이외의 막[Si산화막(SiO), Hf산화막(HfOx), Zr산화막(ZrO), Al산화막, Ti산화막, Ta산화막, Ru산화막, lr산화막 등]을 성막하는 경우에도 적용 가능하다.For example, the present invention forms a film other than an HfOx film (Si oxide film (SiO), Hf oxide film (HfOx), Zr oxide film (ZrO), Al oxide film, Ti oxide film, Ta oxide film, Ru oxide film, lr oxide film, etc.). It is also applicable to the case.

또한, 원료 가스로서는, 상온에서 액체인 액체 원료로서의 테트라키스 에틸 메틸 아미노 하프늄(TEMAH)을 기화시킨 TEMAH 가스에 한정하지 않고, 테트라키스 에틸 메틸 아미노 지르코늄(TEMAZ)등의 다른 유기 금속 액체 원료를 기화시킨 가스를 사용할 수 있다. 또한, 산화 가스로서는, 오존(O3)에 한정하지 않고, 다른 산소 함유 가스를 이용하는 것도 가능하다.The raw material gas is not limited to the TEMAH gas in which tetrakis ethyl methyl amino hafnium (TEMAH) as a liquid raw material at room temperature is vaporized, and other organic metal liquid raw materials such as tetrakis ethyl methyl amino zirconium (TEMAZ) are vaporized. Can be used. As the oxidizing gas, not only ozone (O 3 ) but also other oxygen-containing gas can be used.

또한, 웨이퍼(200) 상에 형성하는 박막의 종류에 따라서는, 처리실(201) 내에 공급하는 원료 가스로서, 상온에서 액체인 원료를 기화기(242)에 의해 기화시켜 얻어지는 것 이외에, 상온에서 기체인 가스를 이용할 수 있다. 이러한 경우, 액체 원료 공급원, 액체 매스 플로우 컨트롤러(240), 기화기(242) 대신에, 원료 가스 공급원이나 매스 플로우 컨트롤러(모두 도시하지 않음)를 설치하는 것으로 해도 된다. 또한, 처리실(201) 내에 공급하는 산화 가스의 종별이나 농도에 따라서는, 제2 캐리어 가스 공급관(234b)를 떼어내도 된다.In addition, depending on the kind of the thin film formed on the wafer 200, the raw material gas supplied into the processing chamber 201 is a gas at normal temperature in addition to being obtained by vaporizing a raw material which is liquid at normal temperature by the vaporizer 242. Gas can be used. In such a case, instead of the liquid raw material supply source, the liquid mass flow controller 240, and the vaporizer 242, a source gas supply source and a mass flow controller (both not shown) may be provided. The second carrier gas supply pipe 234b may be removed depending on the type and concentration of the oxidizing gas supplied into the processing chamber 201.

또한, 제3, 제4 실시 형태에서는, 기판 처리 장치가 노멀 플로우 방식의 종형 기판 처리 장치로서 구성된 경우를 예시하고 있는데, 이러한 형태에 한정하지 않고, 사이드 플로우 방식의 종형 기판 처리 장치로서 구성되어 있어도 된다. 도 17은 제3 실시 형태에 따른 가스 공급 유닛을 사이드 플로우 방식의 종형 기판 처리 장치에 적응한 경우의 개략 구성도이다.In addition, although the case where the substrate processing apparatus is comprised as the vertical type | mold substrate processing apparatus of a normal flow system is illustrated in 3rd, 4th embodiment, it is not limited to this form, Even if it is comprised as a vertical type | mold substrate processing apparatus of a side flow system. do. It is a schematic block diagram at the time of adapting the gas supply unit which concerns on 3rd Embodiment to the vertical substrate processing apparatus of a side flow system.

<본 발명의 바람직한 형태>Preferred Embodiments of the Invention

다음에, 본 발명의 바람직한 형태를 부기한다.Next, the preferable aspect of this invention is appended.

<부기 1><Appendix 1>

기판을 수용한 처리실 내에 원료 가스를 공급하는 원료 가스 공급 공정과,A raw material gas supply step of supplying a raw material gas into a processing chamber accommodating a substrate;

상기 처리실 내에 잔류하는 상기 원료 가스 및 상기 원료 가스의 중간체를 제거하는 원료 가스 제거 공정과,A raw material gas removing step of removing the raw material gas remaining in the processing chamber and the intermediate of the raw material gas;

상기 처리실 내의 분위기의 배기를 실질적으로 중지한 상태에서, 상기 처리실 내에 오존을 공급하는 오존 공급 공정과,An ozone supplying step of supplying ozone to the processing chamber while the exhaust of the atmosphere in the processing chamber is substantially stopped;

상기 처리실 내에 잔류하는 상기 오존 및 상기 오존의 중간체를 제거하는 오존 제거 공정An ozone removal process for removing the ozone and the intermediate of the ozone remaining in the processing chamber

을 복수회 반복하여 상기 원료 가스와 상기 오존을 서로 혼합하지 않도록 공급하고, 상기 기판의 표면에 산화막을 형성하는 기판 처리 방법.Repeating a plurality of times to supply the source gas and the ozone so as not to mix with each other, and to form an oxide film on the surface of the substrate.

바람직하게는,Preferably,

상기 원료 가스는 상온 상압(常溫常壓)에서 액체 원료로서, The raw material gas is a liquid raw material at room temperature and normal pressure,

상기 원료 가스 공급 공정에서는, 상기 처리실 내의 분위기를 배기하면서 상기 원료 가스를 상기 처리실 내에 공급한다.In the source gas supply step, the source gas is supplied into the process chamber while exhausting the atmosphere in the process chamber.

또한 바람직하게는,Also preferably,

상기 오존 공급 공정에서는, 상기 오존을 공급한 직후의 상기 처리실 내의 압력이 0.1~1000Pa이다.In the said ozone supply process, the pressure in the said process chamber immediately after supplying the said ozone is 0.1-1000 Pa.

또한 바람직하게는, Also preferably,

상기 오존 공급 공정에서는, 상기 처리실 내의 압력을 평균 압력으로 조압하면서 기판 처리실 내에 상기 오존을 공급한다.In the ozone supply step, the ozone is supplied into the substrate processing chamber while the pressure in the processing chamber is adjusted to an average pressure.

또한 바람직하게는, Also preferably,

상기 오존 공급 공정 이전에, 상기 처리실에 접속된 가스 저장부 내에 상기 오존을 충전하는 오존 충전 공정을 포함하고, And an ozone filling step of filling the ozone into a gas storage unit connected to the processing chamber before the ozone supplying step;

상기 오존 공급 공정에서는, 상기 가스 저장부 내에 충전된 상기 오존을 상기 처리실 내에 공급한다.In the ozone supply step, the ozone filled in the gas storage part is supplied into the processing chamber.

또한 바람직하게는, Also preferably,

상기 오존 충전 공정을, 상기 원료 가스 공급 공정 및/또는 상기 원료 가스 제거 공정과 동시에 수행한다. 즉, 상기 오존 충전 공정을, 상기 원료 가스 공급 공정과 동시에 수행하거나, 상기 원료 가스 제거 공정과 동시에 수행하거나, 혹은, 상기 원료 가스 공급 공정 및 상기 원료 가스 제거 공정과 동시에 수행한다.The ozone filling step is performed simultaneously with the source gas supply step and / or the source gas removal step. That is, the ozone filling process is performed simultaneously with the source gas supply process, simultaneously with the source gas removal process, or simultaneously with the source gas supply process and the source gas removal process.

또한 바람직하게는, 상기 오존 충전 공정에서는, 상기 가스 저장부 내의 압력이 100000 Pa가 될 때까지, 상기 가스 저장부 내에 상기 오존을 충전한다.Also preferably, in the ozone filling step, the ozone is filled into the gas reservoir until the pressure in the gas reservoir reaches 100000 Pa.

또한 바람직하게는,Also preferably,

상기 각 공정은, 상기 기판을 제1 온도로 가열하면서, 상기 가스 저장부와 상기 처리실을 접속하는 가스 공급관을 제2 온도로 가열하고, 나아가, 상기 가스 저장부를 제3 온도로 냉각하면서 수행하고, Each process is performed while heating the substrate to a first temperature, heating a gas supply pipe connecting the gas storage unit and the processing chamber to a second temperature, further cooling the gas storage unit to a third temperature,

상기 제1 온도는 상기 제2 온도보다 높고, 상기 제2 온도는 상기 제3 온도보다 높다.The first temperature is higher than the second temperature, and the second temperature is higher than the third temperature.

<부기 2><Appendix 2>

기판을 수용한 처리실 내에 원료 가스를 공급하는 공정과,Supplying a raw material gas into a processing chamber accommodating a substrate;

상기 처리실 내의 분위기를 배기하는 공정과,Exhausting the atmosphere in the processing chamber;

상기 처리실에 접속된 가스 저장부 내에 오존을 충전하는 공정과,Filling ozone into a gas reservoir connected to the processing chamber;

상기 처리실 내에, 상기 가스 저장부 내에 충전된 상기 오존을 공급하는 공정과,Supplying the ozone filled in the gas storage part into the processing chamber;

상기 처리실 내의 분위기를 배기하는 공정Exhausting the atmosphere in the processing chamber

을 복수회 수행하여 상기 원료 가스와 상기 오존을 서로 혼합하지 않도록 교호로 공급하고, 상기 기판의 표면에 산화막을 형성하는 기판 처리 방법.Performing a plurality of times to alternately supply the source gas and the ozone so as not to mix with each other, and form an oxide film on the surface of the substrate.

<부기 3><Appendix 3>

기판을 처리실 내에 반입하는 기판 반입 공정과,A substrate loading step of bringing a substrate into a processing chamber;

상기 처리실 내의 분위기의 배기를 실질적으로 중지한 상태에서, 상기 처리실 내에 오존을 공급하는 오존 공급 공정과,An ozone supplying step of supplying ozone to the processing chamber while the exhaust of the atmosphere in the processing chamber is substantially stopped;

상기 처리실 내에 잔류하는 상기 오존 및 상기 오존의 중간체를 제거하는 오존 제거 공정An ozone removal process for removing the ozone and the intermediate of the ozone remaining in the processing chamber

을 포함하고, Including,

상기 오존 공급 공정과 상기 오존 제거 공정을 복수회 반복하고, 상기 기판의 표면에 산화막을 형성하는 기판 처리 방법.A substrate processing method for forming an oxide film on the surface of the substrate by repeating the ozone supplying step and the ozone removing step a plurality of times.

바람직하게는,Preferably,

상기 오존 공급 공정에서는, 상기 오존을 공급한 직후의 상기 처리실 내의 압력이 0.1~1000Pa이다.In the said ozone supply process, the pressure in the said process chamber immediately after supplying the said ozone is 0.1-1000 Pa.

또한 바람직하게는,Also preferably,

상기 오존 공급 공정에서는, 상기 처리실 내의 압력을 평균 압력으로 조압하면서 상기 처리실 내에 상기 오존을 공급한다.In the ozone supply step, the ozone is supplied into the processing chamber while the pressure in the processing chamber is adjusted to an average pressure.

또한 바람직하게는,Also preferably,

상기 오존 공급 공정 이전에, 상기 처리실에 접속된 가스 저장부 내에 상기 오존을 충전하는 오존 충전 공정을 포함하고, And an ozone filling step of filling the ozone into a gas storage unit connected to the processing chamber before the ozone supplying step;

상기 오존 공급 공정에서는, 상기 가스 탱큽 내에 충전된 상기 오존을 상기 처리실 내에 공급한다.In the ozone supply step, the ozone filled in the gas tank is supplied into the processing chamber.

또한 바람직하게는,Also preferably,

상기 오존 충전 공정에서는, 상기 가스 저장부 내의 압력이 100000Pa가 될 때까지, 상기 가스 저장부 내에 상기 오존을 충전한다.In the ozone filling step, the ozone is filled into the gas reservoir until the pressure in the gas reservoir reaches 100000 Pa.

또한 바람직하게는,Also preferably,

상기 각 공정은, 상기 기판을 제1 온도로 가열하면서, 상기 가스 저장부와 상기 처리실을 접속하는 가스 공급관을 제2 온도로 가열하고, 나아가, 상기 가스 저장부를 제3 온도로 냉각하면서 수행하고, Each process is performed while heating the substrate to a first temperature, heating a gas supply pipe connecting the gas storage unit and the processing chamber to a second temperature, further cooling the gas storage unit to a third temperature,

상기 제1 온도는 상기 제2 온도보다 높고, 상기 제2 온도는 상기 제3 온도보다 높다. The first temperature is higher than the second temperature, and the second temperature is higher than the third temperature.

<부기 4><Appendix 4>

기판을 수용한 처리실에 접속된 가스 저장부 내에 오존을 충전하는 공정과,Filling ozone into a gas storage unit connected to a processing chamber containing a substrate;

상기 가스 저장부 내에 충전된 상기 오존을 상기 처리실 내에 공급하는 공정과,Supplying the ozone filled in the gas reservoir into the processing chamber;

상기 처리실 내의 분위기를 배기하는 공정Exhausting the atmosphere in the processing chamber

을 복수 반복하여, 상기 기판의 표면에 산화막을 형성하는 기판 처리 방법.And repeating a plurality of steps to form an oxide film on the surface of the substrate.

<부기 5><Appendix 5>

기판을 처리하는 처리실과,A processing chamber for processing a substrate,

상기 처리실 내에 오존을 공급하는 가스 공급 유닛과,A gas supply unit for supplying ozone to the processing chamber;

상기 처리실 내의 분위기를 배기하는 배기 유닛과,An exhaust unit for exhausting the atmosphere in the processing chamber;

제어부Control

를 포함하고, Including,

상기 가스 공급 유닛은, 상기 처리실과 접속된 오존 공급로와, 상기 오존 공급로의 개폐를 수행하는 오존 공급 밸브를 구비하고, The gas supply unit includes an ozone supply path connected to the processing chamber, and an ozone supply valve that opens and closes the ozone supply path.

상기 배기 유닛은, 상기 처리실과 접속된 배기로와, 상기 배기로를 개폐하는 배기 밸브를 구비하고, The exhaust unit includes an exhaust passage connected to the processing chamber, and an exhaust valve that opens and closes the exhaust passage.

상기 제어부는,The control unit,

상기 오존을 상기 처리실 내에 공급할 때는, 상기 처리실 내의 분위기의 배기를 실질적으로 중지한 상태에서 상기 오존 공급로로부터 상기 오존을 상기 처리실 내에 공급하도록 상기 가스 공급 유닛 및 상기 배기 유닛을 제어하는 기판 처리 장치.And the gas supply unit and the exhaust unit to control the gas supply unit and the exhaust unit to supply the ozone from the ozone supply path into the process chamber while the ozone is supplied into the process chamber while the exhaust of the atmosphere in the process chamber is substantially stopped.

바람직하게는, Preferably,

상기 가스 공급 유닛은, 상기 오존 공급 밸브보다 상류 측에 배치되고, 오존을 저장하는 가스 저장부를 포함하고, The gas supply unit includes a gas storage unit disposed upstream of the ozone supply valve and storing ozone,

상기 제어부는, 상기 오존 공급 밸브를 닫은 상태에서, 상기 오존을 상기 오존 공급로에 공급하여 상기 오존을 상기 가스 저장부 내에 저장한 후, 상기 오존 공급 밸브를 개방하여 상기 가스 저장부 내에 저장된 상기 오존을 상기 처리실 내에 공급하도록 상기 가스 공급 유닛을 제어한다.The controller is configured to supply the ozone to the ozone supply path and store the ozone in the gas storage part in a state where the ozone supply valve is closed, and then open the ozone supply valve to store the ozone stored in the gas storage part. The gas supply unit is controlled to supply the gas into the processing chamber.

또한 바람직하게는, Also preferably,

상기 처리실의 용적에 대한 상기 가스 저장부의 용적의 비율은, 1/2100~1/105이다.The ratio of the volume of the said gas storage part with respect to the volume of the said process chamber is 1 / 2100-1 / 105.

또한 바람직하게는, Also preferably,

상기 가스 공급 유닛은, 상기 가스 저장부를 냉각하는 냉각 매체를 가지는 냉각 유닛을 구비한다.The gas supply unit includes a cooling unit having a cooling medium for cooling the gas storage unit.

또한 바람직하게는, Also preferably,

상기 가스 저장부의 내벽은, Fe산화막, Ti산화막, Al산화막, Ni산화막, Cr산화막 중 어느 하나로 코팅된다.The inner wall of the gas storage part is coated with any one of Fe oxide film, Ti oxide film, Al oxide film, Ni oxide film and Cr oxide film.

<부기 6><Supplementary Note 6>

기판을 수용하는 처리실과, 처리실의 외부에 배치되고, 처리실 내의 분위기 및 기판을 가열하는 가열 유닛과, 처리실에 원하는 가스를 공급하는 가스 공급 유닛과, 처리실 내의 분위기를 배기하는 배기 유닛과, 적어도 가스 공급 유닛에 있어서의 가스 공급 동작 혹은 배기 유닛에 있어서의 가스 배기 동작을 제어하는 제어부를 포함하는 기판 처리 장치로서, A processing chamber accommodating the substrate, a heating unit disposed outside the processing chamber and heating the atmosphere and the substrate in the processing chamber, a gas supply unit supplying a desired gas to the processing chamber, an exhaust unit exhausting the atmosphere in the processing chamber, and at least gas A substrate processing apparatus comprising a control unit for controlling a gas supply operation in a supply unit or a gas exhaust operation in an exhaust unit,

가스 공급 유닛은, 처리실 내에 오존을 공급하는 오존 공급부를 포함하고, 오존 공급부는, 오존 공급로와 처리실과의 접속부보다 상류 측인 오존 공급로에 배치되고, 오존을 저장하는 가스 탱크와, 이 가스 탱크와 처리실과의 접속부와의 사이인 오존 공급로에 배치되고 오존 공급로를 개폐하는 오존 공급 밸브를 포함하며,The gas supply unit includes an ozone supply part for supplying ozone into the processing chamber, the ozone supply part being disposed in an ozone supply path upstream of the connection portion between the ozone supply path and the processing chamber, and a gas tank for storing ozone, and the gas tank. And an ozone supply valve disposed in the ozone supply path between the connection portion with the processing chamber and for opening and closing the ozone supply path,

제어부는, 오존을 처리실에 공급할 때에는, 첫째로, 오존 공급 밸브를 닫고, 오존을 오존 공급로에 흘려 원하는 양의 상기 오존을 가스 탱크에 저장하고, 다음에, 오존 공급 밸브를 개방하여 가스 탱크에 저장한 오존을 처리실에 공급하도록 가스 공급 유닛을 제어함으로써 기판 상에 원하는 산화막을 형성하는 기판 처리 장치를 제공한다. 처리실이 대기압보다 감압되어 있고, 오존 공급 저장 압력이 처리실보다 고압으로 되어 있고, 기판은, 기판 보지구에 의해, 처리실에 수평하고 다단으로 배치되어 있다. 이 상태에서, 오존 공급 밸브를 개방하면, 오존이 각 기판의 상면을 따라 펄스적으로 공급되기 때문에, 기판의 면내 막두께가 오존에 의해 처리된다.When supplying ozone to a process chamber, a control part first closes an ozone supply valve, flows ozone to an ozone supply path, stores the desired amount of ozone in a gas tank, and then opens an ozone supply valve to a gas tank. A substrate processing apparatus for forming a desired oxide film on a substrate by controlling the gas supply unit to supply stored ozone to the processing chamber. The process chamber is depressurized to atmospheric pressure, the ozone supply storage pressure is made to be higher than the process chamber, and the substrate is arranged horizontally and multistage in the process chamber by the substrate holding tool. In this state, when the ozone supply valve is opened, ozone is supplied pulsed along the upper surface of each substrate, so that the in-plane film thickness of the substrate is processed by ozone.

바람직하게는, 상기 제어부는, 상기 오존을 상기 처리실에 공급할 때에는, 상기 오존 공급 밸브를 닫고, 상기 오존을 상기 오존 공급로에 흘려 원하는 양의 상기 오존을 상기 가스 탱크에 저장한 제1 스텝과, 상기 오존 공급 밸브를 개방하여 상기 가스 탱크에 저장하는 상기 오존을 상기 처리실에 공급하는 제2 스텝을 소정 회수 반복하도록 상기 가스 공급 유닛을 제어함으로써 상기 기판 상에 원하는 산화막을 형성하는 기판 처리 장치이다. 이에 의해, 연속적이고 펄스적으로 오존이 기판에 공급된다. 이 결과, 기판이 면내 균일하게 처리된다.Preferably, the control unit comprises: a first step of closing the ozone supply valve when flowing the ozone to the processing chamber, flowing the ozone into the ozone supply path, and storing a desired amount of the ozone in the gas tank; A substrate processing apparatus for forming a desired oxide film on the substrate by controlling the gas supply unit to repeat the second step of opening the ozone supply valve and supplying the ozone stored in the gas tank to the processing chamber a predetermined number of times. Thereby, ozone is supplied to the substrate continuously and pulsed. As a result, the substrate is treated uniformly in plane.

또한 바람직하게는, 상기 배기 유닛은, 배기로와, 이 배기로를 개재하여 접속되는 진공 배기부와, 상기 배기로를 개폐하는 배기 밸브를 포함하고, 상기 제어부는, 상기 처리실의 배기를 중지한 상태 혹은 상기 처리실의 배기를 매우 줄인 상태에서, 상기 가스 탱크로부터 이 가스 탱크에 저장한 상기 오존을 상기 처리실에 공급하도록 상기 가스 공급 유닛 및 상기 배기 유닛을 제어함으로써 상기 기판 상에 원하는 산화막을 형성하는 기판 처리 장치. 오존에 의해 기판을 산화할 때, 배기를 정지 또는 배기를 줄이면, 기판이 면내 균일하게 처리된다.Also preferably, the exhaust unit includes an exhaust passage, a vacuum exhaust unit connected through the exhaust passage, and an exhaust valve for opening and closing the exhaust passage, wherein the control unit stops exhausting the processing chamber. Forming a desired oxide film on the substrate by controlling the gas supply unit and the exhaust unit to supply the ozone stored in the gas tank from the gas tank to the process chamber in a state where the exhaustion of the process chamber is greatly reduced. Substrate processing apparatus. When oxidizing the substrate by ozone, if the exhaust is stopped or the exhaust is reduced, the substrate is treated uniformly in plane.

또한 바람직하게는, 상기 오존을 공급한 직후의 상기 처리실 내의 압력을 0.1~1000Pa로 하는 기판 처리 장치. 0.1Pa 미만의 경우는, 산화막의 면내 균일성이 저하되고, 1000Pa를 넘으면, 산화막의 막두께가 면내 균일하지 않게 된다. 따라서, 산화막이나 성막 시에는, 오존을 공급한 직후의 상기 처리실 내의 압력을 0.1~1000Pa로 하면 된다. Moreover, Preferably, the substrate processing apparatus which makes the pressure in the said process chamber immediately after supplying the said ozone is 0.1-1000 Pa. In the case of less than 0.1 Pa, in-plane uniformity of an oxide film falls, and when it exceeds 1000 Pa, the film thickness of an oxide film will not become in-plane uniform. Therefore, what is necessary is just to set the pressure in the said process chamber immediately after supplying ozone to 0.1-1000 Pa at the time of an oxide film or film-forming.

또한 바람직하게는, 상기 가스 탱크 내의 압력이 100000Pa가 될 때까지, 상기 오존을 상기 가스 탱크에 저장하는 기판 처리 장치. 이와 같이 압력에 가스 탱크의 압력을 정하면, 오존 공급 밸브가 개방되었을 때, 기판을 향하여 펄스적으로 공급되는 오존에 의해, 기판의 면내 균일한 산화, 성막이 가능하게 된다.Also preferably, the ozone is stored in the gas tank until the pressure in the gas tank becomes 100000 Pa. When the pressure of the gas tank is set as the pressure in this way, when the ozone supply valve is opened, the in-plane uniform oxidation and film formation of the substrate are possible by the ozone supplied pulsed toward the substrate.

또한 바람직하게는, 상기 처리실의 용적에 대한 상기 가스 탱크의 용적비는 1/2100~1/105인 상기 기판 처리 장치. 이와 같이, 용적비를 결정(決定)함으로써, 웨이퍼를 면내 균일하게 산화시키고, 또한 면내 균일한 성막이 가능하게 된다.Also preferably, the volume ratio of the gas tank to the volume of the processing chamber is 1/2100 to 1/105. In this way, by determining the volume ratio, the wafer is uniformly oxidized in-plane and in-plane uniform film formation becomes possible.

또한 바람직하게는, 상기 제어부는, 상기 오존을 상기 처리실에 공급할 때는, 평균 압력으로 조압하도록 상기 가스 공급 유닛 및 상기 배기 유닛을 제어하여 원하는 산화막을 형성하는 기판 처리 장치. 여기서, 평균 압력이란 오존을 공급할 때, 배기 밸브를 닫지 않고 오존을 공급한 경우의 압력으로부터 요구되는 압력이다. 평균 압력으로 하면, 원하는 산화막의 두께 면내를 균일하게 할 수 있다.Also preferably, the control unit controls the gas supply unit and the exhaust unit so as to regulate pressure at an average pressure when supplying the ozone to the processing chamber to form a desired oxide film. Here, an average pressure is a pressure required from the pressure at the time of supplying ozone, without closing an exhaust valve at the time of supplying ozone. By setting it as an average pressure, the thickness inside of a desired oxide film can be made uniform.

또한 바람직하게는, 상기 배기 유닛은 상기 처리실의 하부에 접속되는 기판 처리 장치.Also preferably, the exhaust unit is connected to a lower portion of the processing chamber.

배기 유닛이 하부에 설치되면, 처리실 내를 흐른 후에 배기할 수 있기 때문에, 원료 가스(처리 가스)의 낭비가 없어진다. 또한, 처리실 내에서의 가스의 흐름을 어지럽히는 일 없이, 산화나 성막에 적절한 흐름을 형성하는 데 적합하다.If the exhaust unit is installed in the lower portion, the exhaust unit can be exhausted after flowing in the processing chamber, thereby eliminating waste of source gas (process gas). It is also suitable for forming an appropriate flow for oxidation and film formation without disturbing the flow of gas in the processing chamber.

또한 바람직하게는, 상기 처리실은, 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 상기 복수의 기판이 적층되어 수용되는 적어도 하단 측이 개방한 이너 튜브를 구비하고, 상기 가스 공급 유닛은, 상기 복수의 기판의 적층 방향으로 연재하도록 상기 이너 튜브의 내부에 입설되고 복수의 가스 분출구를 가지는 가스 공급 노즐을 포함하고, 또한 상기 처리실은, 상기 가스 공급 노즐에 대향한 위치로서, 상기 이너 튜브에 설치된 복수의 배기구를 가지는 기판 처리 장치.Also preferably, the processing chamber includes an outer tube and an inner tube provided inside the outer tube, and at least a lower end side of which the plurality of substrates are stacked and accommodated is opened, and the gas supply unit includes: A gas supply nozzle installed in the inner tube so as to extend in the stacking direction of the plurality of substrates, the gas supply nozzle having a plurality of gas ejection openings, and the processing chamber is provided at the inner tube as a position facing the gas supply nozzle. A substrate processing apparatus having a plurality of exhaust ports.

이와 같은 처리실로 하면, 각 기판에 대해서 수평 플로우를 형성할 수 있기 때문에, 각 기판의 면내 균일성을 향상시킬 수 있다. 또한, 이너 튜브와 아우터 튜브와의 사이의 간극을 지난 후의 처리 가스와, 이너 튜브의 개방단으로부터의 처리 가스의 모두를 배기시킬 수 있으므로, 가스의 치환 효율을 향상시킬 수 있다.In such a processing chamber, since horizontal flow can be formed for each substrate, in-plane uniformity of each substrate can be improved. In addition, since both the processing gas after passing through the gap between the inner tube and the outer tube and the processing gas from the open end of the inner tube can be exhausted, the gas substitution efficiency can be improved.

또한 바람직하게는, 상기 오존 공급부는, 상기 가스 탱크를 냉각하는 냉각 매체를 가지는 냉각 유닛을 구비한 기판 처리 장치.Also preferably, the ozone supply unit includes a cooling unit having a cooling medium for cooling the gas tank.

가스 탱크를 냉각하면, 오존의 수명이 늘어나기 때문에, 일정한 품질로 기판을 처리하는 것이 가능하게 된다.When the gas tank is cooled, the life of ozone is increased, so that the substrate can be processed with a certain quality.

또한 바람직하게는, 상기 냉각 매체는, 냉각수, 펠티에 소자 중 어느 하나인 기판 처리 장치.Also preferably, the cooling medium is any one of cooling water and a Peltier element.

간단한 구성으로 확실하게 오존 공급 탱크를 냉각할 수 있기 때문에, 신뢰성이 향상한다.Since the ozone supply tank can be cooled reliably with a simple structure, reliability improves.

또한 바람직하게는, 상기 가스 탱크의 내벽은, Fe산화막, Ti산화막, Al산화막, Ni산화막, Cr산화막 중 어느 하나로 코팅되는 기판 처리 장치. 이에 의해, 오존과 냉각 탱크의 반응이 방지되기 때문에, 기판 처리의 신뢰성을 향상시킬 수 있다.Also preferably, the inner wall of the gas tank is coated with any one of Fe oxide film, Ti oxide film, Al oxide film, Ni oxide film and Cr oxide film. Thereby, since reaction of ozone and a cooling tank is prevented, the reliability of substrate processing can be improved.

또한 바람직하게는, 상기 가스 공급 유닛은, 상기 처리실에 오존이 아닌 다른 원료 가스를 공급하는 원료 가스 공급부를 포함하고, 상기 원료 가스 공급부는, 원료 가스 공급로와, 상기 원료 가스 공급로에 배치되고 상기 원료 가스 공급로를 개폐하는 원료 가스 공급 밸브를 포함하고, 상기 제어부는, 상기 원료 가스와 상기 오존을 서로 혼합하지 않도록 소정 회수 교호로 반복하여 상기 처리실에 공급하고, 상기 원료 가스를 상기 처리실에 공급할 때에는, 상기 원료 가스 공급로로부터 상기 원료 가스를 상기 처리실에 공급함과 함께, 상기 오존 공급 밸브를 닫은 상태에서 상기 오존을 상기 오존 공급로에 흘려 원하는 양의 상기 오존을 상기 가스 탱크에 저장하도록 상기 가스 공급 유닛 및 상기 배기 유닛을 제어함으로써 상기 기판 상에 원하는 산화막을 형성하는 기판 처리 장치.Also preferably, the gas supply unit includes a source gas supply unit for supplying a source gas other than ozone to the processing chamber, wherein the source gas supply unit is disposed in the source gas supply path and the source gas supply path. And a source gas supply valve for opening and closing the source gas supply path, wherein the control unit repeatedly supplies the source gas to the process chamber in a predetermined number of times so as not to mix the source gas and the ozone. When supplying, the said source gas is supplied from the said source gas supply path to the said process chamber, and the said ozone is flowed into the said ozone supply path with the ozone supply valve closed, and the said ozone is stored in the said gas tank so that a desired amount may be stored. Desired oxide film on the substrate by controlling a gas supply unit and the exhaust unit Substrate processing apparatus for forming a.

이와 같은 구성으로 하면, 원료 가스에 의해 기판을 처리하고 있는 동안에 오존이 가스 탱크에 저장될 수 있다. 오존은, 원료 가스에 의한 처리가 종료한 직후에, 오존 공급 밸브를 개방함으로써, 기판에 공급되고, 원료 가스의 원료와 반응하여 산화, 또는 성막을 수행한다.With such a configuration, ozone can be stored in the gas tank while the substrate is processed by the source gas. Ozone is supplied to the substrate by opening the ozone supply valve immediately after the treatment with the source gas is finished, and reacts with the raw material of the source gas to perform oxidation or film formation.

또한 바람직하게는, 상기 산화막은, Si산화막, Hf산화막, Zr산화막, A1산화막, Ti산화막, Ta산화막, Ru산화막, lr산화막 중 어느 하나인 기판 처리 장치.Also preferably, the oxide film is any one of an Si oxide film, an Hf oxide film, a Zr oxide film, an A1 oxide film, a Ti oxide film, a Ta oxide film, a Ru oxide film, and an lr oxide film.

또한 바람직하게는, 상기 원료 가스는, Si원자, Hf원자, Zr원자, A1원자, Ti원자, Ta원자, Ru원자, lr원자를 포함하는 유기 화합물이나 상기 원자의 염화물 중 어느 하나인 기판 처리 장치.Also preferably, the source gas is any one of an organic compound containing Si atom, Hf atom, Zr atom, A1 atom, Ti atom, Ta atom, Ru atom, lr atom or chloride of the atom. .

또한 바람직하게는, 상기 제어부에는, 상기 원료 가스의 상기 처리실에의 공급을 중지한 후 및 상기 오존의 상기 처리실에의 공급을 중지한 후, 잔류한 상기 원료 가스 또는 상기 오존을 제거하도록 상기 가스 공급 유닛 및 상기 배기 유닛을 제어하는 기판 처리 장치.Also preferably, the control unit is configured to supply the gas to remove the remaining source gas or ozone after stopping supply of the source gas to the processing chamber and stopping supply of the ozone to the processing chamber. A substrate processing apparatus for controlling the unit and the exhaust unit.

이에 의해, 처리실이 청정화된다.As a result, the processing chamber is cleaned.

<부기 7><Appendix 7>

처리실에 접속된 가스 탱크에 오존을 충전하는 제1 공정과, 상기 가스 탱크에 충전된 오존을 상기 처리실에 공급하는 제2 공정과, 상기 처리실 내의 분위기를 배기하는 제3 공정을 포함하고, 상기 제1 공정으로부터 상기 제3 공정을 적어도 1회 이상 반복하여 수행하고, 상기 처리실 내에 적층하여 수용된 복수의 기판의 표면에 원하는 두께의 산화막을 형성하는 반도체 장치의 제조 방법.A first step of charging ozone in a gas tank connected to the processing chamber, a second step of supplying ozone filled in the gas tank to the processing chamber, and a third step of evacuating the atmosphere in the processing chamber; A method of manufacturing a semiconductor device, wherein the third step is repeated at least once from one step, and the oxide film having a desired thickness is formed on the surfaces of the plurality of substrates stacked and accommodated in the processing chamber.

이와 같은 공정에 의해, 기판을 면내 균일하게 처리하여 산화막을 형성할 수 있다.By such a process, an in-plane can be processed uniformly and an oxide film can be formed.

바람직하게는, 상기 제1 공정으로부터 상기 제3 공정을 반복할 때, 적어도 1회 이상, 상기 제1 공정과 상기 제3 공정을 동시에 수행하는 경우를 포함하는 반도체 장치의 제조 방법. 오존을 펄스적으로 공급하면서 배기하면, 면내 균일하게 산화막을 형성할 수 있다.Preferably, when repeating the third step from the first step, at least one or more times, the method comprising the step of performing the first step and the third step at the same time. By exhausting while supplying ozone pulsed, an oxide film can be formed uniformly in plane.

<부기 8><Appendix 8>

원료 가스와 오존을 서로 혼합하지 않도록 소정 회수 교호로 반복하여 처리실에 공급하고, 상기 처리실 내에 적층하여 수용된 복수의 기판의 표면에 원하는 두께의 산화막을 형성하는 반도체 장치의 제조 방법으로서, 상기 처리실에 상기 원료 가스를 공급하는 제1 공정과, 상기 처리실에 접속된 가스 탱크에 상기 오존을 충전하는 제2 공정과, 상기 가스 탱크에 충전된 오존을 상기 처리실에 공급하는 제3 공정과, 상기 처리실 내의 분위기를 배기하는 제4 공정을 포함하고, 상기 제1 공정으로부터 상기 제4 공정을 적어도 1회 이상 반복하여 수행하고, 상기 처리실 내에 적층하여 수용된 복수의 기판의 표면에 원하는 두께의 산화막을 형성하는 반도체 장치의 제조 방법. A method of manufacturing a semiconductor device in which an oxide film having a desired thickness is formed on a surface of a plurality of substrates which are stacked and accommodated in the processing chamber by supplying the source gas and ozone repeatedly in a predetermined number of times so as not to mix with each other. A first step of supplying source gas, a second step of filling the gas tank connected to the processing chamber with the ozone, a third step of supplying ozone filled in the gas tank to the processing chamber, and an atmosphere in the processing chamber And a fourth step of evacuating the semiconductor device, wherein the fourth step is repeated at least once or more from the first step, and the semiconductor device is formed in the process chamber by forming an oxide film having a desired thickness on the surfaces of the plurality of substrates accommodated. Method of preparation.

이와 같은 공정을 실시하면, 기판에 대해 면내 균일하게 원하는 막을 형성할 수 있다.By performing such a process, a desired film can be formed uniformly in surface with respect to a board | substrate.

바람직하게는, 상기 제1 공정으로부터 상기 제4 공정을 반복할 때, 적어도 1회 이상, 상기 제1 공정과 상기 제2 공정을 동시에 수행하는 경우를 포함하는 반도체 장치의 제조 방법. Preferably, when repeating the fourth process from the first process, at least one or more times, including the case of performing the first process and the second process at the same time.

이와 같은 구성으로 하면, 원료 가스에 의해 기판을 처리하고 있는 동안에 오존이 가스 탱크에 저장될 수 있다. 오존은, 원료 가스에 의한 처리가 종료한 직후에, 오존 공급 밸브를 개방으로 함으로써, 기판에 공급되고, 원료 가스의 원료와 반응하여 산화, 또는 성막을 수행한다.With such a configuration, ozone can be stored in the gas tank while the substrate is processed by the source gas. Ozone is supplied to the substrate by opening the ozone supply valve immediately after the treatment with the source gas is completed, and reacts with the source material of the source gas to perform oxidation or film formation.

<도면 주요 부호의 설명>
104 : 버퍼 탱크(가스 탱크) 200 : 웨이퍼(기판)
201 : 처리실 203 : 반응관
207 : 히터(히터 유닛) 231 : 배기관(배기로)
232a : 제1 가스 공급관
232b : 제2 가스 공급관(오존 공급로)
233a : 제1 가스 공급 노즐 233b : 제2 가스 공급 노즐
243e : 제5 밸브(배기 밸브) 246 : 진공 펌프(배기 유닛)
248a : 제1 가스 분출구 248b : 제2 가스 분출구
280 : 컨트롤러(제어부) AV1 : 가스 도입 밸브
AV2 : 가스 공급 밸브
<Description of Drawing Major Symbols>
104: buffer tank (gas tank) 200: wafer (substrate)
201: treatment chamber 203: reaction tube
207: heater (heater unit) 231: exhaust pipe (exhaust)
232a: first gas supply pipe
232b: second gas supply pipe (ozone supply path)
233a: first gas supply nozzle 233b: second gas supply nozzle
243e: fifth valve (exhaust valve) 246: vacuum pump (exhaust unit)
248a: first gas outlet 248b: second gas outlet
280: controller (control unit) AV1: gas inlet valve
AV2: Gas Supply Valve

Claims (1)

기판을 처리실에 반입하는 기판 반입 공정과,
상기 처리실과 접속된 오존 공급로에 설치된 밸브를 닫고 상기 오존 공급로에 오존을 충전하는 오존 충전 공정과,
상기 처리실의 배기를 실질적으로 멈춘 상태에서, 상기 오존 공급로에 충전된 오존을 상기 처리실에 공급하는 오존 공급 공정과,
상기 처리실 내에 잔류하는 상기 오존 및 오존의 중간체를 제거하는 오존 제거 공정
을 포함하고,
상기 오존 공급 공정과 상기 오존 제거 공정을 복수회 반복하여, 상기 기판의 표면에 산화막을 형성하는 반도체 장치의 제조 방법.
A substrate import step of bringing the substrate into the processing chamber;
An ozone filling step of closing the valve provided in the ozone supply path connected to the processing chamber and filling the ozone supply path with ozone;
An ozone supplying step of supplying ozone filled in the ozone supply path to the processing chamber while the exhaust of the processing chamber is substantially stopped;
Ozone removal process for removing the ozone and the intermediate of ozone remaining in the processing chamber
Including,
A method of manufacturing a semiconductor device, wherein an oxide film is formed on a surface of the substrate by repeating the ozone supplying step and the ozone removing step a plurality of times.
KR1020110039314A 2008-06-20 2011-04-27 Method for processing substrate and substrate processing apparatus KR20110047183A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110039314A KR20110047183A (en) 2008-06-20 2011-04-27 Method for processing substrate and substrate processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2008-162106 2008-06-20
JPJP-P-2009-114862 2009-05-11
KR1020110039314A KR20110047183A (en) 2008-06-20 2011-04-27 Method for processing substrate and substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020090052919A Division KR101037962B1 (en) 2008-06-20 2009-06-15 Method for processing substrate and substrate processing apparatus

Publications (1)

Publication Number Publication Date
KR20110047183A true KR20110047183A (en) 2011-05-06

Family

ID=44238510

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110039314A KR20110047183A (en) 2008-06-20 2011-04-27 Method for processing substrate and substrate processing apparatus

Country Status (1)

Country Link
KR (1) KR20110047183A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103966576A (en) * 2013-01-30 2014-08-06 株式会社日立国际电气 Substrate processing apparatus, method of manufacturing semiconductor device and program

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103966576A (en) * 2013-01-30 2014-08-06 株式会社日立国际电气 Substrate processing apparatus, method of manufacturing semiconductor device and program

Similar Documents

Publication Publication Date Title
KR101037962B1 (en) Method for processing substrate and substrate processing apparatus
KR101307794B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP5658463B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5284182B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
US8828141B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
JP5610438B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
US9466477B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
JP5295399B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
KR20120126012A (en) Gas supply apparatus, thermal treatment apparatus, gas supply method, and thermal treatment method
JP2008205151A (en) Substrate processing apparatus
JP2006286716A (en) Method of manufacturing semiconductor device
JP2011238832A (en) Substrate processing apparatus
JP2008135633A (en) Method for manufacturing semiconductor device
KR100935289B1 (en) Substrate processing apparatus and substrate processing method
KR20110047183A (en) Method for processing substrate and substrate processing apparatus
JP2011187485A (en) Substrate processing apparatus
JP5421812B2 (en) Semiconductor substrate deposition apparatus and method
JP2007227471A (en) Substrate processing apparatus
JP2006066557A (en) Substrate processing device
JP5060375B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP2007194331A (en) Substrate processing apparatus
JP2009200298A (en) Substrate processing apparatus
JP2012233265A (en) Method for production of semiconductor device, and substrate processing apparatus
JP2008294190A (en) Substrate treating system
JP2008227261A (en) Substrate treatment apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
SUBM Surrender of laid-open application requested