KR20090071862A - Loadlock chamber with high-low, right-left isolated processing areas for double loading/unloading - Google Patents

Loadlock chamber with high-low, right-left isolated processing areas for double loading/unloading Download PDF

Info

Publication number
KR20090071862A
KR20090071862A KR1020070139793A KR20070139793A KR20090071862A KR 20090071862 A KR20090071862 A KR 20090071862A KR 1020070139793 A KR1020070139793 A KR 1020070139793A KR 20070139793 A KR20070139793 A KR 20070139793A KR 20090071862 A KR20090071862 A KR 20090071862A
Authority
KR
South Korea
Prior art keywords
independent processing
processing area
load lock
lock chamber
loading
Prior art date
Application number
KR1020070139793A
Other languages
Korean (ko)
Other versions
KR100941934B1 (en
Inventor
고성근
김호식
박문수
Original Assignee
주식회사 아토
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 아토 filed Critical 주식회사 아토
Priority to KR1020070139793A priority Critical patent/KR100941934B1/en
Publication of KR20090071862A publication Critical patent/KR20090071862A/en
Application granted granted Critical
Publication of KR100941934B1 publication Critical patent/KR100941934B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A loadlock chamber having top, bottom, right, and left isolated processing regions for double loading/unloading is provided to increase productivity by transferring at least two wafers at once. A bonding part(250) is positioned between a bottom chamber body(200) and a top chamber body(300) in order to have an isolated region. A first bottom isolated processing region(210a) and a second bottom isolated processing region(210b) are formed in a right side and a left side of the bottom chamber body, and include a lift pin(270a) which loads/unloads one wafer. A third top isolated processing region(310a) and a fourth top isolated processing region(310b) are formed in a right side and a left side of the top chamber body. The bonding part is formed by an air layer.

Description

상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버{LOADLOCK CHAMBER WITH HIGH-LOW, RIGHT-LEFT ISOLATED PROCESSING AREAS FOR DOUBLE LOADING/UNLOADING} LOADLOCK CHAMBER WITH HIGH-LOW, RIGHT-LEFT ISOLATED PROCESSING AREAS FOR DOUBLE LOADING / UNLOADING}

본 발명은 반도체 웨이퍼 또는 유리 기판을 처리하는 장비에 관한 것으로, 더욱 상세하게는 상하좌우 분리된 4개의 독립처리영역에서 반도체 웨이퍼 또는 유리기판을 독립적으로 처리 하는 구조를 갖는 로드락 챔버에 관한 것이다. The present invention relates to an apparatus for processing a semiconductor wafer or glass substrate, and more particularly, to a load lock chamber having a structure for independently processing a semiconductor wafer or glass substrate in four independent processing regions divided up, down, left and right.

종래의 웨이퍼 또는 유리기판 처리 장비에 있어서 로드락(loadlock)은 단순히 대기와 진공 상태를 바꿔주는 버퍼링 역할에 지나지 않았다. 즉 종래의 로드락(loadlock)은 웨이퍼가 로드락을 지나 곧장 통과하는 인라인 장치(in-line device)에 불과하여, 웨이퍼 처리 장치의 전체 폭을 정하는 데 중요한 요소가 되어 왔고, 이로 인해 작업처리 공간을 효율적으로 이용하지 못하는 문제가 부각 되어 왔다.In conventional wafer or glass substrate processing equipment, the loadlock is merely a buffering role that switches between atmospheric and vacuum conditions. In other words, the conventional loadlock is only an in-line device in which the wafer passes straight through the load lock, and thus has been an important factor in determining the overall width of the wafer processing apparatus. The problem of not using efficiently has been highlighted.

따라서, 최근에는 로드락이 장비 전체의 생산성을 저하시키는 요인으로 부각되는 상기 문제점을 개선하고, 생산성을 향상시키기 위한 다양한 방법들이 연구되고 있다.Therefore, in recent years, various methods for improving the productivity and improving the above-mentioned problem that the load lock as a factor that lowers the productivity of the entire equipment has been studied.

그 방법의 일례로, 로드락 1 바디(body)에 상,하 독립된 영역을 만들어 독립된 처리 기능을 갖추는 방법이 제시되고 있다. 그러나, 상기 종래의 방법은 웨이퍼 또는 유리 기판 처리에 있어서 기능이 구분된 독립된 영역만 제공했을 뿐, 1회 이송 또는 반송 작업 시 마다 각각 한매씩의 웨이퍼 또는 유리 기판을 이송 또는 반송 처리 할 수 밖 에 없는 기술에 불과하다. 따라서 최근 기술에 부합하는 탁월한 생산성을 갖는 로드락 챔버를 기대하기는 어려운 상황이다.As an example of the method, a method of providing an independent processing function by creating an independent upper and lower regions in the load lock 1 body has been proposed. However, the conventional method only provides independent areas having separate functions in processing wafers or glass substrates, and only one wafer or glass substrate can be transferred or conveyed for each transfer or transfer operation. It is nothing but technology. Therefore, it is difficult to expect a load lock chamber with excellent productivity that meets the latest technology.

본 발명이 해결하고자 하는 기술적 과제는, 최소 시간에 최대한 많은 수의 웨이퍼 또는 유리 기판을 이송할 수 있는 구조를 갖는 로드락 챔버를 제공하는데 있다. SUMMARY OF THE INVENTION The present invention has been made in an effort to provide a load lock chamber having a structure capable of transferring a large number of wafers or glass substrates in a minimum time.

상기 기술적 과제를 이루기 위한 본 발명에 따른 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버는, 서로 고립된 영역을 갖도록 하는 결합부를 사이에 두고 상하로 적층된 하부 챔버바디 및 상부 챔버바디; 상기 하부 챔버바디의 좌우에 각각 고립되어 형성되며, 한 장의 웨이퍼를 각각 로딩/언로딩 하는 리프트 핀을 구비한 제1 하부독립처리영역 및 제2 하부독립처리영역; 및 상기 상부 챔버바디의 좌우에 각각 고립되어 형성되며, 웨이퍼를 로딩/언로딩 하는 복수 개의 슬롯을 각각 구비한 제3 상부독립처리영역 및 제4 상부독립처리영역을 포함한 구조를 제공한다. According to an aspect of the present invention, there is provided a dual-loading load lock chamber having up, down, left, and right independent processing regions, including: a lower chamber body and an upper chamber body stacked up and down with coupling parts interposed therebetween to have isolated regions; A first lower independent processing region and a second lower independent processing region, each of which is formed on the left and right sides of the lower chamber body and has lift pins for loading and unloading a single wafer, respectively; And a third upper independent treatment region and a fourth upper independent treatment region which are formed separately from the left and right sides of the upper chamber body and each have a plurality of slots for loading / unloading a wafer.

본 발명은 1회 이송 또는 반송 작업 시 마다 최소 2장의 웨이퍼를 각각 이송 또는 반송 처리 할 수 있어서 결국 생산성 향상에 크게 기여하는 장점이 있다. According to the present invention, at least two wafers may be transferred or conveyed at each time of a single transfer or transfer operation, thereby contributing to productivity.

이하에서는 본 발명의 구체적인 실시 예를 도면을 참조하여 상세히 설명하도록 한다. Hereinafter, specific embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명에 의한 로드락 챔버를 포함하는 기판처리 장치의 개략적인 구성을 도시한 것이다.1 illustrates a schematic configuration of a substrate processing apparatus including a load lock chamber according to the present invention.

도1을 참조하면, 기판처리장치(100)는 복수의 웨이퍼 박스(110a, 110b, 110c), 대기 반송 로봇(120), 로드락 챔버(130), 진공 반송 로봇(140), 복수의 공정 챔버(150a, 150b,150c)를 구비한다.Referring to FIG. 1, the substrate processing apparatus 100 includes a plurality of wafer boxes 110a, 110b, and 110c, an atmospheric transfer robot 120, a load lock chamber 130, a vacuum transfer robot 140, and a plurality of process chambers. 150a, 150b, and 150c are provided.

상기 기판처리장치(100)에 의해 통상적으로 웨이퍼를 처리하는 순서는 웨이퍼 박스(110a, 110b, 110c), 대기 반송로봇(120), 로드락 챔버(130), 진공 반송 로봇(140), 공정챔버(150a, 150b, 150c) 순으로 차례로 진행되며, 처리된 웨이퍼는 상기 역순으로 진행 된다.The wafer processing procedure is typically performed by the substrate processing apparatus 100 in the wafer boxes 110a, 110b, and 110c, the atmospheric transfer robot 120, the load lock chamber 130, the vacuum transfer robot 140, and the process chamber. (150a, 150b, 150c) in order, and the processed wafer proceeds in the reverse order.

도 2는 본 발명에 의한 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버의 구성을 도시한 것이다.Figure 2 shows the configuration of a double loading implementation load lock chamber having an independent processing area up, down, left and right according to the present invention.

도 2를 참조하면, 본 발명에 의한 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버는 서로 고립된 영역을 갖도록 결합부(250)를 사이에 두고 상하로 적층된 하부 챔버바디(200) 및 상부 챔버바디(300)로 구성되어 있다.Referring to FIG. 2, the dual-loading load lock chamber having up, down, left, and right independent processing areas according to the present invention includes a lower chamber body 200 stacked up and down with coupling parts 250 interposed therebetween to have isolated areas. The upper chamber body 300 is configured.

상기 하부 챔버바디(200)는 상기 하부 챔버바디(200)의 좌우에 각각 고립되어 형성된 제1 하부독립처리영역(210a) 및 제2 하부독립처리영역(210b)을 구비한다.The lower chamber body 200 includes a first lower independent processing region 210a and a second lower independent processing region 210b which are formed on the left and right sides of the lower chamber body 200, respectively.

상기 제1 하부독립처리영역(210a)은 모터 또는 에어실린더에 의해 상하 방향으로 구동시키는 리프트 핀(270a)을 구비하며, 상기 리프트 핀(270a)에 의해 1장의 웨이퍼를 로딩/언로딩 가능케 한다. 마찬가지로 상기 제2 하부독립처리영역(210b)은 상기 제1 하부독립처리영역(210a)과 동일한 구성을 갖추어 동일한 동작을 구현한다.The first lower independent processing area 210a includes a lift pin 270a which is driven in a vertical direction by a motor or an air cylinder, and enables the loading / unloading of one wafer by the lift pin 270a. Similarly, the second lower independent processing area 210b has the same configuration as the first lower independent processing area 210a to implement the same operation.

상기 하부 챔버바디(200)의 제1 하부독립처리영역(210a) 및 제2 하부독립처리영역(210b)은 상기 제1 하부독립처리영역(210a)과 제2 하부독립처리영역(210b) 앞 뒤로 웨이퍼 이송 시 출입을 관장하는 각각의 개구부(도면 미도시)를 구비하며, 상기 각각의 개구부는 각각의 개폐밸브(도면 미도시)에 의해 개폐동작이 제어된다.The first lower independent processing area 210a and the second lower independent processing area 210b of the lower chamber body 200 are located in front and rear of the first lower independent processing area 210a and the second lower independent processing area 210b. Each opening is provided with openings (not shown) for controlling entry and exit, and the opening and closing operations of the openings are controlled by respective opening and closing valves (not shown).

상기 하부 챔버바디(200)의 제1 하부독립처리영역(210a) 및 제2 하부독립처리영역(210b) 각각은 플레이트 히터(240a, 240b)를 구비한다.Each of the first lower independent treatment region 210a and the second lower independent treatment region 210b of the lower chamber body 200 includes plate heaters 240a and 240b.

상기 각각의 플레이트 히터(240a, 240b)는 상기 웨이퍼(220a, 220b)의 하부에 설치되어 있으며, 상기 리프트 핀(270a, 270b)이 상기 플레이트 히터(240a, 240b)에 관통되어 지지되도록 블록형태를 갖는다. 상기 플레이트 히터(240a, 240b)는 최대 섭씨 600도 까지 승온하여 상기 웨이퍼(220a, 220b)를 가열할 수 있는 구성을 갖는다. 상기 플레이트 히터(240a, 240b)는 고정식 또는 상하로 이동 가능한 이동식 모두 가능하다.Each of the plate heaters 240a and 240b is disposed under the wafers 220a and 220b and has a block shape so that the lift pins 270a and 270b are supported by the plate heaters 240a and 240b. Have The plate heaters 240a and 240b have a configuration capable of heating the wafers 220a and 220b by raising the temperature to a maximum of 600 degrees Celsius. The plate heaters 240a and 240b may be fixed or movable up and down.

상기 하부 챔버바디(200)는 또한 냉각 플레이트(도면 미도시)를 구비하며, 상기 냉각 플레이트는 물 또는 냉각용 유체에 의해 냉각된다.The lower chamber body 200 also has a cooling plate (not shown), which is cooled by water or a cooling fluid.

상기 하부 챔버바디(200)를 구성하는 제1 하부독립처리영역(210a)과 제2 하부독립처리영역(210b)은 상기 제1 하부독립처리영역(210a)과 제2 하부독립처리영역(210b) 사이에 형성된 단일의 진공펌프(도면 미도시)와 단일의 기체유입라인(도면 미도시)을 공유한다. 상기 진공펌프는 상기 하부 챔버바디(200) 일측단에 설치된 펌핑라인(그림 미도시)에서 펌핑(Pumping) 작업을 수행하고, 상기 단일의 기체유입라인은 헬륨(He), 아르곤(Ar) 등의 불활성 기체를 이용해 벤팅(Venting) 작업을 수행한다.The first lower independent processing region 210a and the second lower independent processing region 210b constituting the lower chamber body 200 are the first lower independent processing region 210a and the second lower independent processing region 210b. It shares a single vacuum pump (not shown) and a single gas inlet line (not shown) formed therebetween. The vacuum pump performs a pumping operation in a pumping line (not shown) installed at one end of the lower chamber body 200, and the single gas inlet line is helium (He), argon (Ar), or the like. Venting is performed with an inert gas.

상기 상부 챔버바디(300)는 상기 상부 챔버바디(300)의 좌우에 각각 고립되어 형성된 제3 상부독립처리영역(310a) 및 제4 상부독립처리영역(310b)을 구비한다.The upper chamber body 300 includes a third upper independent processing region 310a and a fourth upper independent processing region 310b which are formed to be isolated on left and right sides of the upper chamber body 300, respectively.

상기 제3 상부독립처리영역(310a)은 복수의 각각의 웨이퍼를 각각의 슬롯(330a)에 1장씩 로딩/언로딩 하는 복수 개의 슬롯을 구비한다. 마찬가지로, 상기 제4 상부독립처리영역(310b)은 상기 제3 상부독립처리영역(310a)과 동일한 구성을 갖추어 동일한 동작을 구현한다. 상기 도 2에서는 2개의 슬롯을 도시했지만, 이에 한정되지 아니하고 2개 이상의 슬롯을 구비할 수 있음은 당연하다.The third upper independent processing area 310a includes a plurality of slots for loading / unloading each of a plurality of wafers into each slot 330a. Similarly, the fourth upper independent processing area 310b has the same configuration as the third upper independent processing area 310a to implement the same operation. In FIG. 2, two slots are illustrated, but the present invention is not limited thereto, and two or more slots may be provided.

상기 상부 챔버바디(300)를 구성하는 제3 상부독립처리영역(310a)과 제4 상부독립처리영역(310b)은 상기 제3 상부독립처리영역(310a)과 제4 상부독립처리영역(310b) 앞 뒤로 웨이퍼 이송 시 출입을 관장하는 각각의 개구부(도면 미도시)를 구비하며, 상기 각각의 개구부는 각각의 개폐밸브(도면 미도시)에 의해 개폐동작이 제어된다.The third upper independent processing region 310a and the fourth upper independent processing region 310b constituting the upper chamber body 300 are the third upper independent processing region 310a and the fourth upper independent processing region 310b. It is provided with respective openings (not shown) that control the entry and exit of the wafer transfer back and forth, each opening and closing operation is controlled by a respective opening and closing valve (not shown).

상기 상부 챔버바디(300)를 구성하는 제3 상부독립처리영역(310a)과 제4 상부독립처리영역(310b)은 상기 제3 상부독립처리영역(310a)과 제4 상부독립처리영역(310b) 사이에 형성된 단일의 진공펌프(도면 미도시)와 단일의 기체유입라인(도면 미도시)을 공유한다. 상기 진공펌프는 상기 상부 챔버바디(300) 일측단에 설치된 펌핑라인(그림 미도시)에서 펌핑(Pumping) 작업을 수행하고, 상기 단일의 기체유입라인은 헬륨(He), 아르곤(Ar) 등의 불활성 기체를 이용해 벤팅(Venting) 작업을 수행한다.The third upper independent processing region 310a and the fourth upper independent processing region 310b constituting the upper chamber body 300 are the third upper independent processing region 310a and the fourth upper independent processing region 310b. It shares a single vacuum pump (not shown) and a single gas inlet line (not shown) formed therebetween. The vacuum pump performs a pumping operation in a pumping line (not shown) installed at one end of the upper chamber body 300, and the single gas inlet line is helium (He), argon (Ar), or the like. Venting is performed with an inert gas.

상기 결합부(250)는 상기 하부 챔버바디(200)와 상기 상부 챔버바디(300) 사이에 위치하여 상기 2개의 챔버바디(200,300)를 체결한다. 또한, 상기 결합부(250)는 외부 공기(air)층에 의해 형성된 일정한 간격(gap)을 가지며, 상기 간격은 3mm 내지 50mm 정도가 적합하다. 상기 결합부(250)는 상기 하부 챔버바디(200)에서 발생하는 열이 상기 상부 챔버바디(300)로 전도되는 것을 최대한 저지시키는 절연층 역할을 한다. The coupling part 250 is positioned between the lower chamber body 200 and the upper chamber body 300 to fasten the two chamber bodies 200 and 300. In addition, the coupling part 250 has a predetermined gap formed by an external air layer, and the interval is suitably about 3 mm to 50 mm. The coupling part 250 serves as an insulating layer that prevents heat generated from the lower chamber body 200 from being conducted to the upper chamber body 300.

이하, 본 발명의 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버에 의한 웨이퍼 이송 또는 반송 동작을 도1과 도2를 연계해서 상세히 설명한다.Hereinafter, a wafer transfer or transfer operation by a dual stack implementation load lock chamber having up, down, left, and right independent processing regions of the present invention will be described in detail with reference to FIGS.

통상 웨이퍼를 처리하는 순서는 웨이퍼 박스(110a, 110b, 110c),대기 반송로봇(120),로드락 챔버(140),진공 반송 로봇(140), 공정챔버(150a, 150b, 150c) 순으로 차례로 진행하여 웨이퍼를 이송하고, 처리된 웨이퍼는 상기 역순으로 진행하여 상기 웨이퍼 박스(110a, 110b, 110c)로 반송된다.In general, the wafers are processed in the order of the wafer boxes 110a, 110b, and 110c, the atmospheric transfer robot 120, the load lock chamber 140, the vacuum transfer robot 140, and the process chambers 150a, 150b, and 150c. The wafer is processed to transfer the wafer, and the processed wafer is transferred in the reverse order to the wafer boxes 110a, 110b, and 110c.

본 발명은 로드락 챔버에서 구현되는 웨이퍼 처리 동작에 특징이 있으므로, 상기 통상의 웨이퍼 이송 또는 반송 단계 중 대기 반송로봇(120), 로드락 챔버(140), 진공 반송 로봇(140) 사이에서 구현되는 동작을 설명한다.The present invention is characterized in that the wafer processing operation is implemented in the load lock chamber, it is implemented between the atmospheric transfer robot 120, the load lock chamber 140, the vacuum transfer robot 140 during the normal wafer transfer or transfer step Describe the operation.

상기 대기 반송 로봇(120)은 웨이퍼 박스(110a, 110b, 110c)에서 2장의 웨이퍼를 꺼낸 후 상기 웨이퍼를 하부 챔버바디(200)의 제1 하부독립처리영역(210a) 및 제2 하부독립처리영역(210b)의 각각의 리프트 핀(220a, 220b)에 로딩 시킨다. 상기 진공 반송 로봇(140)은 상기 로딩 된 2장의 웨이퍼를 집어들은 후 공정챔버(150a, 150b, 150c)로 이송 시킨다. 상기 웨이퍼 박스(110a, 110b, 110c)에서 상기 공정 챔버(150a, 150b, 150c)로 웨이퍼 이송 작업은 연속적으로 진행 된다. 또한 작업처리순서 및 작업처리 시간은 미리 저장된 레서피(recipe)에 의해 자동 제어 된다.The atmospheric transfer robot 120 removes two wafers from the wafer boxes 110a, 110b, and 110c, and then moves the wafers to the first lower independent processing area 210a and the second lower independent processing area of the lower chamber body 200. Each of the lift pins 220a and 220b of the 210b is loaded. The vacuum transfer robot 140 picks up the loaded two wafers and transfers them to the process chambers 150a, 150b, and 150c. The wafer transfer operation from the wafer boxes 110a, 110b and 110c to the process chambers 150a, 150b and 150c is continuously performed. In addition, the work order and work time are automatically controlled by pre-stored recipes.

상기 진공 반송 로봇(140)은 상기 공정 챔버((150a, 150b, 150c)에서 처리가 완료된 2장의 웨이퍼를 상부 챔버바디(300)의 제3 상부독립처리영역(310a) 및 제4 상부독립처리영역(320b)에 있는 각각의 슬롯(330a, 330b)에 로딩 시킨다. 대기 반송 로봇(120)은 상기 각각의 슬롯(330a, 330b)에 있는 2장의 웨이퍼를 집어들은 후 웨이퍼 박스(110a, 110b, 110c)로 반송 시킨다. 상기 공정 챔버(150a, 150b, 150c)에서 상기 웨이퍼 박스(110a, 110b, 110c)로 반송 처리하는 작업은 연속적으로 진행 된다. 또한 작업처리순서 및 작업처리 시간은 미리 저장된 레서피(recipe)에 의해 자동 제어된다.The vacuum transfer robot 140 may include two wafers in which the processing is completed in the process chambers 150a, 150b, and 150c, and the third upper independent processing area 310a and the fourth upper independent processing area of the upper chamber body 300. Each slot 330a, 330b in 320b is loaded in. The atmospheric transfer robot 120 picks up two wafers in each of the slots 330a, 330b and then wafer boxes 110a, 110b, 110c. The transfer processing from the process chambers 150a, 150b and 150c to the wafer boxes 110a, 110b and 110c is continuously performed. It is automatically controlled by recipe.

상기 웨이퍼 박스(110a, 110b, 110c)에서 상기 공정 챔버(150a, 150b, 150c) 로 웨이퍼 이송 작업과 상기 공정 챔버(150a, 150b, 150c)에서 상기 웨이퍼 박스(110a, 110b, 110c)로 웨이퍼 반송 작업 시, 하부 챔버바디(200)와 상부 챔버바디(300)는 각각 작업 처리 공간과 작업 처리 시간을 독립적으로 활용할 수 있다. 즉 처리하는 대기 반송 로봇(120)과 진공 반송 로봇(140)은 각각 별도로 상기 로드락 챔버(130)를 경유 하며, 작업 처리 시간을 달리 하며 연속적으로 웨이퍼 이송 또는 웨이퍼 반송 작업을 수행 할 수 있다. 따라서, 본 발명의 상하좌우 독립처리영역(Isolated processing area)을 갖는 이중적재 구현 로드락 챔버는 1회 이송 또는 반송 작업할 경우, 최소 2장의 웨이퍼를 각각 이송 또는 반송 처리 가능하므로, 생산성 향상에 매우 효율적인 구조를 제공한다.Wafer transfer operation from the wafer boxes 110a, 110b and 110c to the process chambers 150a, 150b and 150c and wafer transfer from the process chambers 150a, 150b and 150c to the wafer boxes 110a, 110b and 110c. In operation, the lower chamber body 200 and the upper chamber body 300 may utilize the work processing space and the work processing time independently. That is, the atmospheric transfer robot 120 and the vacuum transfer robot 140 to process are each via the load lock chamber 130 separately, and the wafer transfer operation or the wafer transfer operation may be continuously performed while varying the work processing time. Accordingly, the dual loading load lock chamber having the isolated processing areas of the present invention can transfer or transfer at least two wafers, respectively, in a single transfer or transfer operation, thereby greatly improving productivity. Provide an efficient structure.

상기 본 발명의 실시예는 상하 좌우로 구성된 4개의 독립처리영역을 갖는 로드락 챔버에 대해 구현했지만, 이에 한정되지 아니하고, 기술의 발전 또는 필요에 따라 6개, 8개 등으로 구성된 독립처리영역을 갖는 로드락 챔버가 되도록 다양하게 변형된 실시예를 구현할 수 있음은 당연하다.The embodiment of the present invention has been implemented for a load lock chamber having four independent processing regions configured up, down, left, and right, but is not limited to this. Naturally, various modifications may be made to be a load lock chamber having.

이상에서는 본 발명에 대한 기술사상을 첨부 도면과 함께 서술하였지만 이는 본 발명의 바람직한 실시 예를 예시적으로 설명한 것이지 본 발명을 한정하는 것은 아니다. 또한 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 이라면 누구나 본 발명의 기술적 사상의 범주를 이탈하지 않는 범위 내에서 다양한 변형 및 모방이 가능함은 명백한 사실이다. In the above description, the technical idea of the present invention has been described with the accompanying drawings, which illustrate exemplary embodiments of the present invention by way of example and do not limit the present invention. In addition, it is apparent that any person having ordinary knowledge in the technical field to which the present invention belongs may make various modifications and imitations without departing from the scope of the technical idea of the present invention.

도 1은 본 발명에 의한 로드락 챔버를 포함하는 기판처리 장치의 개략적인 구성을 도시한 것이다.1 illustrates a schematic configuration of a substrate processing apparatus including a load lock chamber according to the present invention.

도2는 본 발명에 의한 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버의 구성을 도시한 것이다.Figure 2 shows the configuration of a double loading implementation load lock chamber having an independent processing area up, down, left and right according to the present invention.

Claims (13)

서로 고립된 영역을 갖도록 하는 결합부를 사이에 두고 상하로 적층된 하부 챔버바디 및 상부 챔버바디; A lower chamber body and an upper chamber body stacked up and down with coupling portions interposed therebetween to have regions isolated from each other; 상기 하부 챔버바디의 좌우에 각각 고립되어 형성되며, 한 장의 웨이퍼를 각각 로딩/언로딩 하는 리프트 핀을 구비한 제1 하부독립처리영역 및 제2 하부독립처리영역; 및 A first lower independent processing region and a second lower independent processing region, each of which is formed on the left and right sides of the lower chamber body and has lift pins for loading and unloading a single wafer, respectively; And 상기 상부 챔버바디의 좌우에 각각 고립되어 형성되며, 웨이퍼를 로딩/언로딩 하는 복수 개의 슬롯을 각각 구비한 제3 상부독립처리영역 및 제4 상부독립처리영역을 구비하는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.Independently formed on the left and right sides of the upper chamber body, each having a third upper independent processing region and a fourth upper independent processing region each having a plurality of slots for loading / unloading wafers. A dual loading load lock chamber with a processing area. 제1항에 있어서, 상기 결합부는The method of claim 1, wherein the coupling portion 상기 하부 챔버바디와 상기 상부 챔버바디 사이에서 일정한 간격을 갖도록 형성된 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.The dual load implementation chamber having the upper, lower, left and right independent processing region, characterized in that it has a predetermined interval between the lower chamber body and the upper chamber body. 제2항에 있어서, 상기 결합부는The method of claim 2, wherein the coupling portion 공기층에 의해 형성되는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.Double loading implementation load lock chamber having the upper, lower, left and right independent processing area, characterized in that formed by the air layer. 제2항에 있어서, 상기 결합부는The method of claim 2, wherein the coupling portion 3mm 내지 50 mm의 간격을 갖는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.Double loading implementation load lock chamber having the upper, lower, left and right independent processing area, characterized in that the spacing of 3mm to 50mm. 제1항에 있어서, 상기 제1 하부독립처리영역 및 제2 하부독립처리영역은The method of claim 1, wherein the first lower independent processing area and the second lower independent processing area are 상기 웨이퍼의 하부에 설치 된 각각의 플레이트 히터를 구비하는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.Double loading implementation load lock chamber having the upper, lower, left and right independent processing area, characterized in that each plate heater provided on the lower portion of the wafer. 제5항에 있어서, 상기 플레이트 히터는The method of claim 5, wherein the plate heater 섭씨 600도 까지 승온 하여 상기 웨이퍼를 가열하는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.The dual loading load lock chamber having upper, lower, left, and right independent processing regions, wherein the wafer is heated to a temperature of 600 degrees Celsius. 제1항에 있어서, 상기 하부 챔버바디는The method of claim 1, wherein the lower chamber body is 물 또는 냉각용 유체로 냉각되는 냉각 플레이트를 구비하는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.A dual loading load lock chamber having independent processing zones for up, down, left and right, comprising a cooling plate cooled by water or a cooling fluid. 제1항에 있어서, 상기 리프트 핀은The method of claim 1, wherein the lift pin 모터 또는 에어 실린더에 의해 작동되는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.Double loading implementation load lock chamber having an independent processing area up, down, left and right, characterized in that it is operated by a motor or an air cylinder. 제1항에 있어서, 상기 제3 상부독립처리영역 및 제4 상부독립처리영역은The method of claim 1, wherein the third upper independent processing area and the fourth upper independent processing area are 각각 2개 내지 5개의 슬롯을 구비하는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.Double loading implementation load lock chamber having the upper, lower, left and right independent processing area, characterized in that each having two to five slots. 제1항에 있어서, 상기 제1 하부독립처리영역 및 제2 하부독립처리영역은The method of claim 1, wherein the first lower independent processing area and the second lower independent processing area are 상기 제1 하부독립처리영역과 제2 하부독립처리영역 사이에 설치된 단일의 진공펌프와 단일의 기체유입라인를 공유하며, 상기 진공펌프는 펌핑(Pumping) 작업을 수행하고, 상기 단일의 기체유입라인은 벤팅(Venting) 작업을 수행하는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.A single vacuum pump and a single gas inlet line are disposed between the first lower independent treatment area and the second lower independent treatment area, and the vacuum pump performs a pumping operation, and the single gas inlet line is A dual loading load lock chamber having up, down, left and right independent processing areas characterized in that the venting (Venting). 제1항에 있어서, 상기 제3 상부독립처리영역 및 제4 상부독립처리영역은The method of claim 1, wherein the third upper independent processing area and the fourth upper independent processing area are 상기 제3 상부독립처리영역과 제4 상부독립처리영역 사이에 설치된 단일의 진공펌프와 단일의 기체유입라인를 공유하며, 상기 진공펌프는 펌핑(Pumping) 작업을 수행하고, 상기 단일의 기체유입라인은 벤팅(Venting) 작업을 수행하는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.A single vacuum pump and a single gas inlet line are disposed between the third upper independent treatment area and the fourth upper independent treatment area, and the vacuum pump performs a pumping operation, and the single gas inlet line is A dual loading load lock chamber having up, down, left and right independent processing areas characterized in that the venting (Venting). 제1항에 있어서, 상기 제1 하부독립처리영역 및 제2 하부독립처리영역은The method of claim 1, wherein the first lower independent processing area and the second lower independent processing area are 상기 제1 하부독립처리영역과 제2 하부독립처리영역 앞 뒤로 각각 설치된 개구부의 개폐밸브에 의해 웨이퍼의 출입이 제어되는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.The dual loading load lock chamber having upper, lower, left, and right independent processing areas, characterized in that the entrance and exit of the wafer is controlled by the opening and closing valves of the openings respectively installed in front of and behind the first lower independent processing area and the second lower independent processing area. 제1항에 있어서, 상기 제3 상부독립처리영역 및 제4 상부독립처리영역은The method of claim 1, wherein the third upper independent processing area and the fourth upper independent processing area are 상기 제3 상부독립처리영역과 제4 상부독립처리영역 앞 뒤 면에 각각 설치된 개구부의 개폐밸브에 의해 웨이퍼의 출입이 제어되는 것을 특징으로 하는 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버.The dual loading load lock chamber having upper, lower, left and right independent processing areas, characterized in that the entry and exit of the wafer is controlled by the opening and closing valves of the openings respectively provided on the front and rear surfaces of the third upper independent processing area and the fourth upper independent processing area.
KR1020070139793A 2007-12-28 2007-12-28 Loadlock chamber with high-low, right-left isolated processing areas for double loading/unloading KR100941934B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070139793A KR100941934B1 (en) 2007-12-28 2007-12-28 Loadlock chamber with high-low, right-left isolated processing areas for double loading/unloading

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070139793A KR100941934B1 (en) 2007-12-28 2007-12-28 Loadlock chamber with high-low, right-left isolated processing areas for double loading/unloading

Publications (2)

Publication Number Publication Date
KR20090071862A true KR20090071862A (en) 2009-07-02
KR100941934B1 KR100941934B1 (en) 2010-02-11

Family

ID=41329148

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070139793A KR100941934B1 (en) 2007-12-28 2007-12-28 Loadlock chamber with high-low, right-left isolated processing areas for double loading/unloading

Country Status (1)

Country Link
KR (1) KR100941934B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105789091A (en) * 2016-03-16 2016-07-20 沈阳拓荆科技有限公司 Load chamber and a multi-chamber processing system applying the load chamber
KR20190120423A (en) * 2017-03-17 2019-10-23 어플라이드 머티어리얼스, 인코포레이티드 Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in load locks

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101173572B1 (en) * 2006-01-19 2012-08-13 주성엔지니어링(주) Loadlock chamber having buffer chamber
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105789091A (en) * 2016-03-16 2016-07-20 沈阳拓荆科技有限公司 Load chamber and a multi-chamber processing system applying the load chamber
KR20190120423A (en) * 2017-03-17 2019-10-23 어플라이드 머티어리얼스, 인코포레이티드 Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in load locks

Also Published As

Publication number Publication date
KR100941934B1 (en) 2010-02-11

Similar Documents

Publication Publication Date Title
CN105826226B (en) Batch heating and cooling chamber or load lock
US11205585B2 (en) Substrate processing apparatus and method of operating the same
TWI485798B (en) Substrate processing apparatus
US8246284B2 (en) Stacked load-lock apparatus and method for high throughput solar cell manufacturing
TWI713471B (en) A buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US11776828B2 (en) Vacuum processing device
JPH10107126A (en) Cooling chamber and method for operating cooling chamber
KR101019901B1 (en) Substrate processing apparatus
JP2006273563A (en) Load lock device, processing system, and processing method
KR20100033391A (en) Substrate processing apparatus, substrate processing method and recording medium
JP4634918B2 (en) Vacuum processing equipment
JP7450087B2 (en) Temperature control system and substrate processing system
KR100941934B1 (en) Loadlock chamber with high-low, right-left isolated processing areas for double loading/unloading
KR20090002933A (en) Apparatus for processing a substrate having an air conditioning system
JP2016225625A (en) Substrate treatment apparatus and method
TW201729328A (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
KR20090002709A (en) Wafer processing device
KR100566697B1 (en) Multi-chamber system for fabricating semiconductor devices and method of fabricating semiconductor devices using thereof
KR102241600B1 (en) Loadlock chamber and system for treating substrate with the loadlock chamber
US12009240B2 (en) Apparatus for transporting substrate, system for processing substrate, and method of transporting substrate
US20220319889A1 (en) Apparatus for transporting substrate, system for processing substrate, and method of transporting substrate
KR100331852B1 (en) rapid heat treatment system in fabrication of semiconductor
TW202410350A (en) Temperature control system and substrate processing system
KR20220159003A (en) Heating unit and substrate treating apparatus including the same
KR20210070556A (en) Apparatus for treating a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130114

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131206

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151209

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161205

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20171204

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20181211

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20191210

Year of fee payment: 11