KR20090002709A - Wafer processing device - Google Patents

Wafer processing device Download PDF

Info

Publication number
KR20090002709A
KR20090002709A KR1020070066872A KR20070066872A KR20090002709A KR 20090002709 A KR20090002709 A KR 20090002709A KR 1020070066872 A KR1020070066872 A KR 1020070066872A KR 20070066872 A KR20070066872 A KR 20070066872A KR 20090002709 A KR20090002709 A KR 20090002709A
Authority
KR
South Korea
Prior art keywords
wafer
module
load lock
lock module
transfer
Prior art date
Application number
KR1020070066872A
Other languages
Korean (ko)
Inventor
백춘금
박영훈
Original Assignee
주식회사 아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 아이피에스 filed Critical 주식회사 아이피에스
Priority to KR1020070066872A priority Critical patent/KR20090002709A/en
Publication of KR20090002709A publication Critical patent/KR20090002709A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A wafer processing apparatus is provided to efficiently treat the wafer corresponding to the processing of being made of two processing levels performed on the wafer. A wafer processing apparatus(1000) comprises a transmission module(10), process modules(20,21,22) and load-lock modules(30, 40). The transmission module has the even number of lateral sides. The transmission module includes the robot for transferring a wafer. The process module is connected to the transmission module by the robot in order to input and output the wafer. The pair of process modules is arranged at the lateral sides of the transmission module in order to consecutively process the wafer. The load-lock module can receive the wafers.

Description

웨이퍼 처리장치{Wafer processing device}Wafer processing device

본 발명은 웨이퍼 처리장치에 관한 것으로서, 보다 상세하게는 웨이퍼에 대한 일련의 처리공정들이 수행되는 웨이퍼 처리장치에 관한 것이다.The present invention relates to a wafer processing apparatus, and more particularly, to a wafer processing apparatus in which a series of processing processes for a wafer are performed.

일반적으로 웨이퍼 처리장치라 함은, 반도체 제조공정에서 요구되는 일련의 처리공정을 수행하는 복수 개의 모듈을 일체화시킨 장치를 말한다. 이러한 웨이퍼 처리장치를 사용하게 되면 복수 개의 모듈이 일체화되어 있는바 웨이퍼를 처리하는 시간이 절감될 뿐만 아니라, 웨이퍼 처리장치가 생산라인 내에서 차지하는 면적이 줄어드는 효과를 얻을 수 있다. In general, the wafer processing apparatus refers to an apparatus integrating a plurality of modules for performing a series of processing steps required in a semiconductor manufacturing process. When the wafer processing apparatus is used, a plurality of modules are integrated, thereby reducing the processing time of the wafer and reducing the area occupied by the wafer processing apparatus in the production line.

또한, 웨이퍼 처리장치의 복수 개의 모듈 중에는 웨이퍼 상에 박막을 증착하는 등의 처리공정을 수행하는 프로세스모듈이 하나 이상 포함되어 있으며, 웨이퍼는 이 프로세스모듈들을 연속적으로 통과하면서 처리된다. In addition, the plurality of modules of the wafer processing apparatus includes one or more process modules for performing a process such as depositing a thin film on the wafer, the wafer is processed while passing through the process modules continuously.

이러한 웨이퍼 처리장치의 일례가 도 1에 도시되어 있다. 도 1을 참조하면, 종래의 웨이퍼 처리장치(9)는 처리공정 전후의 웨이퍼(W)가 대기하는 대기모듈(1)과, 웨이퍼(W)를 이송하기 위한 웨이퍼 이송로봇(2a)이 배치된 전달모듈(2)과, 웨이퍼(W)에 대한 처리공정이 이루어지는 프로세스모듈(3,4,5)과, 대기모듈(1)과 전 달모듈(2) 사이에 배치되며, 처리공정 전후의 웨이퍼(W)가 각각 수납되는 로드락모듈(6)로 이루어진다. An example of such a wafer processing apparatus is shown in FIG. Referring to FIG. 1, the conventional wafer processing apparatus 9 includes a standby module 1 on which wafers W wait before and after a processing process, and a wafer transfer robot 2a for transferring wafers W. FIG. The transfer module 2, the process modules 3, 4, and 5 in which the processing process for the wafer W is performed, and the standby module 1 and the transfer module 2, are arranged between the wafers before and after the processing process. It consists of a load lock module (6) (W) is accommodated respectively.

프로세스모듈(3,4,5)은 전달모듈(2) 주변에 3개가 배치되며, 각 프로세스모듈(3,4,5)은 게이트밸브(2b)를 통하여 전달모듈(2)과 연결되어 전달모듈(2)과 웨이퍼(W)를 주고 받을 수 있도록 되어 있다. 각 프로세스모듈(3,4,5)의 내부에는 반응실(3a,4a,5a)이 형성되며, 반응실에는 각 처리공정에 적합하도록 웨이퍼가 안착되는 기판지지부(미도시)와, 웨이퍼에 공정가스를 분사하는 샤워헤드(미도시)가 설치된다. 또한, 각 프로세스모듈(3,4,5)에는 반응실(3a,4a,5a) 내의 가스를 외부로 배출하기 위한 펌프(미도시) 등이 설치되어 있다. Three process modules (3, 4, 5) are arranged around the transfer module (2), each process module (3, 4, 5) is connected to the transfer module (2) through the gate valve (2b) The wafer (W) can be exchanged with (2). Reaction chambers 3a, 4a, and 5a are formed in each of the process modules 3, 4, and 5, and a substrate support (not shown) in which the wafer is seated to be suitable for each processing process, and a process A shower head (not shown) for injecting gas is installed. In addition, each process module 3, 4, 5 is provided with a pump (not shown) for discharging the gas in the reaction chambers 3a, 4a, 5a to the outside.

로드락모듈(6)은 웨이퍼(W)를 수납할 수 있는 카세트(6a)를 포함한다. 카세트(6a)의 내부는 분리되어 있지 않고, 단일한 공간이 일체로 형성되어 있다. 카세트(6a) 내부에는 처리공정 전 및 처리공정 후의 웨이퍼(W)가 모두 수납된다. 그리고 로드락모듈(6)은 게이트밸브(2b)를 통하여 전달모듈(2)과 연결되어 있다. 로드락모듈(6)에 수납된 처리공전 전의 웨이퍼(W)는 웨이퍼 이송로봇(2a)에 의해 프로세스모듈(3)로 로딩되며, 처리공정 후의 웨이퍼(W)는 웨이퍼 이송로봇(2a)에 의해 프로세스모듈(5)로부터 언로딩 되어 로드락모듈(6)에 수납되게 된다. 또한, 로드락모듈(6)에는 처리공정 전의 웨이퍼(W)를 가열하기 위한 히터(6b) 및 처리공정 후의 웨이퍼(W)를 냉각하기 위한 쿨러(6c)가 설치되어 있다. 히터(6b)는 카세트(6a)의 측면 중 처리공정 전의 웨이퍼(W)가 수납된 쪽에 설치되어 처리공정 전의 웨이퍼(W)를 가열한다. 쿨러(6c)는 처리공정 후의 웨이퍼가 수납된 쪽에 설치되어 처 리공정 후의 웨이퍼(W)를 냉각한다.The load lock module 6 includes a cassette 6a capable of accommodating the wafer W. As shown in FIG. The inside of the cassette 6a is not separated, but a single space is formed integrally. In the cassette 6a, both the wafer W before the processing step and after the processing step is accommodated. The load lock module 6 is connected to the transfer module 2 through the gate valve 2b. The wafer W before the processing operation, accommodated in the load lock module 6, is loaded into the process module 3 by the wafer transfer robot 2a, and the wafer W after the process is processed by the wafer transfer robot 2a. Unloaded from the process module 5 is to be stored in the load lock module (6). Further, the load lock module 6 is provided with a heater 6b for heating the wafer W before the processing step and a cooler 6c for cooling the wafer W after the processing step. The heater 6b is provided on the side in which the wafer W before the processing process is accommodated among the side surfaces of the cassette 6a, and heats the wafer W before the processing process. The cooler 6c is installed on the side where the wafer after the processing step is stored to cool the wafer W after the processing step.

상술한 바와 같이 구성된 웨이퍼 처리장치(9)는 3개의 프로세스모듈(3,4,5)에서 각각 서로 다른 공정, 예컨대 각 프로세스모듈(3,4,5)에서 증착공정, 에칭공정, 노광공정 등을 연속적으로 수행하는 경우에는 적합하다. The wafer processing apparatus 9 configured as described above has three different processes in the three process modules 3, 4, and 5, for example, a deposition process, an etching process, an exposure process, and the like in each process module 3, 4, and 5, respectively. It is suitable for the case of performing continuously.

하지만, 이층 구조의 박막 증착 처리공정을 연속적으로 실시해야하는 경우, 예컨대 티타늄(Ti)과 티타늄 질화막(TiN)을 연속적으로 증착하는 처리공정에는 적합하지 않다. 즉, 티타늄(Ti)과 티타늄 질화막(TiN)을 연속적으로 증착하는 처리공정에서는, 먼저 웨이퍼(W)를 티타늄(Ti)을 증착시키는 프로세스모듈(3)로 이송시켜 웨이퍼(W) 상에 티타늄(Ti)을 증착시킨 후, 이 웨이퍼(W)를 티타늄 질화막(TiN)을 증착시키는 프로세스모듈(4)로 이송시켜 티타늄 질화막(TiN)을 증착한다. 따라서, 상술한 처리공정을 효율적으로 수행하기 위해서는 티타늄(Ti)을 증착하기 위한 프로세스모듈(3)과 티타늄 질화막(TiN)을 증착하기 위한 프로세스모듈(4)이 짝을 지어 구비되어야 하며, 이를 위하여 웨이퍼 처리장치(9) 내에는 프로세스모듈(3,4,5)이 짝수로 구비되어야 한다. 그런데, 상술한 바와 같이 종래의 웨이퍼 처리장치(9)에는 프로세스모듈(3,4,5)이 3개 구비되어 있으므로, 짝이 지어지지 않는 하나의 프로세스모듈(5)은 웨이퍼 처리공정 중에 이용되지 않게 되어 웨이퍼 처리장치(9)의 처리수율이 낮아지기 때문이다.However, when the thin film deposition process of the two-layer structure is to be performed continuously, it is not suitable for the process of continuously depositing titanium (Ti) and titanium nitride film (TiN), for example. That is, in the process of continuously depositing titanium (Ti) and titanium nitride film (TiN), the wafer (W) is first transferred to a process module (3) for depositing titanium (Ti), and the titanium (Ti) is deposited on the wafer (W). After depositing Ti, the wafer W is transferred to a process module 4 for depositing a titanium nitride film TiN to deposit a titanium nitride film TiN. Therefore, in order to efficiently perform the above-described treatment process, a process module 3 for depositing titanium and a process module 4 for depositing a titanium nitride film TiN must be provided in pairs. In the wafer processing apparatus 9, the process modules 3, 4, and 5 should be provided evenly. However, as described above, since the conventional wafer processing apparatus 9 includes three process modules 3, 4, and 5, one unmatched process module 5 is not used during the wafer processing process. This is because the processing yield of the wafer processing apparatus 9 is lowered.

한편, 상술한 웨이퍼 처리장치(9)에는 동일한 처리공정을 진행하기 위한 프로세스모듈(3,4,5)이 하나씩 구비되어 있으므로, 각 처리공정별로 하나의 웨이퍼(W)만을 처리할 수 있게 된다. 따라서 동일한 시간 내에 웨이퍼(W)의 처리 수율을 향상시키는데 일정한 한계가 존재하였다. On the other hand, the above-described wafer processing apparatus 9 is provided with one process module (3, 4, 5) for performing the same processing process, it is possible to process only one wafer (W) for each processing process. Therefore, there was a certain limit in improving the processing yield of the wafer W within the same time.

본 발명은 상술한 문제점을 해결하기 위하여 안출된 것으로, 본 발명의 목적은 동시에 두 개의 웨이퍼에 대한 처리공정을 수행할 수 있어 웨이퍼의 처리수율이 향상되며, 티타늄(Ti)/티타늄 질화막(TiN)과 같이 이층 구조의 박막 증착 처리공정을 효율적이며 연속적으로 진행할 수 있도록 구조가 개선된 웨이퍼 처리장치를 제공하는 것이다.The present invention has been made to solve the above-described problems, the object of the present invention is to perform the processing process for two wafers at the same time to improve the throughput of the wafer, titanium (Ti) / titanium nitride film (TiN) As described above, the present invention provides a wafer processing apparatus having an improved structure to efficiently and continuously perform a thin film deposition process of a two-layer structure.

상기 목적을 달성하기 위해, 본 발명에 따른 웨이퍼 처리장치는 웨이퍼를 이송하는 로봇을 구비하며, 복수의 측면을 가지도록 다각형으로 형성된 전달모듈과, 상기 로봇의 이송에 의하여 상기 웨이퍼를 반입 및 반출할 수 있도록 상기 전달모듈과 연결되며, 상기 웨이퍼에 대한 처리공정이 진행되는 프로세스모듈 및 상기 전달모듈과 연결되며, 상기 프로세스 모듈에서 처리공정이 행해지기 전 및 행해진 후의 웨이퍼가 각각 수납되는 로드락모듈을 포함하는 웨이퍼 처리장치에 있어서, 상기 웨이퍼에 대한 처리공정이 연속적으로 이루어지도록 상기 프로세스모듈은 상기 전달모듈의 짝수 개의 측면에 배치되되, 각 측면에는 상기 프로세스모듈이 한 쌍씩 배치되는 것을 특징으로 한다.In order to achieve the above object, a wafer processing apparatus according to the present invention includes a robot for transferring a wafer, a transfer module formed in a polygonal shape to have a plurality of side surfaces, and a carrying and unloading of the wafer by transfer of the robot. A load lock module connected to the transfer module to be connected to the transfer module and connected to the transfer module and a transfer module to receive the wafer before and after the process is performed in the process module, respectively. In the wafer processing apparatus comprising, the process module is disposed on the even side of the transfer module so that the processing process for the wafer is continuously, characterized in that the pair of the process module is disposed on each side.

본 발명에 따르면 상기 한 쌍의 프로세스모듈은 상기 전달모듈의 4개의 측면에 각각 배치되는 것이 바람직하다.According to the present invention, the pair of process modules are preferably arranged on four sides of the transfer module.

또한, 본 발명에 따르면 상기 전달모듈은 6각 형상으로 형성되며, 상기 로드 락모듈은 처리공정 전의 웨이퍼가 수납되는 제1로드락모듈과 처리공정 후의 웨이퍼가 수납되는 제2로드락모듈을 포함하여 이루어지며, 상기 제1로드락모듈과 상기 제2로드락모듈은 상호 이격되어 상기 전달모듈의 서로 다른 측면에 각각 연결되는 것이 바람직하다.In addition, according to the present invention, the transfer module is formed in a hexagonal shape, and the load lock module includes a first load lock module in which a wafer before a processing step is received and a second load lock module in which a wafer after a processing step is received. The first load lock module and the second load lock module are preferably spaced apart from each other and connected to different sides of the transfer module.

또한, 본 발명에 따르면 상기 제1로드락모듈 및 제2로드락모듈은 상하 방향으로 상호 이격되게 적층되는 복수의 플레이트를 가지는 카세트를 각각 구비하며, 상기 각 플레이트에는 상기 웨이퍼 한 쌍이 수납되도록 하는 한 쌍의 수납부가 형성되어 있는 것이 바람직하다.In addition, according to the present invention, each of the first load lock module and the second load lock module includes a cassette having a plurality of plates that are stacked to be spaced apart from each other in an up and down direction, wherein each pair of wafers is accommodated in each plate. It is preferable that a pair accommodating part is formed.

또한, 본 발명에 따르면 상기 제1로드락모듈 및 제2로드락모듈은, 상기 카세트를 승강 가능하게 하는 승강부재를 각각 더 구비하는 것이 바람직하다.In addition, according to the present invention, it is preferable that the first load lock module and the second load lock module further include lifting members for allowing the cassette to be lifted and lowered, respectively.

또한, 본 발명에 따르면 상기 제1로드락모듈은 상기 웨이퍼를 가열하기 위한 히터를 더 구비하며, 상기 제2로드락모듈은 상기 웨이퍼를 냉각하기 위한 쿨러를 더 구비하는 것이 바람직하다.According to the present invention, the first load lock module may further include a heater for heating the wafer, and the second load lock module may further include a cooler for cooling the wafer.

상기한 구성의 본 발명에 따르면, 동시에 한 쌍의 웨이퍼를 처리할 수 있으므로며 처리수율이 상승하게 된다. 그리고 연속 단계로 처리하는 박막 증착 공정시 처리공정을 효율적이며 연속적으로 수행할 수 있다. According to the present invention having the above-described configuration, since a pair of wafers can be processed at the same time, the processing yield is increased. And during the thin film deposition process to be processed in a continuous step can be efficiently and continuously performed.

또한, 웨이퍼를 예열 및 냉각하는데 소요되는 비용을 절감할 수 있으며 웨이퍼에 발생할 수 있는 열응력을 방지할 수 있다.In addition, it is possible to reduce the cost of preheating and cooling the wafer and to prevent thermal stress on the wafer.

본 발명에 따른 바람직한 실시예를 첨부된 도면을 참조하여 상세히 설명한다.Preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명의 일 실시예에 따른 웨이퍼 처리장치의 개략적인 평면도이며, 도 3은 도 2에 도시된 Ⅲ-Ⅲ선의 개략적인 단면도이다. FIG. 2 is a schematic plan view of a wafer processing apparatus according to an embodiment of the present invention, and FIG. 3 is a schematic cross-sectional view of the III-III line shown in FIG. 2.

도 2 및 도 3을 참조하면, 본 실시예의 웨이퍼 처리장치(1000)는 전달모듈(10)과, 프로세스모듈(20, 21, 22, 23)과, 로드락모듈(30, 40)과, 대기모듈(50)을 포함한다.2 and 3, the wafer processing apparatus 1000 according to the present embodiment includes a transfer module 10, a process module 20, 21, 22, 23, a load lock module 30, 40, and an atmosphere. Module 50.

전달모듈(10)은 웨이퍼(W)를 이송하기 위한 것으로서, 6각 형상으로 형성되며, 그 내부에는 전달공간(11)이 형성되어 있다. 또한, 전달모듈(10)의 각 측면(101~106)에는 게이트밸브(107)가 설치되며, 이 게이트밸브(107)의 개폐에 의해 전달모듈(10)의 전달공간(11)과 후술할 프로세스모듈(20,21,22,23) 및 로드락모듈(30,40)이 연결 또는 차단된다. 그리고, 전달모듈(10)에는 전달공간(11)을 진공상태로 유지시키는 진공펌프가 설치되어 있다.  The transfer module 10 is for transferring the wafer W, and is formed in a hexagonal shape, and a transfer space 11 is formed therein. In addition, the gate valve 107 is installed on each side 101 to 106 of the delivery module 10, and the transfer space 11 of the delivery module 10 and the process to be described later are opened and closed by the gate valve 107. Modules 20, 21, 22, 23 and load lock modules 30, 40 are connected or disconnected. In addition, the delivery module 10 is provided with a vacuum pump for maintaining the delivery space 11 in a vacuum state.

또한, 전달공간(11)에는 웨이퍼(W)를 이송하는 로봇(12)이 구비되며, 이 로봇(12)은 본체부(121)와, 링크부(122)와, 엔드이펙터(123)를 가진다. 본체부(121)는 제1유압펌프(미도시)와 연결되어 있어 전달공간(11) 내에서 승강 가능하다. 링크부(122)는 본체부(121)의 양측에 한 쌍 배치된다. 각 링크부(122)는 제1링크부재(122a)와 제2링크부재(122b)를 구비한다. 제1링크부재(122a)의 일단은 본체부(121)에 회동가능하게 결합되고, 타단은 제2링크부재(122b)의 일단에 회동가능하게 결합된다. 그리고 제1링크부재(122a)의 양 끝단에는 모터(미도시) 등의 구동원 이 연결되어 있으며, 모터의 구동시 제1링크부재(122a)와 제2링크부재(122b)의 상호 회전에 의해 링크부(122)가 신장 및 수축되며, 이에 따라 제2링크부재(122b)의 타단이 직선이동 된다. 또한, 한 쌍의 링크부(122) 각각은 제2유압펌프(미도시)와 연결되어 있어서 전달공간(11) 내에서 서로 독립적으로 승강 가능하다. 엔드이펙터(123)는 웨이퍼(W)의 이송시 웨이퍼(W)를 지지하는 부분으로서, 한 쌍의 제2링크부재(122)의 타단에 각각 결합된다. 그리고 한 쌍의 엔드이펙터(123)는 한 쌍의 링크부(122)의 회동 및 승강에 연동되어 승강, 회전 및 직선이동하며 한 쌍의 웨이퍼(W)를 이송한다.In addition, the transfer space 11 is provided with a robot 12 for transferring the wafer W, which has a main body portion 121, a link portion 122, and an end effector 123. . The main body 121 is connected to the first hydraulic pump (not shown), and can be lifted and lowered in the delivery space 11. The link part 122 is disposed on both sides of the main body part 121. Each link unit 122 includes a first link member 122a and a second link member 122b. One end of the first link member 122a is rotatably coupled to the body portion 121, and the other end is rotatably coupled to one end of the second link member 122b. A driving source such as a motor (not shown) is connected to both ends of the first link member 122a, and the link is caused by mutual rotation of the first link member 122a and the second link member 122b when the motor is driven. The portion 122 is extended and contracted so that the other end of the second link member 122b is linearly moved. In addition, each of the pair of link units 122 is connected to the second hydraulic pump (not shown), so that the lifting space 11 can be independently lifted up from each other. The end effector 123 is a portion that supports the wafer W during the transfer of the wafer W, and is coupled to the other ends of the pair of second link members 122, respectively. In addition, the pair of end effectors 123 moves in conjunction with the rotation and lifting of the pair of link units 122 to lift, rotate and linearly move the pair of wafers W.

프로세스모듈(20,21,22,23)은 웨이퍼(W)에 대한 처리공정을 행하기 위한 것으로서, 전달모듈(10)의 복수 개의 측면 중 짝수 개의 측면에 배치된다. 즉, 본 실시예와 같이, 6개의 측면을 가지는 6각형의 전달모듈에서 4개의 측면(101,102,103,104)에 각각 프로세스모듈(20,21,22,23)이 배치될 수 있으며, 가령 8개의 측면을 가지는 8각형의 전달모듈에서는 4개의 측면 또는 6개의 측면에 배치될 수도 있다. 또한, 프로세스모듈(20,21,22,23)들은 각 측면에 배치됨에 있어, 종래와 같이 하나만 배치되는 것이 아니라 처리효율을 향상시키기 위하여 한 쌍으로 배치된다. 이 한 쌍의 프로세스모듈은 서로 이격되어 독립되어 있다. 각 프로세스모듈(20~23)은 게이트밸브(107)를 통하여 전달모듈(10)과 연결되며, 로봇(12)에 의해 전달모듈(10)과 웨이퍼(W)를 교환한다. The process modules 20, 21, 22, and 23 are for carrying out processing on the wafer W, and are disposed on even sides of the plurality of side surfaces of the transfer module 10. That is, as in the present embodiment, in the hexagonal delivery module having six sides, the process modules 20, 21, 22, and 23 may be disposed on the four sides 101, 102, 103, and 104, for example, having eight sides. In the octagonal delivery module, it may be arranged on four or six sides. In addition, since the process modules 20, 21, 22, and 23 are disposed on each side, not only one is disposed as in the related art but is disposed in pairs to improve processing efficiency. The pair of process modules are separated from each other and are independent of each other. Each process module 20 to 23 is connected to the transfer module 10 through the gate valve 107, and exchanges the transfer module 10 and the wafer W by the robot 12.

각 프로세스모듈(20~23)에는 처리공정이 진행되는 반응실(미도시)이 형성되어 있다. 그리고 각 반응실에는 웨이퍼가 안착되는 기판지지부와, 웨이퍼 상에 공 정가스를 분사하기 위한 샤워헤드와, 반응실 내부에서 반응하지 않고 잔존하는 부산물들을 반응실 외부로 배출하기 위한 펌프와, 처리공정 중 반응실 내부에 플라즈마 환경을 유지하기 위하여 고전압을 인가시키는 플라즈마 발생장치 등 각 프로세스모듈(20~23)에서 진행되는 처리공정에 따라 필요한 장치들이 적절하게 설치되어 있다. Each process module 20 to 23 is formed with a reaction chamber (not shown) in which a treatment process is performed. Each reaction chamber includes a substrate support on which a wafer is seated, a shower head for injecting a process gas onto the wafer, a pump for discharging the by-products remaining without reacting inside the reaction chamber, and a processing process. In order to maintain a plasma environment in the reaction chamber, necessary apparatuses are appropriately installed according to the process performed in each process module 20 to 23 such as a plasma generator for applying a high voltage.

로드락모듈(30,40)은 처리공정 전의 웨이퍼(W)와 처리공정 후의 웨이퍼(W)를 수납하기 위한 것으로서, 본 실시예에서 로드락모듈은 처리공정 전의 웨이퍼(W)를 수납하기 위한 제1로드락모듈(30)과 처리공정 후의 웨이퍼(W)를 수납하기 위한 제2로드락모듈(40)을 구비한다. 제1로드락모듈(30)과 제2로드락모듈(40)은 전달모듈(10)의 측면들 중 프로세스모듈이 배치되지 않은 두 개의 측면(106,105)에 각각 결합된다. 이 제1로드락모듈(30)과 제2로드락모듈(40)은 게이트밸브(107)를 통하여 각각 전달모듈(10)과 연결되며, 전달모듈(10) 내에 설치된 로봇(12)에 의해 전달모듈(10)과 웨이퍼(W)를 서로 교환할 수 있다. The load lock modules 30 and 40 are for accommodating the wafer W before the processing step and the wafer W after the processing step. In this embodiment, the load lock module is configured to accommodate the wafer W before the processing step. A first load lock module 30 and a second load lock module 40 for accommodating the wafer W after the processing step are provided. The first load lock module 30 and the second load lock module 40 are respectively coupled to two side surfaces 106 and 105 of which the process module is not disposed among the side surfaces of the transfer module 10. The first load lock module 30 and the second load lock module 40 are connected to the transfer module 10 through the gate valve 107, respectively, and are transferred by the robot 12 installed in the transfer module 10. The module 10 and the wafer W can be exchanged with each other.

한편, 제1로드락모듈(30) 및 제2로드락모듈(40)에는 카세트(31,41)와 승강부재(32,42)가 각각 구비되어 있다. 각 카세트(31,41)에는 복수의 플레이트(311,411)가 구비되어 있다. 복수의 플레이트(311,411)는 상하 방향으로 서로 이격되게 적층된다. 그리고 각 플레이트(311,411)에는 한 쌍의 수납부(312,412)가 형성되어 있다. 한 쌍의 수납부(312,412)에는 한 쌍의 웨이퍼(W)가 놓여져 지지된다. 그리고 웨이퍼(W)가 수납부(312,412)에 놓이면 그 하측으로 엔드이펙터(123)가 삽입되는 통로(313,413)가 형성되어, 웨이퍼(W)의 이송을 용이하게 한다. Meanwhile, the first load lock module 30 and the second load lock module 40 are provided with cassettes 31 and 41 and lifting members 32 and 42, respectively. Each cassette 31, 41 is provided with a plurality of plates 311, 411. The plates 311 and 411 are stacked to be spaced apart from each other in the vertical direction. Each plate 311 and 411 is provided with a pair of storage portions 312 and 412. The pair of wafers W are placed on and supported by the pair of storage portions 312 and 412. When the wafers W are placed in the receiving portions 312 and 412, passages 313 and 413 into which the end effectors 123 are inserted are formed below the wafers W to facilitate the transfer of the wafers W.

승강부재(32,42)는 카세트(31,41)를 승강시키기 위한 것이다. 이 승강부재(32,42)는 로드락모듈(30,40) 내부에 배치되어, 일단은 카세트(31, 41)의 하면에 결합되며, 타단은 구동원인 유압펌프(미도시)에 연결되어 있다. 유압펌프의 구동시 승강부재(32,42)는 상하방향으로 이동하며 카세트(31,41)를 승강시킨다.The elevating members 32 and 42 are for elevating the cassettes 31 and 41. The elevating members 32 and 42 are disposed inside the load lock modules 30 and 40, one end of which is coupled to the lower surface of the cassettes 31 and 41, and the other end of which is connected to a hydraulic pump (not shown) which is a driving source. . When the hydraulic pump is driven, the elevating members 32 and 42 move upward and downward to elevate the cassettes 31 and 41.

그리고, 제1로드락모듈(30)의 상부에는 공정 전의 웨이퍼(W)를 예열시키기 위하여 히터(33)가 설치된다. 제2로드락모듈(40)의 상부에는 공정 후의 웨이퍼(W)를 냉각시키기 위하여 쿨러(43)가 설치되어 있다. In addition, the heater 33 is installed on the upper portion of the first load lock module 30 to preheat the wafer W before the process. The cooler 43 is installed on the upper portion of the second load lock module 40 to cool the wafer W after the process.

대기모듈(50)은 제1로드락모듈(30)로 이송될 처리공정 전의 웨이퍼(W)를 수납하며, 제2로드락모듈(40)로부터 이송된 처리공정 후의 웨이퍼(W)를 수납하기 위한 것이다. 대기모듈(50)과 로드락모듈(30,40)은 게이트밸브(51)를 통하여 상호 연결되며, 대기모듈(50)에는 웨이퍼를 이송하기 위한 이송암(52)이 설치되어 있다. 이송암(52)은 대기모듈(50)에 수납되어 있는 처리공정 전의 웨이퍼(W)를 제1로드락모듈(30)로 이송하며, 제2로드락모듈(32)에 수납되어 있는 처리공정 후의 웨이퍼(W)를 대기모듈(50)로 이송한다. The standby module 50 accommodates the wafer W before the treatment process to be transferred to the first load lock module 30 and accommodates the wafer W after the treatment process transferred from the second load lock module 40. will be. The standby module 50 and the load lock modules 30 and 40 are interconnected through the gate valve 51, and the standby module 50 is provided with a transfer arm 52 for transferring the wafer. The transfer arm 52 transfers the wafer W before the processing step stored in the standby module 50 to the first load lock module 30, and after the processing step stored in the second load lock module 32. The wafer W is transferred to the standby module 50.

이하, 상술한 바와 같이 구성된 웨이퍼 처리장치(1000)를 사용하여 이층 막 구조의 박막을 증착하는 박막 처리공정, 특히 웨이퍼(W) 상에 티타늄(Ti) / 티타늄 질화막(TiN)을 증착시키는 처리공정의 일례를 설명한다.Hereinafter, a thin film processing step of depositing a thin film having a two-layer film structure using the wafer processing apparatus 1000 configured as described above, in particular, a processing step of depositing titanium (Ti) / titanium nitride film (TiN) on the wafer (W). An example will be described.

먼저 이송암(52)을 이용하여 웨이퍼(W)를 제1로드락모듈(30)로 이송하고, 히터(33)를 사용하여 웨이퍼(W)를 예열시킨다. 웨이퍼(W)의 예열이 완료되면 로봇(12)을 이용하여 제1프로세스모듈(20) 및 제3프로세스모듈(22)로 각각 한 쌍의 웨이퍼(W)를 이송한다. 제1프로세스모듈(20)과 제3프로세스모듈(22)에서는, 웨이퍼(W) 상에 티타늄(Ti)을 증착시키는 티타늄(Ti) 증착공정이 진행된다. 그리고 티타늄(Ti) 증착공정이 완료된 웨이퍼(W)를 로봇(12)에 의해 제2프로세스모듈(21)과 제4프로세스모듈(23)로 각각 이송한다. 즉, 제1프로세스모듈(20)에 있던 한 쌍의 웨이퍼(W)를 제2프로세스모듈(21)로 이송하며, 제3프로세스모듈(22)에 있던 한 쌍의 웨이퍼(W)를 제4프로세스모듈(23)로 이송한다. 제2프로세스모듈(21) 및 제4프로세스모듈(23)에서는 웨이퍼(W) 상에 티타늄 질화막(TiN)을 증착시키는 티타늄 질화막(TiN) 증착공정이 진행된다. 그리고 증착공정이 완료되면 로봇(12)을 이용하여 웨이퍼(W)를 제2로드락모듈(40)의 카세트(41)로 이송한다. 카세트(41)에 수납된 웨이퍼(W)는 쿨러(43)에 의해 냉각된 후, 이송암(52)에 의해 대기모듈(50)로 전달된다.First, the wafer W is transferred to the first load lock module 30 using the transfer arm 52, and the wafer W is preheated using the heater 33. When the preheating of the wafer W is completed, the pair of wafers W are transferred to the first process module 20 and the third process module 22 using the robot 12. In the first process module 20 and the third process module 22, a titanium deposition process for depositing titanium (Ti) on the wafer W is performed. The wafer W having the titanium deposition process is completed is transferred to the second process module 21 and the fourth process module 23 by the robot 12. That is, the pair of wafers W in the first process module 20 are transferred to the second process module 21, and the pair of wafers W in the third process module 22 is transferred to the fourth process. Transfer to module 23. In the second process module 21 and the fourth process module 23, a titanium nitride film TiN deposition process for depositing a titanium nitride film TiN on the wafer W is performed. When the deposition process is completed, the wafer W is transferred to the cassette 41 of the second load lock module 40 using the robot 12. The wafer W accommodated in the cassette 41 is cooled by the cooler 43 and then transferred to the standby module 50 by the transfer arm 52.

즉, 한 쌍의 웨이퍼(W)는 티타늄(Ti)을 증착시키는 제1 및 제3프로세스모듈(20,22)과 티타늄 질화막(TiN)을 증착시키는 제2 및 제4프로세스모듈(21,23)을 순차적으로 이송되며, 이 과정 동안에 웨이퍼(W) 상에 티타늄(Ti)과 티타늄 질화막(TiN)이 증착된다. 그리고 위와 같은 과정은 연속적으로 진행되어, 대기모듈(50)에 수납되어 있던 처리공정 전의 웨이퍼(W) 전체가 처리공정이 완료된 후 다시 대기모듈(50)에 수납되게 된다.That is, the pair of wafers W may include first and third process modules 20 and 22 for depositing titanium Ti and second and fourth process modules 21 and 23 for depositing titanium nitride film TiN. Are sequentially transferred, and during this process, titanium (Ti) and titanium nitride (TiN) are deposited on the wafer (W). And the above process is continuously performed, the entire wafer (W) before the processing step that was stored in the standby module 50 is stored in the standby module 50 again after the processing process is completed.

한편, 본 발명의 바람직한 실시예에 따른 웨이퍼 처리장치(1000)에는 전달모듈(20)의 각 측면(101~104)에 한 쌍의 프로세스모듈(20,21,22,23)이 구비되어 있으므로, 한 쌍의 웨이퍼(W)에 대하여 동시에 처리공정을 수행할 수 있다. 따라서, 종래와 같이 전달모듈의 각 측면에 하나의 프로세스모듈만이 배치되어 하나의 웨이퍼에 대하여 처리공정을 수행하는 것에 비하여 동일시간 내에 두 배 더 많은 웨이퍼(W)를 처리할 수 있게 되므로, 웨이퍼 처리장치(1000)의 처리수율이 향상된다. On the other hand, the wafer processing apparatus 1000 according to the preferred embodiment of the present invention is provided with a pair of process modules 20, 21, 22, 23 on each side (101 ~ 104) of the transfer module 20, The process may be performed on the pair of wafers W at the same time. Therefore, since only one process module is disposed on each side of the transfer module as in the related art, it is possible to process twice as many wafers W in the same time as compared to performing a processing process on one wafer. The processing yield of the processing apparatus 1000 is improved.

또한, 프로세스모듈(20~23)이 네 쌍 구비되어 있으므로 티타늄(Ti) 및 티타늄 질화막(TiN)을 교대로 증착하는 공정과 같이 이층 막 구조의 박막을 연속적으로 증착하는 공정을 웨이퍼 처리장치(1000) 내에서 효율적으로 진행할 수 있다. 즉, 3개의 프로세스모듈을 구비한 종래의 웨이퍼 처리장치에서는 하나의 프로세스모듈이 사용되지 못한 것에 비하여, 본 실시예에 따른 웨이퍼 처리장치(1000)에는 프로세스모듈(20~23)이 네 쌍 구비되어 있으므로, 두 쌍의 프로세스모듈(20-21, 22-23)씩 짝을 이루어 티타늄(Ti) 및 티타늄 질화막(TiN)을 증착하는 공정을 연속적으로 진행할 수 있다. In addition, since four pairs of process modules 20 to 23 are provided, a process of continuously depositing a thin film having a two-layer film structure such as a process of alternately depositing titanium (Ti) and a titanium nitride film (TiN) may be performed. Can proceed efficiently within. That is, in the conventional wafer processing apparatus having three process modules, one process module is not used, whereas the wafer processing apparatus 1000 according to the present embodiment includes four pairs of process modules 20 to 23. Therefore, the process of depositing titanium (Ti) and titanium nitride (TiN) may be continuously performed by pairing the pair of process modules 20-21 and 22-23.

또한, 별도로 구비된 제1로드락모듈(30) 및 제2로드락모듈(40)에서 각각 웨이퍼(W)를 예열 및 냉각하므로, 웨이퍼에 발생할 수 있는 열응력을 방지할 수 있는 효과가 있다. 즉, 종래에는 하나의 로드락모듈 내부에서 웨이퍼를 예열하는 공정과 웨이퍼를 냉각하는 공정이 동시에 진행되므로 인해, 로드락모듈 내부에 온도차이가 발생하였다. 그리고 이 온도차에 의해 로드락모듈에 수납된 단일 웨이퍼 내부에서도 온도차가 발생하고 이에 따라 웨이퍼 상에 열응력이 발생하였다. 또한, 웨이퍼가 예열 및 냉각되는 공간이 단일공간의 내부에 배치되어 있으므로, 상호간에 열교환이 활발하게 진행되고, 이에 따라 온도관리의 효율이 떨어지게 되는 문제점이 있었다. 하지만 본 발명에서는, 서로 분리된 두 개의 공간 즉 제1로드락모 듈(30)과 제2로드락모듈(40)에서 각각 웨이퍼(W)를 예열 및 냉각한다. 따라서, 웨이퍼(W) 내에서 온도차가 거의 발생하지 않으므로 웨이퍼(W) 상에 열응력이 발생하지 않으며, 웨이퍼(W)가 예열되는 공간과 냉각되는 공간 사이의 열교환이 줄어들게 되어 온도관리의 효율이 향상된다. In addition, since the wafers W are preheated and cooled in the first load lock module 30 and the second load lock module 40, respectively, there is an effect of preventing thermal stress that may occur on the wafer. That is, in the related art, a temperature difference occurs in the load lock module because a process of preheating the wafer and cooling the wafer is simultaneously performed in one load lock module. The temperature difference also causes a temperature difference within a single wafer accommodated in the load lock module, thereby generating thermal stress on the wafer. In addition, since the space in which the wafer is preheated and cooled is disposed in the single space, heat exchange between each other is actively performed, and thus there is a problem that the efficiency of temperature management is lowered. However, in the present invention, the wafers W are preheated and cooled in two spaces separated from each other, that is, the first load lock module 30 and the second load lock module 40, respectively. Therefore, since the temperature difference hardly occurs in the wafer W, thermal stress does not occur on the wafer W, and heat exchange between the space where the wafer W is preheated and the space where it is cooled is reduced, thereby improving efficiency of temperature management. Is improved.

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러 가지 많은 변형이 가능함은 명백하다. In the above, the present invention has been described in detail with reference to preferred embodiments, but the present invention is not limited to the above embodiments, and various modifications can be made by those skilled in the art within the technical idea of the present invention. Is obvious.

즉, 본 실시예에서는 전달모듈이 6각 형상으로 형성되고 전달모듈의 각 측면에 프로세스모듈 및 로드락모듈이 각각 결합되었으나, 전달모듈이 8각형상으로 형성되고 전달모듈의 측면 중 두 개의 측면에 로드락모듈이 결합되고 나머지 여섯 개의 측면에 프로세스모듈이 각각 결합되도록 구성할 수도 있다.That is, in this embodiment, the transfer module is formed in a hexagonal shape and the process module and the load lock module are coupled to each side of the transfer module, respectively, but the transfer module is formed in an octagon shape and is provided on two sides of the transfer module. The load lock modules can be combined and the process modules can be combined with each of the remaining six sides.

도 1은 종래의 웨이퍼 처리장치의 개략적인 평면도이다.1 is a schematic plan view of a conventional wafer processing apparatus.

도 2는 본 발명의 일 실시예에 따른 웨이퍼 처리장치의 개략적인 평면도이다. 2 is a schematic plan view of a wafer processing apparatus according to an embodiment of the present invention.

도 3은 도 2에 도시된 Ⅲ-Ⅲ선의 개략적인 단면도이다. FIG. 3 is a schematic cross-sectional view of the III-III line shown in FIG. 2.

<도면의 주요부분에 대한 부호의 설명><Description of the symbols for the main parts of the drawings>

1000...웨이퍼 처리장치 10...전달모듈1000 ... Wafer Processing Unit 10 ... Transfer Module

11...전달공간 12...로봇11 ... delivery space 12 ... robot

111~116...전달모듈 측면 107...게이트밸브111 to 116 Transmitter side 107 Gate valve

20,21,22,23...프로세스모듈 30,40...로드락모듈20,21,22,23 ... Process Module 30,40 ... Load Lock Module

31,41...카세트 32,42...승강부재31,41 ... cassette 32,42 ... elevating member

33...히터 43...쿨러33.Heater 43.Cooler

311,411...플레이트 312,412...수납부311,411 ... plates 312,412 ... storage

313,413...슬롯 50...대기모듈313,413 Slot 50 Standby Module

51...게이트밸브 52...이송암51 ... gate valve 52 ... transfer arm

W...웨이퍼W ... wafer

Claims (6)

웨이퍼를 이송하는 로봇을 구비하며, 복수의 측면을 가지도록 다각형으로 형성된 전달모듈;A transfer module having a robot for transferring a wafer and formed in a polygonal shape to have a plurality of side surfaces; 상기 로봇의 이송에 의하여 상기 웨이퍼를 반입 및 반출할 수 있도록 상기 전달모듈과 연결되며, 상기 웨이퍼에 대한 처리공정이 진행되는 프로세스모듈; 및A process module connected to the transfer module to carry in and take out the wafer by the transfer of the robot, wherein a process for processing the wafer is performed; And 상기 전달모듈과 연결되며, 상기 프로세스 모듈에서 처리공정이 행해지기 전 및 행해진 후의 웨이퍼가 각각 수납되는 로드락모듈;을 포함하는 웨이퍼 처리장치에 있어서,In the wafer processing apparatus comprising: a load lock module connected to the transfer module, the load lock module for receiving the wafer before and after the process is performed in the process module, respectively, 상기 웨이퍼에 대한 처리공정이 연속적으로 이루어지도록 상기 프로세스모듈은 상기 전달모듈의 짝수 개의 측면에 배치되되, 각 측면에는 상기 프로세스모듈이 한 쌍씩 배치되는 것을 특징으로 하는 웨이퍼 처리장치.The process module is disposed on an even number of side surfaces of the transfer module so that the processing process for the wafer is continuously performed, each side of the wafer processing apparatus characterized in that the pair of the process module is arranged. 제1항에 있어서,The method of claim 1, 상기 한 쌍의 프로세스모듈은 상기 전달모듈의 4개의 측면에 각각 배치되는 것을 특징으로 하는 웨이퍼 처리장치.And the pair of process modules are disposed on four sides of the transfer module, respectively. 제2항에 있어서,The method of claim 2, 상기 전달모듈은 6각 형상으로 형성되며,The delivery module is formed in a hexagonal shape, 상기 로드락모듈은 처리공정 전의 웨이퍼가 수납되는 제1로드락모듈과 처리 공정 후의 웨이퍼가 수납되는 제2로드락모듈을 포함하여 이루어지며,The load lock module includes a first load lock module that accommodates a wafer before a processing step and a second load lock module that accommodates a wafer after a processing step, 상기 제1로드락모듈과 상기 제2로드락모듈은 상호 이격되어 상기 전달모듈의 서로 다른 측면에 각각 연결되는 것을 특징으로 하는 웨이퍼 처리장치.And the first load lock module and the second load lock module are spaced apart from each other and connected to different sides of the transfer module. 제3항에 있어서,The method of claim 3, 상기 제1로드락모듈 및 제2로드락모듈은 상하 방향으로 상호 이격되게 적층되는 복수의 플레이트를 가지는 카세트를 각각 구비하며,The first load lock module and the second load lock module are each provided with a cassette having a plurality of plates stacked to be spaced apart from each other in the vertical direction, 상기 각 플레이트에는 상기 웨이퍼 한 쌍이 수납되도록 하는 한 쌍의 수납부가 형성되어 있는 것을 특징으로 하는 웨이퍼 처리장치.Each of the plates is a wafer processing apparatus, characterized in that a pair of receiving portion is formed to accommodate the pair of wafers. 제4항에 있어서The method of claim 4 상기 제1로드락모듈 및 제2로드락모듈은, 상기 카세트를 승강 가능하게 하는 승강부재를 각각 더 구비하는 것을 특징으로 하는 웨이퍼 처리장치.The first load lock module and the second load lock module, the wafer processing apparatus further comprises a lifting member for enabling the cassette to be lifted and lowered, respectively. 제3항에 있어서,The method of claim 3, 상기 제1로드락모듈은 상기 웨이퍼를 가열하기 위한 히터를 더 구비하며,The first load lock module further includes a heater for heating the wafer, 상기 제2로드락모듈은 상기 웨이퍼를 냉각하기 위한 쿨러를 더 구비하는 것을 특징으로 하는 웨이퍼 처리장치. The second load lock module further comprises a cooler for cooling the wafer.
KR1020070066872A 2007-07-04 2007-07-04 Wafer processing device KR20090002709A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070066872A KR20090002709A (en) 2007-07-04 2007-07-04 Wafer processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070066872A KR20090002709A (en) 2007-07-04 2007-07-04 Wafer processing device

Publications (1)

Publication Number Publication Date
KR20090002709A true KR20090002709A (en) 2009-01-09

Family

ID=40485662

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070066872A KR20090002709A (en) 2007-07-04 2007-07-04 Wafer processing device

Country Status (1)

Country Link
KR (1) KR20090002709A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101334221B1 (en) * 2007-09-03 2013-11-29 주식회사 원익아이피에스 Method of manufacturing multi-level metal thin film and apparatus for manufacturing the same
US20210217594A1 (en) * 2019-02-21 2021-07-15 Semes Co., Ltd. Apparatus and method for treating substrate
KR20210139479A (en) * 2016-10-18 2021-11-22 매슨 테크놀로지 인크 Systems and methods for workpiece processing
KR20210143657A (en) * 2020-05-20 2021-11-29 도쿄엘렉트론가부시키가이샤 Coupled processing containers, substrate processing system, and substrate processing method
US11430679B2 (en) 2019-07-30 2022-08-30 Samsung Electronics Co., Ltd. Semiconductor manufacturing apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101334221B1 (en) * 2007-09-03 2013-11-29 주식회사 원익아이피에스 Method of manufacturing multi-level metal thin film and apparatus for manufacturing the same
KR20210139479A (en) * 2016-10-18 2021-11-22 매슨 테크놀로지 인크 Systems and methods for workpiece processing
US20210217594A1 (en) * 2019-02-21 2021-07-15 Semes Co., Ltd. Apparatus and method for treating substrate
US11430679B2 (en) 2019-07-30 2022-08-30 Samsung Electronics Co., Ltd. Semiconductor manufacturing apparatus
KR20210143657A (en) * 2020-05-20 2021-11-29 도쿄엘렉트론가부시키가이샤 Coupled processing containers, substrate processing system, and substrate processing method

Similar Documents

Publication Publication Date Title
JP4912253B2 (en) Substrate transport apparatus, substrate processing apparatus, and substrate transport method
KR100614348B1 (en) A vacuum processing system having improved substrate heating and cooling
US6846149B2 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
TWI623055B (en) Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
TW201709392A (en) Substrate processing apparatus and methods of loading and unloading substrates
JP2000306978A (en) Substrate treatment apparatus, substrate transfer apparatus, and substrate treatment method
US11776828B2 (en) Vacuum processing device
KR20010025065A (en) In-situ substrate transfer shuttle
US11600503B2 (en) High-throughput, multi-chamber substrate processing system
US7351291B2 (en) Semiconductor processing system
KR20090002709A (en) Wafer processing device
TW202329231A (en) Robot for simultaneous substrate transfer
WO2018016257A1 (en) Substrate processing device
KR102355962B1 (en) An apparatus for transferring a substrate, a processing apparatus having a receiving plate adapted to a substrate carrier of such apparatus, a method of processing a substrate using such apparatus for transferring a substrate, and a processing system
KR20210055082A (en) Vacuum processing apparatus and substrate transfer method
JP2019520701A (en) 12-sided transfer chamber, and processing system having such a transfer chamber
KR101069839B1 (en) Substrate processing apparatus
US8383429B2 (en) Method and apparatus for thermal treatment of semiconductor workpieces
US11127616B2 (en) Substrate accommodation device
JP2004006665A (en) Vacuum processing device
KR20100135626A (en) Substrate transfering apparatus and substrate processing system having the same
KR101426225B1 (en) Method and system for substrate processing
KR20070121394A (en) Chamber and method for cooling substrate, and plasma treating apparatus and method with the member
US20040168768A1 (en) Substrate processing apparatus
KR100836069B1 (en) Apparatus for processing a substrate

Legal Events

Date Code Title Description
N231 Notification of change of applicant
WITN Withdrawal due to no request for examination