KR20070050494A - 반도체 디바이스 및 그 제조 방법 - Google Patents

반도체 디바이스 및 그 제조 방법 Download PDF

Info

Publication number
KR20070050494A
KR20070050494A KR1020077007428A KR20077007428A KR20070050494A KR 20070050494 A KR20070050494 A KR 20070050494A KR 1020077007428 A KR1020077007428 A KR 1020077007428A KR 20077007428 A KR20077007428 A KR 20077007428A KR 20070050494 A KR20070050494 A KR 20070050494A
Authority
KR
South Korea
Prior art keywords
semiconductor device
silicide
layer
oxide
gate electrode
Prior art date
Application number
KR1020077007428A
Other languages
English (en)
Inventor
마크 도크지
저스틴 브라스크
잭 카발리에로스
매튜 메츠
수만 다타
로버트 차우
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/980,522 external-priority patent/US20060094180A1/en
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20070050494A publication Critical patent/KR20070050494A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 명세서에는 반도체 디바이스의 제조 방법이 개시되었다. 이 방법은 기판 상에 높은-k 게이트 유전층을 형성하는 단계와, 높은-k 게이트 유전층 상에 배리어 층을 형성하는 단계 및 배리어 층 상에 완전히 실리사이드된 게이트 전극을 형성하는 단계를 포함한다.

Description

반도체 디바이스 및 그 제조 방법{A METHOD FOR MAKING A SEMICONDUCTOR DEVICE WITH A HIGH-K GATE DIELECTRIC LAYER AND A SILICIDE GATE ELECTRODE}
본 발명은 반도체 디바이스에 관한 것으로, 보다 구체적으로는, 높은-k의 게이트 유전층 및 실리사이드 게이트 전극을 구비한 반도체 디바이스에 관한 것이다.
실리콘 이산화물로 제조된 매우 얇은 게이트 유전체를 구비하는 상보적 금속 산화물 반도체("CMOS"-complementary metal oxide semiconductor) 디바이스에는 적절하지 않은 게이트 누설 전류가 발생할 수 있다. 실리콘 이산화물 대신 임의의 높은-k 유전체 재료로 게이트 유전체를 형성하는 것은 게이트 누설을 감소시킬 수 있다. 그러나, 완전히 실리사이드된(fully silicided) 게이트 전극이 이러한 유전체 상에 직접 형성될 때, 게이트 전극과 유전체 사이의 상호작용은 페르미 레벨 고정(Fermi level pinning)을 발생시킬 수 있다. 그 결과, 높은-k 게이트 유전체 상에 직접 형성되고 완전히 실리사이드된 게이트 전극을 구비하는 트랜지스터는 상대적으로 높은 문턱 전압을 가질 수 있다.
따라서, 높은-k 게이트 유전체를 포함하는 반도체 디바이스를 형성하기 위한 향상된 프로세스를 필요로 한다. 원치 않는 높은 문턱 전압을 나타내지 않는, 완전히 실리사이드된 게이트 전극 및 높은-k 게이트 유전체 모두를 구비하는 디바이스를 형성하는 프로세스를 필요로 한다. 본 발명은 그러한 방법을 제공한다.
도 1a-1d는 본 발명의 방법의 실시예를 실행할 때 형성될 수 있는 구조체의 단면도.
도 2a-2d는 본 발명의 방법의 제 2 실시예를 실행할 때 형성될 수 있는 구조체의 단면도.
첨부된 도면은 실제 축적대로 도시되지 않았다.
본 명세서에는 반도체 디바이스의 제조 방법이 기술되었다. 이 방법은 기판 상에 높은-k 게이트 유전층을 형성하는 단계와, 높은-k 게이트 유전층 상에 배리어 층을 형성하는 단계 및 배리어 층 상에 완전히 실리사이드된 게이트 전극을 형성하는 단계를 포함한다. 하기의 설명에서, 본 발명에 대한 철저한 이해를 제공하도록 다수의 세부 사항들이 설정될 것이다. 그러나, 당업자에게 본 발명이 본 명세서에서 특별히 기술된 것이 아닌 다양한 방법으로 실시될 수 있다는 점을 이해할 것이다. 따라서 본 발명은 하기에 개시된 특정 세부 사항으로 제한되는 것은 아니다.
도 1a-1d는 본 발명의 방법의 실시예를 실행할 때 형성될 수 있는 구조체의 단면도이다. 도 1a에 도시된 바와 같이, 이 실시예에서 높은-k 게이트 유전층(101)은 기판(100) 상에 형성되고, 배리어 층(102)은 높은-k 게이트 유전층(101) 상에 형성되며, 폴리실리콘 층(103)은 배리어 층(102) 상에 형성된다. 기판(100)은 자신의 위에 반도체 디바이스가 설계될 수 있는 기초로서의 역할을 할 수 있는 임의의 재료를 포함한다.
높은-k 게이트 유전층(101)을 제조하는 데에 사용될 수 있는 재료의 일부는, 하프늄 산화물, 하프늄 실리콘 산화물, 란탄 산화물, 란탄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물 및 납 아연 니오브산염(niobate)을 포함한다. 특히 하프늄 산화물, 지르코늄 산화물 및 알루미늄 산화물이 바람직하다. 높은-k 게이트 유전층(101)을 형성하는 데에 사용될 수 있는 재료의 몇몇 예시가 본 명세서에 개시되었지만, 이러한 층은 다른 재료로 제조될 수 있다.
높은-k 게이트 유전층(101)은 예를 들어 종래의 화학적 증착법("CVD"), 저압 CVD, 또는 물리적 증착법("PVD")과 같은 종래의 증착 방법을 사용하여 기판(100) 상에 형성될 수 있다. 바람직하게는, 종래의 원자 층 CVD 프로세스가 사용된다. 이러한 프로세스에서, 금속 산화물 전구체(예를 들어, 금속 염화물) 및 스팀(steam)이 선택된 흐름 속도로 CVD 리액터 내로 공급될 수 있고, 그 다음 선택된 온도 및 압력에서 동작되어 기판(100)과 높은-k 게이트 유전층(101) 사이의 원자력적으로 완만한 인터페이스를 생성한다. CVD 리액터는 원하는 두께를 갖는 층을 형성하도록 충분히 오랫동안 동작되어야 한다. 대부분의 애플리케이션에서, 높은-k 게이트 유전층(101)의 두께는 약 60Å 미만이어야 하며, 보다 바람직하게는 약 5Å과 약 40Å 사이의 두께를 갖는다.
만약 높은-k 게이트 유전층(101)이 산화물을 포함한다면, 그것을 제조하는 데에 사용되는 프로세스에 따라서, 유전층(101)은 임의의 표면 사이트(site) 및 바람직하지 않은 불순물 레벨에서 산소 간극(oxygen vacancies)을 나타낼 수 있다. 층(101)이 증착된 후, 층(101)으로부터 임의의 불순물을 제거하고, 이것을 산화시켜 거의 이상적인 금속:산소 화학량론을 갖는 층을 생성하는 것이 요구된다.
배리어 층(102)은 바람직하게 전기적으로 도전성이고 workfunction transparent이다. 일 실시예에서, 배리어 층(102)은 예를 들어 티타늄 질화물 또는 탄탈 질화물과 같은 금속 질화물을 포함할 수 있다. 당업자에게는, 배리어 층(102)이 종래의 CVD 또는 PVD 프로세스를 사용하여 높은-k 게이트 유전층(101) 상에 형성될 수 있다는 사실이 명백할 것이다. 배리어 층(102)은 (배리어 층(102) 상에 형성될) 완전히 실리사이드된 게이트 전극이 높은-k 게이트 유전층(101)과 상호작용하여 원치 않는 페르미 레벨 고정을 발생시키는 것을 방지하도록 충분히 두꺼워야 한다. 이 두께는 배리어 층(102)이 이어서 형성되는 완전히 실리사이드된 게이트 전극의 일함수에 의해 설정될 디바이스의 문턱 전압에 현저하게 영향을 미치지 않는 것을 보장하도록 최적화되어야 한다. 다양한 애플리케이션에서, 약 5Å과 약 50Å 사이의 두께를 갖는 (보다 바람직하게는 약 10Å과 약 20Å 사이의 두께를 갖는) 배리어 층은 workfunction transparent을 유지시키면서 페르미 레벨 고정을 완 화시킬 수 있다.
폴리실리콘 층(103)은 종래의 증착 프로세스를 사용하여 배리어 층(102) 상에 형성될 수 있으며, 바람직하게 약 100Å과 약 2000Å 사이의 두께를 갖고, 보다 바람직하게는 약 500Å과 약 1600Å 사이의 두께를 갖는다. 프로세스 내의 이 단계에서, 폴리실리콘 층(103)은 도핑되지 않거나, (예를 들어, 비소, 인 또는 다른 n-형 재료를 사용하여) n-형 도핑되거나 또는 예를 들어 붕소를 사용하여 p-형 도핑될 수 있다.
도 1a의 구조체를 형성한 후, 폴리실리콘 층(103), 배리어 층(102) 및 높은-k 게이트 유전층(101)이 에칭되어 도 1b에 도시된 구조체를 생성한다. 당업자가 명확히 이해하는 바와 같이, 종래의 패터닝 또는 에칭 프로세스가 사용될 수 있다. 이어서, 스페이서(104)가 상기 구조체에 인접하여 형성되고, 유전층(106)이 상기 스페이서에 인접하여 형성된다. 바람직하게, 스페이서(104, 105)는 질화규소를 포함하고, 유전층(106)은 실리콘 이산화물 또는 낮은-k 재료를 포함할 수 있다. 당업자가 이러한 구조체를 형성하는 데에 사용될 수 있는 종래의 프로세스 단계에 친숙하기 때문에, 본 명세서에서 더욱 상세하게 기술되지는 않을 것이다. 도시된 바와 같이, 유전층(106)은, 예를 들어 종래의 화학적 기계적 폴리싱("CMP") 동작을 통해 다시 폴리싱되어 폴리실리콘(103)을 노출시키고 도 1c의 구조체를 생성한다. 도시되지는 않았지만, 이러한 구조체는 종래의 프로세스를 사용하여 형성될 수 있는 다양한 다른 지형(예를 들어, 질화규소 에칭 스톱 층, 소스 및 드레인 영역 및 하나 이상의 버퍼 층)을 포함할 수 있다.
도 1c의 구조체를 형성한 후, 이어서 도 1d에 도시된 바와 같이 실질적으로 모든 폴리실리콘 층(103)(바람직하게는 모든 폴리실리콘 층)이 실리사이드(107)로 전환된다. 완전히 실리사이드된 게이트 전극(107)은, 예를 들어 니켈 실리사이드, 코발트 실리사이드, 티타늄 실시사이드 또는 그러한 재료들의 결합물을 포함할 수 있다. 폴리실리콘 층(103)은 전체 구조체 위에 적당합 금속을 증착한 다음, 충분한 시간 동안 충분한 온도에서 열을 가해 폴리실리콘 층(103)으로부터 금속 실리사이드(예를 들어, NiSi)를 생성함으로써 완전히 실리사이드된 게이트 전극(107)으로 전환될 수 있다.
바람직한 실시예에서, 실리사이드(107)는 노출된 층(103)의 표면을 포함하는 전체 구조체 위에 적합한 금속(예를 들어, 니켈)을 제 1 스퍼터링함으로써 형성된다. 실리사이드(107)가 폴리실리콘 층(103)을 통해 완전히 연장하도록, 예를 들어 적어도 약 450℃의 온도에서 발생하는 빠른 열 어닐링(anneal)과 같은 고온 어닐링을 사용하는 스퍼터링 동작을 따라야할 수 있다. 니켈 실리사이드를 형성할 때, 어닐링은 약 500℃과 약 550℃ 사이의 온도에서 발생하는 것이 바람직하다. 코발트 실리사이드를 형성할 때, 어닐링은 적어도 약 600℃의 온도에서 발생하는 것이 바람직하다.
종래의 CMP 단계는 폴리싱 단계로서 실리사이드(107)-유전층(106)을 생성한 후 구조체로부터 초과 금속을 제거하는 데에 적용될 수 있다. 실리사이드(107)는 완전히 실리사이드된 PMOS 게이트 전극 또는 완전히 실리사이드된 NMOS 게이트 전극으로서 사용하기에 적합한 완전히 실리사이드된 게이트 전극으로서의 역할을 할 수 있다. 실리사이드(107)가 완전히 실리사이드된 PMOS 게이트 전극으로서의 역할을 하는지 또는 완전히 실리사이드된 NMOS 게이트 전극으로서의 역할을 하는지 여부는 폴리실리콘 층(103)에 가해진 도핑 트리트먼트, 실리사이드는 생성하는 데에 사용된 금속 및 그것을 생성시키는 프로세스에 의존한다. 일부 실시예에서, 본 발명의 프로세스는 완전히 실리사이드된 PMOS 및 완전히 실리사이드된 NMOS 게이트 전극 모두를 포함하는 CMOS 디바이스를 생성하는 데에 사용될 수 있다.
높은-k 게이트 유전층(101)과 완전히 실리사이드된 게이트 전극(107) 사이의 배리어 층(102)의 존재는 페르미 레벨 고정을 발생시킬 수 있는 게이트 전극과 유전체 사이의 원치 않는 상호작용을 방지할 수 있다. 그 결과, 본 발명의 프로세스는 완전히 실리사이드된 게이트 전극과 높은-k 게이트 유전체를 모두 구비하는 디바이스가 원치 않는 높은 문턱 전압을 나타내지 않도록 할 수 있다.
도 2a-2d는 본 발명의 방법의 제 2 실시예를 실행할 때 형성될 수 있는 구조체를 도시한 도면이다. 이 실시예에서, 금속 NMOS 게이트 전극 및 완전히 실리사이드된 PMOS 게이트 전극을 포함하는 CMOS 디바이스가 형성된다. 도 2a는 CMOS 디바이스를 제조할 때 형성될 수 있는 중간 구조체를 도시한다. 이 구조체는 기판(200)의 제 1 부분(201) 및 제 2 부분(202)을 포함한다. 절연 영역(203)은 제 1 영역(201)을 제 2 영역(202)과 분리시킨다. 높은-k 게이트 유전층(205)은 기판(200) 상에 형성되고, 배리어 층(207)이 높은-k 게이트 유전층(205) 상에 형성된다. 폴리실리콘 층은 배리어 층(207) 상에 형성된다. 이러한 폴리실리콘 층의 제 1 부분(204)은 한 쌍의 측벽 스페이서(208, 209)에 의해 브래킷되고, 이러한 폴리실리 콘 층의 제 2 부분(206)은 한 쌍의 측벽 스페이서(210, 211)에 의해 브래킷된다. 유전체(212)는 측벽 스페이서의 옆에 위치한다.
기판(200)은 반도체 디바이스가 자신의 위에 설계될 기초로서의 역할을 할 수 있는 임의의 재료를 포함할 수 있다. 절연 영역(203)은 실리콘 이산화물, 또는 트랜지스터의 액티브 영역을 분리할 수 있는 다른 재료를 포함할 수 있다. 높은-k 게이트 유전층(205) 및 배리어 층(207)은 전술된 것과 동일한 임의의 재료를 포함할 수 있고, 전술된 바와 같이 종래의 프로세스를 사용하여 형성될 수 있다. 폴리실리콘 층의 제 1 및 제 2 부분(204, 206)은 바람직하게는 각각 약 100Å과 약 2,000Å 사이의 두께를 가질 수 있고, 보다 바람직하게는 약 500Å과 약 1,600Å 사이의 두께를 가질 수 있다.
제 1 부분(204)은 도핑되지 않거나 또는 비소, 인 또는 다른 n-형 재료를 사용하여 도핑될 수 있다. 바람직한 실시예에서, 제 1 부분(204)은 n-형으로 도핑되고 제 2 부분(206)은 예를 들어 붕소를 사용하여 p-형으로 도핑된다. 붕소를 사용하여 도핑할 때, p-형 폴리실리콘 층(206)은 제 1 부분(204)을 제거하기 위해 이어질 습식 에칭 프로세스가 상당한 양의 p-형 폴리실리콘 층(206)을 제거하지 않도록 하기 위해 충분한 농도의 붕소 원소를 포함해야만 한다. 스페이서(208, 209, 210, 211)는 바람직하게 질화규소를 포함하고, 유전체(212)는 실리콘 이산화물, 또는 낮은-k 재료를 포함할 수 있다.
당업자가 명확하게 인지하는 바와 같이, 도 2a의 구조체를 생성하는 데에 종래의 프로세스 단계, 재료 및 장비가 사용될 수 있다. 도시된 바와 같이, 유전 체(212)는 예를 들어 종래의 CMP 동작을 통해 유전체(212)를 다시 폴리싱하여 폴리실리콘 층의 제 1 및 제 2 부분(204, 206)을 노출시킬 수 있다. 도시되지는 않았지만, 도 2a의 구조체는 종래의 프로세스를 사용하여 형성될 수 있는 다양한 다른 형태(예를 들어 질화 규소 에칭 스톱 층, 소스 및 드레인 영역과 하나 이상의 버퍼 층)를 포함할 수 있다.
도 2a의 구조체가 형성된 후, 제 1 부분(204)이 제거될 수 있다. 바람직한 실시예에서, 제 1 부분(204)은 p-형 폴리실리콘 층(206)의 상당한 부분을 제거하지 않고 제 1 부분(204)을 제거하도록 p-형 폴리실리콘 층(206)에 대해 제 1 부분(204)을 선택적으로 에칭하는 습식 에칭 프로세스를 적용함으로써 제거된다. 이러한 습식 에칭 프로세스는 충분한 온도에서 충분한 시간 동안 수산화물의 소스를 포함하는 수성 솔루션에 제 1 부분(204)을 노출시켜 실질적으로 부분(204)을 모두 제거하는 것을 포함할 수 있다. 수산화물의 소스는 탈이온수 내의 부피로 약 2%와 약 30% 사이의 수산화암모늄 또는 예를 들어 테트라메틸 수산화암모늄("TMAH")과 같은 테트라알킬 수산화암모늄을 포함할 수 있다.
예를 들어, 제 1 부분(204)은 이것을 약 15℃와 약 90℃ 사이(바람직하게는 40℃ 미만)의 온도에서 유지되고, 탈이온수 내의 부피로 약 2%와 약 30% 사이의 수산화암모늄을 포함하는 솔루션에 노출시킴으로써 선택적으로 제거될 수 있다. 바람직하게 적어도 1분 동안 유지되는 이러한 노출 단계 동안, 약 10㎑와 약 2,000㎑ 사이의 주파수에 있으며, 약 1과 약 10watts/㎠에서 방산되는 음파 에너지를 가하는 것을 필요로 할 수 있다.
특히 바람직한 실시예에서, 약 1,350Å의 두께를 갖는 제 1 부분(204)은, 약 5watts/㎠에서 방산되는 약 1,000㎑의 음파 에너지를 가하는 동안 탈이온수 내의 부피로 약 15%의 수산화암모늄을 포함하는 솔루션에 약 25℃에서 약 30분간 노출킴으로써 선택적으로 제거될 수 있다. 이러한 에칭 프로세스는 상당한 양의 p-형 폴리실리콘 층(206)을 제거하지 않은 채 n-형 폴리실리콘 층을 실질적으로 모두 제거해야 한다.
이와는 달리, 제 1 부분(204)은 음파 에너지를 가하는 동안, 약 60℃와 약 90℃ 사이의 온도에서 유지되고, 탈이온수 내의 부피로 약 20%와 약 30% 사이의 TMAH을 포함하는 솔루션에 적어도 1분 동안 노출시킴으로써 선택적으로 제거될 수 있다. 약 1,350Å의 두께를 갖는 제 1 부분(204)을, 약 5watts/㎠에서 방산되는 약 1,000㎑의 음파 에너지를 가하는 동안 탈이온수 내의 부피로 약 25%의 TMAH를 포함하는 솔루션에 약 80℃에서 약 2분간 노출킴으로써 제거하는 것은, 상당한 양의 p-형 폴리실리콘 층(206)을 제거하지 않은 채 n-형 폴리실리콘 층을 실질적으로 모두 제거할 수 있다.
제 1 부분(204)을 제거한 후, 예를 들어 높은-k 게이트 유전층(205) 위의 배리어 층(207)에 대해 선택적인 에칭 프로세스를 적용함으로써 배리어 층(207)의 하단 부분이 제거될 수 있다. 제 1 층(204) 및 배리어 층(207)의 제거는 도 2b에 도시된 바와 같은, 측벽 스페이서(208, 209) 사이에 위치한 트렌치(213)를 생성한다. 이 실시예에서 배리어 층(207)이 상단의 폴리실리콘 층의 제 1 부분(204)을 제거한 후(또는 제거할 때)에 제거되었지만, 다른 실시예에서 배리어 층(207)은 제 1 부 분(204)의 구성 및 그것을 제거하는 데에 사용되는 프로세스에 따라서 유지될 수 있다.
이 실시예에서, 제 1 부분(204) 및 배리어 층(207)의 하단 부분을 제거한 후, n-형 금속층(215)이 트렌치(213)의 내부이자 높은-k 게이트 유전층(205) 상에 형성되어 도 2c의 형태를 생성한다. n-형 금속층(215)은 금속 NMOS 게이트 전극이 파생될 수 있는 임의의 n-형 도전성 재료를 포함할 수 있다. n-형 금속층(215)을 형성하는 데에 사용될 수 있는 재료는, 하프늄, 지르코늄, 티타늄, 탄탈, 알루미늄 및 예를 들어 이러한 원소를 포함하는 금속 탄화물, 즉 탄화하프늄, 탄화지르코늄, 탄화티타늄, 탄화탄탈 및 탄화알루미늄과 같은 그들의 합금을 포함할 수 있다. 이와는 달리 n-형 금속층(215)은 예를 들어, 하프늄, 지르코늄, 티타늄, 탄탈 또는 텅스텐을 포함하는 알루미나이드를 포함할 수 있다.
n-형 금속층(215)은 예를 들어 종래의 스퍼터링 또는 원자 층 CVD 프로세스와 같은 잘 알려진 PVD 또는 CVD 프로세스를 사용하여 높은-k 게이트 유전층(205) 상에 형성될 수 있다. 도시된 바와 같이, n-형 금속층(215)은 트렌치(213)를 충진하는 부분을 제외하고 제거된다. 층(215)은 적당합 CMP 동작을 통해 디바이스의 다른 부분으로부터 제거될 수 있다. 유전체(212)는 층(215)이 그것의 포면으로부터 제거될 때 에칭 스톱으로서의 역할을 할 수 있다. n-형 금속층(215)은 바람직하게 약 3.9eV와 약 4.2eV 사이의 일함수를 갖고, 약 100Å와 약 2,000Å 사이의 두께를 갖고, 보다 바람직하게는 약 500Å과 약 1,600Å 사이의 두께를 갖는 금속 NMOS 게이트 전극으로서의 역할을 할 수 있다.
도 2c는 n-형 금속층(215)이 트렌치(213) 전부를 충진한 구조체를 도시하였지만, 다른 실시예에서, n-형 금속층(215)은 트렌치(213)의 단지 일부만을 충진할 수 있고, 트렌치의 나머지 부분은 쉽게 폴리싱될 수 있는, 예를 들어 텅스텐, 알루미늄, 티타늄 또는 질화티타늄과 같은 재료로 충진될 수 있다. 이러한 다른 실시예에서, 일함수 금속으로서의 역할을 하는 n-형 금속층(215)은 약 50Å와 약 1,000Å 사이의 두께를 가질 수 있고, 보다 바람직하게는 적어도 약 100Å의 두께를 갖는다.
도시된 실시예에서, 트렌치(213) 내에 n-형 금속층(215)을 형성한 후, 실질적으로 모든 p-형 폴리실리콘 층(206)(바람직하게 층(206) 전부가)이 도 2d에 도시된 바와 같이 실리사이드(216)로 변환된다. 완전히 실리사이드된 게이트 전극(216)은 니켈 실리사이드, 코발트 실리사이드, 티타늄 실리사이드, 이러한 재료들의 조합물, 또는 완전히 실리사이드된 고성능의 PMOS 게이트 전극을 산출할 수 있는 임의의 다른 유형의 실리사이드를 포함할 수 있다. p-형 폴리실리콘 층(206)은, 전체 구조체 위에 적당한 금속을 증착시킨 다음, p-형 폴리실리콘 층(206)으로부터 금속 실리사이드(예를 들어, NiSi)를 생성하기 위해 충분한 온도에서 충분한 시간 동안 가열함으로써 완전히 실리사이드된 게이트 전극(216)으로 변환될 수 있다.
바람직한 실시예에서, 실리사이드(216)는 먼저 층(206)의 노출된 표면을 포함하여 전체 구조체 위에 적당한 금속(예를 들어, 니켈)을 스퍼터링함으로써 형성된다. 실리사이드(216)가 p-형 폴리실리콘 층(206)을 완전히 통해 연장하도록, 예를 들어, 적어도 약 450℃의 온도에서 발생하는 빠른 열 어닐링과 같은, 고온의 어 닐링을 사용하는 스퍼터링 동작이 이어져야 할 수 있다. 니켈 실리사이드를 형성할 때, 바람직하게 어닐링은 약 500℃와 약 550℃ 사이의 온도에서 발생한다. 코발트 실리사이드를 형성할 때, 바람직하게 어닐링은 적어도 약 600℃의 온도에서 발생한다.
종래의 CMP 단계는 폴리싱 스톱으로서의 역할을 하는 실리사이드(216)-유전체(212)를 생성한 후 구조체로부터 초과 금속을 제거하도록 적용될 수 있다. 바람직한 실시예에서, 실리사이드(216)는 바람직하게 약 4.3eV와 약 4.8eV 사이의 미드갭(midgap) 일함수를 갖고, 약 100Å와 약 2,000Å 사이의 두께를 가지며, 보다 바람직하게는 약 500Å과 약 1,600Å 사이의 두께를 갖는 완전히 실리사이드된 PMOS 게이트 전극으로서의 역할을 할 수 있다.
당업자에게 명백하게 인식하는 바와 같이, n-형 금속층(215) 및 실리사이드(216)를 형성하는 데에 사용될 수 있는 재료의 몇몇 예가 본 명세서에서 기술되었지만, 그러한 층 및 실리사이드는 다양한 다른 재료로 제조될 수 있다. 실리사이드(216)를 형성한 후, 예를 들어 도 2d의 구조체 위에 캡핑 유전체 층을 형성하는 것과 같이, 디바이스를 완성하기 위한 프로세스 단계가 이어질 수 있고, 그 다음 디바이스의 콘택트, 금속 상호접속부 및 패시베이션 층이 형성된다. 이러한 프로세스 단계는 당업자에게 잘 알려져 있기 때문에, 본 명세서에서 상세하게 기술되지 않을 것이다.
본 발명의 방법의 제 2 실시예는, 원치 않는 높은 문턱 전압을 갖지 않는 금속 NMOS 게이트 전극 및 완전히 실리사이드된 PMOS 게이트 전극을 포함하는 CMOS 디바이스를 제공한다. 전술된 실시예가 이러한 디바이스를 형성하기 위한 프로세스의 예시를 제공하였지만, 본 발명이 이러한 특정 실시예로 제한되는 것은 아니다.
도 2d의 반도체 디바이스는 각각 높은-k 게이트 유전층(205) 및 배리어 층(207) 상에 형성된 금속 NMOS 게이트 전극(215) 및 완전히 실리사이드된 PMOS 게이트 전극(216)을 포함한다. 높은-k 게이트 유전층(205) 및 배리어 층(207)은 상기에서 열거된 임의의 재료를 포함할 수 있다. 금속 NMOS 게이트 전극(215)은 완전히 상기와 동일한 하나 이상의 n-형 금속으로 구성될 수 있고, 또는 이와는 달리, 트렌치 충진 금속에 의해 캡핑된(capped) n-형 일함수 금속을 포함할 수 있다. 금속 NMOS 게이트 전극(215)은 바람직하게 약 100Å과 약 2,000Å 사이의 두께를 갖고, 약 3.9eV와 약 4.2eV 사이의 일함수를 갖는다. 완전히 실리사이드된 PMOS 게이트 전극(216)은 바람직하게 약 100Å과 약 2,000Å 사이의 두께를 갖고, 약 4.3eV와 약 4.8eV 사이의 미드갭 일함수를 가지며, 전술된 실리사이드 중 하나를 포함한다.
본 발명의 반도체 디바이스가 상기에서 상세하게 설정된 프로세스를 사용하여 제조될 수 있지만, 이와는 달리 다른 유형의 프로세스를 사용하여 형성될 수도 있다. 이러한 이유 때문에, 이러한 반도체 디바이스는 전술된 프로세스를 사용하여 제조될 수 있는 디바이스에 한정되지는 않는다.
본 발명의 방법은 원치 않는 높은 문턱 전압을 나타내지 않는, 완전히 실리사이드된 게이트 전극 및 높은-k 게이트 유전체를 모두 구비하는 디바이스를 제공할 수 있다. 전술된 설명은 본 발명에서 사용될 수 있는 임의의 단계 및 재료를 기술하였지만, 당업자는 다양한 변경 및 대체가 가능하다는 것을 이해할 것이다. 따 라서, 그러한 모든 변경, 대안, 대체 및 추가 사항은 첨부된 특허청구범위에 의해 정의되는 것과 같은 본 발명의 사상 및 범주 내에 포함되는 것으로 간주되어야 한다.

Claims (20)

  1. 반도체 디바이스의 제조 방법에 있어서,
    기판 상에 높은-k 게이트 유전층을 형성하는 단계와,
    상기 높은-k 게이트 유전층 상에 배리어 층을 형성하는 단계와,
    상기 배리어 층 상에 완전히 실리사이드된(fully silicided) 게이트 전극을 형성하는 단계를 포함하는
    반도체 디바이스 제조 방법.
  2. 제 1 항에 있어서,
    상기 높은-k 게이트 유전층은 하프늄 산화물, 하프늄 실리콘 산화물, 란탄 산화물, 란탄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물 및 납 아연 니오브산염(niobate)으로 구성된 그룹에서 선택된 재료를 포함하는
    반도체 디바이스 제조 방법.
  3. 제 1 항에 있어서,
    상기 배리어 층은 전기적으로 도전성이고 일함수가 명백한(workfunction transparent)
    반도체 디바이스 제조 방법.
  4. 제 3 항에 있어서,
    상기 배리어 층은 금속 질화물을 포함하는
    반도체 디바이스 제조 방법.
  5. 제 1 항에 있어서,
    상기 완전히 실리사이드된 게이트 전극은 니켈 실리사이드, 코발트 실리사이드 및 티타늄 실리사이드로 구성된 그룹에서 선택된 재료를 포함하는
    반도체 디바이스 제조 방법.
  6. 제 1 항에 있어서,
    실질적으로 상기 p-형 폴리실리콘 층 전체가 실리사이드로 변환되어 완전히 실리사이드된 게이트 전극을 생성하는
    반도체 디바이스 제조 방법.
  7. 제 1 항에 있어서,
    상기 p-형 폴리실리콘 층 전체가 실리사이드로 변환되어 완전히 실리사이드된 게이트 전극을 생성하는
    반도체 디바이스 제조 방법.
  8. 반도체 디바이스의 제조 방법에 있어서,
    기판 상에 높은-k 게이트 유전층을 형성하는 단계와,
    상기 높은-k 게이트 유전층 상에 배리어 층을 형성하는 단계와,
    상기 배리어 층 상에 폴리실리콘 층을 형성하는 단계와,
    상기 폴리실리콘 층의 제 1 부분을 제거하여 한 쌍의 측벽 스페이서 사이에 위치하는 트렌치(trench)를 생성하는 단계와,
    상기 트렌치 내부에 n-형 금속층을 형성하는 단계와,
    상기 폴리실리콘 층의 제 2 부분 상에 제 2 금속층을 증착시키는 단계와,
    충분한 온도에서 충분한 시간 동안 열을 가하여 실질적으로 상기 폴리실리콘 층의 제 2 부분 전체를 금속 실리사이드로 변환시키는 단계를 포함하는
    반도체 디바이스 제조 방법.
  9. 제 8 항에 있어서,
    상기 n-형 금속층은 하프늄, 지르코늄, 티타늄, 탄탈, 알루미늄, 금속 탄화물 및 알루미나이드(aluminide)로 구성된 그룹에서 선택된 재료를 포함하는
    반도체 디바이스 제조 방법.
  10. 제 8 항에 있어서,
    상기 금속 실리사이드는 니켈 실리사이드, 코발트 실리사이드 및 티타늄 실리사이드로 구성된 그룹에서 선택되는
    반도체 디바이스 제조 방법.
  11. 제 8 항에 있어서,
    상기 n-형 금속층은 약 3.9eV와 약 4.2eV 사이의 일함수를 갖고, 상기 금속 실리사이드는 약 4.3eV와 약 4.8eV 사이의 일함수를 갖는
    반도체 디바이스 제조 방법.
  12. 제 8 항에 있어서,
    상기 폴리실리콘 층의 제 2 부분은 p-형 폴리실리콘 층이고, 상기 폴리실리콘 층의 제 1 부분은 상기 폴리실리콘 층의 제 2 부분에 대해 상기 폴리실리콘 층의 제 1 부분을 선택적으로 에칭하는 습식 에칭 프로세스를 사용하여 제거되는
    반도체 디바이스 제조 방법.
  13. 제 8 항에 있어서,
    상기 폴리실리콘 층의 제 2 부분 전체가 금속 실리사이드로 변환되는
    반도체 디바이스 제조 방법.
  14. 제 8 항에 있어서,
    상기 높은-k 게이트 유전층은 하프늄 산화물, 지르코늄 산화물 및 알루미늄 산화물로 구성된 그룹에서 선택되는 재료를 포함하고, 상기 배리어 층은 금속 질화물을 포함하는
    반도체 디바이스 제조 방법.
  15. 제 14 항에 있어서,
    상기 배리어 층은 티타늄 질화물 및 탄탈 질화물로 구성된 그룹에서 선택되 는 재료를 포함하는
    반도체 디바이스 제조 방법.
  16. 반도체 디바이스에 있어서,
    기판 상에 형성된 높은-k 게이트 유전층과,
    상기 높은-k 게이트 유전층 상에 형성된 배리어 층과,
    상기 배리어 층 상에 형성된 완전히 실리사이드된 게이트 전극을 포함하는
    반도체 디바이스.
  17. 제 16 항에 있어서,
    상기 배리어 층은 금속 질화물을 포함하고, 상기 게이트 전극은 니켈 실리사이드, 코발트 실리사이드 및 티타늄 실리사이드로 구성된 그룹에서 선택된 금속 실리사이드를 포함하는
    반도체 디바이스.
  18. 제 17 항에 있어서,
    상기 높은-k 게이트 유전층은 하프늄 산화물, 지르코늄 산화물 및 알루미늄 산화물로 구성된 그룹에서 선택되는 재료를 포함하고, 상기 배리어 층은 티타늄 질화물 및 탄탈 질화물로 구성된 그룹에서 선택된 재료를 포함하는
    반도체 디바이스.
  19. 제 16 항에 있어서,
    상기 완전히 실리사이드된 게이트 전극은 PMOS 게이트 전극을 포함하고, 금속 NMOS 게이트 전극을 더 포함하는
    반도체 디바이스.
  20. 제 19 항에 있어서,
    상기 금속 NMOS 게이트 전극은 약 100Å과 약 2,000Å 사이의 두께를 갖고, 약 3.9eV와 약 4.2eV 사이의 일함수를 가지며, 하프늄, 지르코늄, 티타늄, 탄탈, 알루미늄, 금속 탄화물 및 알루미나이드로 구성된 그룹에서 선택된 재료를 포함하고,
    상기 PMOS 게이트 전극은 약 100Å과 약 2,000Å 사이의 두께를 갖고, 약 4.3eV와 약 4.8eV 사이의 일함수를 갖는
    반도체 디바이스.
KR1020077007428A 2004-11-02 2005-11-02 반도체 디바이스 및 그 제조 방법 KR20070050494A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/980,522 2004-11-02
US10/980,522 US20060094180A1 (en) 2004-11-02 2004-11-02 Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US11/242,807 2005-10-03
US11/242,807 US20060091483A1 (en) 2004-11-02 2005-10-03 Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode

Publications (1)

Publication Number Publication Date
KR20070050494A true KR20070050494A (ko) 2007-05-15

Family

ID=35788254

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007428A KR20070050494A (ko) 2004-11-02 2005-11-02 반도체 디바이스 및 그 제조 방법

Country Status (7)

Country Link
US (1) US20060091483A1 (ko)
JP (1) JP5090173B2 (ko)
KR (1) KR20070050494A (ko)
DE (1) DE112005002350B4 (ko)
GB (1) GB2433839B (ko)
TW (1) TWI315093B (ko)
WO (1) WO2006050517A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008135726A (ja) * 2006-10-23 2008-06-12 Interuniv Micro Electronica Centrum Vzw 主電極を含むドープされた金属を含む半導体装置
EP1916706B1 (en) * 2006-10-23 2016-08-31 Imec Method for forming a semiconductor device and semiconductor device thus obtained
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US20100013009A1 (en) * 2007-12-14 2010-01-21 James Pan Structure and Method for Forming Trench Gate Transistors with Low Gate Resistance
US7776680B2 (en) * 2008-01-03 2010-08-17 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate
DE102008049723B4 (de) * 2008-09-30 2012-01-26 Advanced Micro Devices, Inc. Transistor mit eingebettetem Si/Ge-Material mit einer besseren substratüberspannenden Gleichmäßigkeit
JP2010129978A (ja) * 2008-12-01 2010-06-10 Rohm Co Ltd 半導体装置の製造方法
US7838356B2 (en) * 2008-12-31 2010-11-23 Texas Instruments Incorporated Gate dielectric first replacement gate processes and integrated circuits therefrom
JP2010245433A (ja) * 2009-04-09 2010-10-28 Panasonic Corp 半導体装置及びその製造方法
US8258589B2 (en) * 2010-02-17 2012-09-04 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US8518811B2 (en) * 2011-04-08 2013-08-27 Infineon Technologies Ag Schottky diodes having metal gate electrodes and methods of formation thereof
RU2504861C1 (ru) * 2012-06-05 2014-01-20 Федеральное государственное бюджетное учреждение науки Физико-технологический институт Российской академии наук Способ изготовления полевого нанотранзистора с контактами шоттки с укороченным управляющим электродом нанометровой длины
US9892924B2 (en) * 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
CN113013250B (zh) * 2021-02-24 2022-08-26 北京大学 一种场效应晶体管及其制备方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6265749B1 (en) * 1997-10-14 2001-07-24 Advanced Micro Devices, Inc. Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant
US20020197790A1 (en) * 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US6339246B1 (en) * 1998-12-11 2002-01-15 Isik C. Kizilyalli Tungsten silicide nitride as an electrode for tantalum pentoxide devices
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6602781B1 (en) * 2000-12-12 2003-08-05 Advanced Micro Devices, Inc. Metal silicide gate transistors
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20020056260A (ko) * 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US6365450B1 (en) * 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6891231B2 (en) * 2001-06-13 2005-05-10 International Business Machines Corporation Complementary metal oxide semiconductor (CMOS) gate stack with high dielectric constant gate dielectric and integrated diffusion barrier
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6620713B2 (en) * 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
KR100502407B1 (ko) * 2002-04-11 2005-07-19 삼성전자주식회사 고유전막과 높은 도전성의 전극을 갖는 게이트 구조체 및그 형성 방법
JP2003332565A (ja) * 2002-05-08 2003-11-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7081409B2 (en) * 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
DE10234931A1 (de) * 2002-07-31 2004-02-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Metallsilizidgates in einer standardmässigen MOS-Prozesssequenz
US6770568B2 (en) * 2002-09-12 2004-08-03 Intel Corporation Selective etching using sonication
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) * 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP4209206B2 (ja) * 2003-01-14 2009-01-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP2004356168A (ja) * 2003-05-27 2004-12-16 Seiko Epson Corp 半導体装置及びその製造方法
US7105889B2 (en) * 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US7279413B2 (en) * 2004-06-16 2007-10-09 International Business Machines Corporation High-temperature stable gate structure with metallic electrode
US8178902B2 (en) * 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof

Also Published As

Publication number Publication date
DE112005002350T5 (de) 2007-09-20
JP2008518487A (ja) 2008-05-29
TWI315093B (en) 2009-09-21
GB0705315D0 (en) 2007-04-25
JP5090173B2 (ja) 2012-12-05
US20060091483A1 (en) 2006-05-04
DE112005002350B4 (de) 2010-05-20
GB2433839B (en) 2010-05-26
WO2006050517A1 (en) 2006-05-11
TW200629476A (en) 2006-08-16
GB2433839A (en) 2007-07-04

Similar Documents

Publication Publication Date Title
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US7153784B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7153734B2 (en) CMOS device with metal and silicide gate electrodes and a method for making it
US7126199B2 (en) Multilayer metal gate electrode
US7229873B2 (en) Process for manufacturing dual work function metal gates in a microelectronics device
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
TWI242262B (en) Integrating n-type and p-type metal gate transistors
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7390709B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
US20050272191A1 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
WO2006020158A2 (en) Planarizing a semiconductor structure to form replacement metal gates
WO2006017190A1 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
WO2005112110A1 (en) A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7192856B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US20060094180A1 (en) Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
CN111048419A (zh) 制造半导体装置的方法
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
JP2013055274A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
E801 Decision on dismissal of amendment
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20090818

Effective date: 20110323