KR20060107759A - 아산화질소를 사용하는 에치백 프로세스 - Google Patents

아산화질소를 사용하는 에치백 프로세스 Download PDF

Info

Publication number
KR20060107759A
KR20060107759A KR1020067008589A KR20067008589A KR20060107759A KR 20060107759 A KR20060107759 A KR 20060107759A KR 1020067008589 A KR1020067008589 A KR 1020067008589A KR 20067008589 A KR20067008589 A KR 20067008589A KR 20060107759 A KR20060107759 A KR 20060107759A
Authority
KR
South Korea
Prior art keywords
organic
layer
plug
reactor
gas
Prior art date
Application number
KR1020067008589A
Other languages
English (en)
Other versions
KR101276043B1 (ko
Inventor
라오 아나프라가다
헬렌 주
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060107759A publication Critical patent/KR20060107759A/ko
Application granted granted Critical
Publication of KR101276043B1 publication Critical patent/KR101276043B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

비아 내에 유기 플러그를 생성하는 방법이 개시된다. 비아는 실리콘 함유 유전체 재료를 갖는 집적 회로 (IC) 구조에 존재한다. 유기 플러그를 생성하는 방법은 바닥 반사방지 코팅과 같은 유기 화합물을 도포하는 단계를 포함한다. 유기 화합물은 비아를 채운다. 그 후 이 방법은 반응기 내로 아산화질소 (N2O) 가스를 공급하고 반응기에서 플라즈마를 생성한다. 비아를 채우기 위한 유기 플러그를 남기고 유기 화합물의 상당 부분이 제거된다. 유기 플러그는 통상적으로 듀얼 다마신 프로세싱 동안에 생성된다.
비아, 유기 플러그

Description

아산화질소를 사용하는 에치백 프로세스{AN ETCH BACK PROCESS USING NITROUS OXIDE}
관련 출원
본 특허 출원은 본 출원과 함께 출원되고, 본 명세서에 참조로서 인용되는 Rao Annapragada 외 저인, 유기 실리케이트 유리용 아산화질소 스트립 프로세스라고 명명되고 공동으로 양도된 미국 특허 출원 Ser. No. (대리인 번호:1052) 에 관련된 것이다.
배경
기술분야
본 발명은 반도체 제조에 관한 것이다. 보다 상세하게는, 본 발명은 IC 구조용 유기 플러그의 생성에 관한 것이다.
관련 기술의 설명
반도체 집적 회로 (IC) 제조시, 통상적으로 실리콘으로 제조된 반도체 웨이퍼 기판 상에 컴포넌트 트랜지스터와 같은 디바이스를 형성한다. 제조 프로세스 동안, 소망하는 IC를 제조하기 위해 상이한 재료가 상이한 층들 상에 증착된다. 통상적으로, 도전성 층들은 유전체 재료로 서로 절연된 패터닝된 금속 배선, 폴리실리콘 트랜지스터 게이트 등을 포함할 수도 있다. 유전체 재료는 반도체 기판 의 상이한 층들 상의 도전성 배선들을 절연하기 위해 실리콘 다이옥사이드, 즉 SiO2로 형성되어 왔다. 반도체 회로가 더 빨라지고 보다 소형화되면서, 동작 빈도가 증가하고 반도체 디바이스 내의 도전성 배선 사이의 거리가 감소하고 있다. 이는 회로에 증가된 레벨의 대한 결합 용량의 레벨을 증가시키고, 이는 반도체 디바이스의 동작을 늦추는 단점을 갖는다. 따라서, 그러한 결합 용량을 증가시키는 것에 맞서 효과적으로 도전성 배선을 절연할 수 있는 유전체 층을 사용하는 것이 중요해졌다.
일반적으로, 집적 회로의 결합 용량은 유전체층을 형성하는데 사용되는 재료의 유전 상수, 즉 k에 직접적으로 비례한다. 상술한 바와 같이, 종래 기술의 집적 회로의 유전체층은 전통적으로 약 4.0의 유전 상수를 갖는 SiO2로 형성되어 왔다. 반도체 디바이스의 배선 밀도와 동작 빈도가 증가한 결과, SiO2로 형성된 유전체층은 결합 용량 레벨의 증가를 피하는데 요구되는 정도까지 도전성 배선을 효과적으로 절연하지 않을 수도 있다.
저유전상수 유전체로서 사용되는 하나의 특정한 물질은 유기실리케이트 유리 (OSG) 이다. OSG는 스핀-온 또는 CVD법 중 하나에 의해 증착될 수 있는 저유전상수 재료이다. 통상적인 OSG k 값은 2.6 내지 2.8이다. 또한, 다공성 OSG (pOSG) 는 저유전상수 애플리케이션에 사용될 수 있다. 통상적으로, pOSG와 같은 다공성 재료는 스핀-온 법을 사용하여 소망하는 기공 구조를 제공하는 용매의 증발을 제어하여 도포된다.
통상적으로, 저유전상수 재료는 구리 듀얼 다마신 프로세스를 사용하여 IC 제조에 통합된다. 듀얼 다마신 구조는 배선용 트렌치와 비아용 홀을 생성하는 에칭 프로세스를 사용한다. 비아와 트렌치는 금속화되어 상호접속 배선을 형성한다. 2가지의 널리 공지된 듀얼 다마신 설계는 비아 우선 순서 및 트렌치 우선 순서로 지칭된다.
듀얼 다마신 프로세스 동안, 비아는 패싯 (facet) 형성 및 펜스 형성으로부터 보호되어야 한다. 비아를 이러한 문제들로부터 보호하기 위해서, 유기 플러그를 사용한다. 유기 플러그를 생성하는 프로세스는 IC 구조에 영향을 거의 또는 전혀 주지 않아야 한다. 따라서, OSG 유전체 재료를 갖는 IC 구조에 대해서, 유기 플러그의 생성은 OSG층에 대해 영향을 거의 또는 전혀 주지 않는다.
요약
비아 내에 유기 플러그를 생성하는 방법을 설명한다. 비아는 실리콘계 유전체 재료를 갖는 집적 회로 (IC) 구조에 존재한다. 예시적인 실시예에서, 비아는 제 1 포토레지스트층, 제 2 중간층, 및 제 3 유기실리케이트 유리 (OSG) 층을 갖는 IC 구조 내에 존재한다. 제 2 중간층은 캡층과 하드마스크층을 포함할 수도 있다.
유기 플러그를 생성하는 방법은 IC 구조에 바닥 반사방지 코팅 (BARC) 과 같은 유기 화합물을 도포하는 단계를 포함한다. 유기 화합물은 비아를 채운다. 이 방법은 그 후 반응기에 아산화질소 (N2O) 가스를 공급하고 반응기 내에 플라즈마 를 생성하는 단계로 진행한다. 비아를 채우기 위한 유기 플러그를 남기고 유기 화합물의 상당 부분이 제거된다. 유기 화합물을 제거하는 프로세스는 또한 "에치백" 프로세스라고 지칭된다. 유기 재료의 제거를 제어하기 위해서, 애싱 속도 제어를 야기하는 N2O 가스의 농도를 사용한다. 또한, 희가스와 같은 희석제를 더욱 바람직한 에칭 속도를 달성하기 위해 N2O 가스와 함께 사용할 수도 있다.
IC 구조를 에칭 및/또는 스트립하는데 사용된 동일한 반응기에서 유기 플러그를 생성할 수도 있다. 통상적으로 비아 우선 듀얼 다마신 프로세스 및 트렌치 우선 듀얼 다마신 프로세스를 포함하는 듀얼 다마신 프로세싱 동안에 유기 플러그가 생성된다.
도면의 간단한 설명
본 발명의 예시적인 실시형태를 첨부한 도면에 도시한다.
도 1은 포토레지스트층과 OSG층을 갖는 IC 구조로부터 포토레지스트를 제거할 수 있는 예시적인 장치를 도시한다.
도 2는 비아 우선 듀얼 다마신 프로세스에서 포토레지스트를 스트립하는 플로우 차트이다.
도 3a 내지 도 3h는 도 2의 플로우 차트의 비아 에칭 및 스트립 순서의 등각도이다.
도 4는 트렌치 우선 듀얼 다마신 프로세스에서 포토레지스트 스트립의 플로우 차트이다.
도 5a 내지 도 5h는 도 4의 플로우 차트의 트렌치 에칭 및 스트립 순서의 등각도이다.
상세한 설명
하기 상세한 설명에서, 본 발명의 일부를 구성하고 구체적인 실시형태에 의해서 도시하는 첨부한 도면을 참조한다. 이들 실시형태를 당업자가 본 발명을 실시하기에 충분히 상세하게 설명하고, 본 청구범위의 정신과 범주를 일탈하지 아니하고 다른 실시형태가 사용될 수도 있으며, 논리적 및 전기적 변경이 가능하다는 것을 이해할 것이다. 따라서, 하기 상세한 설명은 한정적인 의미로 이해하면 안된다. 다수의 도면에 나타나는 동일한 구성요소가 동일한 참조 부호로 식별된다는 것을 제외하고, 도면의 참조 부호의 첫 자리는 도면 번호에 대응한다.
도 1을 참조하면, OSG층을 갖는 IC 구조로 에치백 프로세스를 수행하기 위한 예시적인 시스템이 도시된다. 예시적인 시스템은 또한 하드마스크 에칭 및 유전체 에칭을 수행하도록 구성된다. 예시적인 시스템은 캘리포니아, 프레몬트의 램 리써치 코포레이션사로부터 이용가능한 200 mm EXELAN HPT 시스템과 같은 평행판 플라즈마 시스템 (100) 이다. 또한, EXELAN 2300 시리즈와 같은 램의 다른 시스템 또한 사용할 수도 있다. 시스템 100은 반응기 벽의 출구에 연결된 진공 펌프 (104) 에 의해 바람직한 진공 압력으로 유지되는 내부 (102) 를 갖는 챔버를 포함한다. 에칭 가스는 가스 공급부 (106) 로부터 가스를 공급하는 플라즈마 반응기로 공급될 수 있다. RF 소스 (108) 로부터의 RF 에너지가 매칭 네트워크 (110) 를 통해 전력 전극 (112) 으로 공급되는 듀얼 주파수 배열에 의해 중간 밀도 플라즈마가 반응기 내에서 생성될 수 있다. RF 소스 (108) 는 27 MHz 및 2 MHz에서 RF 전력을 공급하도록 구성된다. 전극 (114) 은 접지된 전극이다. 기판 (116) 은 전력 전극 (112) 에 의해 지지되고 가스를 플라즈마 상태로 에너자이징함에 의해 생성된 플라즈마에 의해 에칭 및/또는 스트립된다. 다른 용량적으로 결합된 반응기는 그 개시가 본 명세서에 참조로서 인용되고 공동소유인 미국 특허 제 6,090,304 호에 기술된 듀얼 주파수 플라즈마 에칭 반응기와 같이 양쪽 전극 모두에 RF 전력이 공급되는 반응기에 사용될 수 있다.
다른 방법으로, 플라즈마는 유도 결합 플라즈마 반응기, 전자 시클로트론 공명 (ECR) 플라즈마 반응기, 헬리콘 플라즈마 반응기 등으로 지칭되는 다양한 다른 타입의 플라즈마 반응기에서 생성될 수 있다. 그러한 플라즈마 반응기는 통상적으로 중간 내지 고밀도 플라즈마를 생성하기 위해 RF 에너지, 마이크로파 에너지, 자계 등을 사용하는 에너지 소스를 갖는다. 예를 들어, 고밀도 플라즈마는 유도 결합 플라즈마 반응기라고도 불리우는 램 리써치 코포레이션으로부터 이용가능한 트랜스포머 결합 플라즈마 에칭 반응기에서 생성될 수 있다.
도 2를 참조하면, 비아 우선 듀얼 다마신 프로세스에서 포토레지스트를 스트립하는 플로우 차트를 도시한다. 스트립 프로세스는 실리콘 함유 유전체 재료 내에서 유기 플러그를 생성하는 방법을 포함한다. 예시적인 실시예에서 실리콘 함유 유전체 재료는 OSG층이다. 예시적인 IC 구조는 제 1 유기 포토레지스트층, 제 2 중간층, 제 3 OSG층, 및 제 4 배리어층을 포함한다. 한정이 아닌 예로서, 포토레지스트층은 Shipley Company 사의 193 nm 포토레지스트 또는 248 nm 포토레지스트 등의 유기 포토레지스트이다. 예시적인 제 2 중간층은 실리콘 다이옥사이드 (SiO2), 실리콘 옥시 나이트라이드 (SiON), 및 임의의 그러한 실리콘 및 산소 함유 재료와 같은 캡 재료로 구성된 캡층이다. 캡층은 포토레지스트층의 재작업 동안 OSG층을 보호한다. 제 3층은 OSG층이며 캘리포니아 산 호세의 Novellus Systems의 CORALTM, 캘리포니아 산타 클라라의 Applied Materials의 BLACK DIAMONDTM, 또는 임의의 다른 그러한 OSG 재료와 같은 재료를 포함할 수도 있다. 또한, OSG 재료가 다공성 OSG (pOSG) 재료일 수도 있다는 것을 당업자는 이해할 것이다. 한정이 아닌 예로서, pOSG는 30%가 넘는 보이드 공간을 가질 수도 있다. 예시적인 제 4 배리어층은 실리콘 나이트라이드 (Si3N4), 실리콘 카바이드 (SiC), 또는 임의의 다른 그러한 실리콘계 재료로 구성된다. 배리어층은 구리 확산으로부터 보호를 제공한다.
도 2의 플로우 차트는 제 2 중간층이 캡층인 IC 구조 내에 비아가 에칭되는 비아 우선 에칭 순서를 수행하는 방법을 설명한다. 상술한 바와 같이, 이 방법은 비아를 보호하기 위해서 유기 플러그를 생성하는 단계를 포함한다. 이 방법은 IC 구조가 예시적인 반응기 (100) 에 위치되는 프로세스 블록 202에서 시작한다. IC 구조는 비아 패터닝된 포토레지스트층을 포함한다. 당업자는 패터닝된 비아 또는 트렌치의 생성이 제 1 포토레지스트층 상에 포토리소그래피가 완료되었음을 의미하는 것을 이해할 것이다. 당업계에 널리 공지된 바와 같이, 포 토리소그래피는 제어된 광 소스에 베이킹되고 노광되는 감광성 포토레지스트를 사용한다. 광은 소망하는 패턴을 전사하는 레티클을 통해 통과한다.
블록 204에서 제 2 캡층, 및 제 3 OSG층에 비아를 에칭한다. 한정이 아닌 예로서, 비아는 배리어층까지 에칭된다. 블록 206에서, N2O가 반응 챔버에 공급되고 플라즈마가 생성된다. 블록 208에서, 플라즈마는 IC 구조로부터 포토레지스트를 스트립하고 이산화탄소 (CO2) 와 같은 휘발성 부산물을 생성한다. 포토레지스트를 스트립하는 방법에 대한 보다 상세한 논의는 본 출원과 함께 출원되고 본 명세서에 참조로서 인용되는 "유기실리케이트 유리용 아산화질소 스트립 프로세스" 라는 명칭의 특허 출원에 제공된다.
이 방법은 그 후 비아용 유기 플러그를 형성한다. 유기 플러그는 비아 패싯 형성을 방지하고 트렌치 에칭 프로세스 동안 배리어층을 보존하기 위해 사용된다. 프로세스 블록 210에서, 유기 플러그는 유기 스핀-온 기법을 사용하여 유기 재료를 도포함에 의해 형성된다. 한정이 아닌 예로서, 유기 재료는 바닥 반사방지 코팅 (BARC) 이다. 다른 방법으로, 유기 재료는 반사방지 코팅 (ARC) 일 수도 있다. 예시적인 유기 BARC 및 ARC는 광을 흡수하도록 디자인된다.
블록 212에서, N2O는 하기의 예시적인 프로세스 파라미터를 사용하는 예시적인 반응기 (100) 에 공급된다. 블록 214에서, N2O 가스는 에너자이징되고 BARC 또는 유기 재료가 에치백되어 소망하는 "유기 플러그" 를 제조한다. 한정이 아닌 예로서, 유기 플러그는 소망하는 트렌치 높이와 같거나, 또는 소망하는 트렌치 높이를 초과하는 플러그 높이를 갖는다. 플러그 높이는 펜스 형성을 방지하고 비아 패싯 형성을 방지하기에 충분한 높이이어야 한다.
본 개시의 혜택을 얻는 당업자는, 예시적인 실시예가 OSG층 내에 유기 플러그를 생성하는 단계를 기술하지만, 유기 플러그는 실리콘 다이옥사이드 (SiO2) , 불소 실리케이트 유리 (FSG), 및 다른 그러한 실리콘 함유 유전체 재료와 같은 다른 널리 공지된 실리콘 함유 유전체 재료로 형성될 수 있다는 것을 이해할 것이다. 또한, 본 개시의 혜택을 얻는 당업자는 예시적인 중간 캡층이 유기 플러그를 효과적으로 생성하지 않아도 된다는 것을 이해할 것이다.
보다 넓은 실시형태에서, 프로세싱 파라미터의 범위는 10 내지 1000 mTorr의 동작 압력, 0 내지 1000 W의 RF 전력에 대한 전력 범위, 및 50 내지 2000 sccm의 N2O 유량에서 실시될 수도 있다. 27 MHz 및 2 MHz 이상에서 RF 전력을 공급하도록 이루어지는 RF 소스를 갖는 좁은 실시형태에서, 프로세싱 파라미터의 범위는 50 내지 600 mTorr의 동작 압력, 27 MHz RF 전력에 대해서는 50 내지 200 W, 2MHz RF 전력에 대해서는 50 내지 200 W, 및 150 내지 1000 sccm의 N2O 유량에서 실시될 수도 있다. 200 mm 웨이퍼 또는 기판에 적용되는 보다 좁은 실시형태에서, 프로세싱 파라미터의 범위는 100 내지 350 mTorr의 동작 압력, 27 MHz RF 전력에 대해서는 100 내지 150 W, 2 MHz RF 전력에 대해서는 100 내지 150 W, 및 100 내지 500 sccm의 N2O 유량에서 실시될 수도 있다. 또한, 비활성가스는 상술한 실시형태의 각각에 대해서 희석제로서 사용될 수도 있다. 한정이 아닌 예로서, 비활성 가 스는 아르곤, 헬륨, 네온, 크립톤 및 크세논과 같은 희가스를 포함할 수도 있다. 비활성 가스는 유기 플러그에 대한 에칭 속도를 제어하는데 사용될 수도 있다.
예시적인 시스템 100 으로 예시적인 유기 BARC를 에치백하기 위해 N2O를 사용하는 복수의 상이한 프로세스 파라미터들을 표 1에 나타낸다. 표 1의 유기 애싱 속도는 유기 포토레지스트에 대한 것이다. 그러나, 당업자는 예시적인 BARC 애싱 속도는 포토레지스트에 대한 스트립 속도와 유사하다는 것을 이해할 것이다.
표 1. N2O로 유기 화합물을 스트립하기 위한 예시적인 프로세스 파라미터
Figure 112006031308678-PCT00001
표 1에서, 다수의 상이한 "실시"에 대한 프로세스 파라미터들을 나타낸다. 실시는 20℃에서 200 mm 웨이퍼 상에 수행하였다. 각각의 실시에서, 압력, 전력 및 N2O 유량이 조정된다. 그 결과의 유기 애싱 스트립 속도를 표 1의 가장 우측에 나타낸다.
당업자는 표 1의 예시적인 가스 혼합물에 대한 최적의 유량과 비율이 플라즈마 에칭 챔버의 타입, 기판 사이즈, 및 당업자에게 널리 공지된 다른 변수에 따라 변할 수도 있다는 것을 이해할 것이다. 또한, 당업자는 본 발명을 실시하는데 사용되는 온도, 전력 레벨 및 가스 압력의 선택은 광범위하게 변할 수 있으며 본 명세서에 구체화된 것들은 한정이 아닌 예로서 주어진 것이라는 것을 이해할 것이다.
예시적인 BARC의 일부를 제거하려는 목적으로, BARC의 스트립에 대한 낮은 애싱 속도를 달성하는 것이 바람직하다. 낮은 애싱 속도는 플러그 높이의 상당한 제어를 가능하게 한다. 예시적인 실시형태에서, 플러그 높이는 플러그 높이가 OSG/증간층 계면보다 낮도록 제어된다. 따라서, 포토레지스트 에칭 속도 프로세스와 다르게, 에치백 프로세스는 통상적으로 포토레지스트 스트립 프로세스에 대응하는 속도보다 낮은 스트립 속도를 갖는다. 유기 플러그 재료층과 제 2 캡층 사이에는 높은 선택비가 존재한다.
블록 216에서, 다른 포토레지스트층이 도포된다. 포토레지스트는 트렌치 에칭 순서를 위해 패터닝된다. 그 후, 이 방법은 트렌치가 제 2 캡층과 제 3 OSG층에 에칭되는 블록 218로 진행한다. 블록 220에서, N2O가 다시 공급된다. 블록 222에서, N2O가 에너자이징되고 유기 플러그와 포토레지스트층이 모두 제거된다. 본 명세서와 함께 출원되고 본 명세서에 참조로서 인용되는 "유기실리케이트 유리용 아산화질소 스트립 프로세스"라는 명칭의 특허 출원에서 확인되는 특허 출원에 기술된 프로세스 파라미터들에 따라 N2O가 공급되고 에너자이징된다.
표 2에 따르면, 에치백 프로세스 동안에 사용될 수도 있는 다양한 다른 가스 들과 비교하여 N2O 스트립 프로세스를 나타낸다. 한정이 아닌 예로서, 다른 가스들 또는 다른 혼합물들은 암모니아 (NH3), 산소 (O2), 및 질소와 수소 (N2/H2) 가스 혼합물을 포함한다. 가스의 비교 동안에 푸리에 변환 적외선 (FTIR) 스펙트로포토미터가 예시적인 OSG 재료의 변화를 검출하는데 사용되었다. 비교를 위해, 블랭킷 CORALTM 웨이퍼를 예시적인 OSG 재료로서 사용하였다. 실험 동안, CORALTM 웨이퍼를 다른 가스들에 노출시켜서 Si-C 피크 대 SiO 피크의 비율의 변화를 결정한다. Si-C 및 SiO 피크는 OSG 재료에 대한 변화를 나타낸다.
표 2. 다양한 가스들의 비교
Figure 112006031308678-PCT00002
표 2는 N2O가 SiC/SiO 비율에서 가장 낮은 변화를 유발한다는 것, 즉 OSG 재료가 N2O 스트립에 의해 가장 작은 영향을 받는다는 것을 나타낸다. N2O 스트립 속도는 NH3 스트립 속도와 비슷하지만, N2O 스트립은 NH3 스트립보다 훨씬 낮은 전력 수요로 동작한다. 또한, N2O 스트립은 NH3 스트립과 관련된 파티클 생성을 피할 수도 있다. 또한, 표 2에 나타낸 바와 같이, N2O 스트립 프로세스는 OSG 재료의 적은 산화를 유발하는, O2보다 약한 산화제이다. 산화는 OSG 재료의 k 값을 증가시키기 때문에 OSG 재료의 약한 산화가 바람직하다.
도 3a 내지 도 3h를 참조하면, 도 2의 플로우 차트의 비아 에칭과 스트립 순서의 등각도를 나타낸다. 도 3a는 제 1 유기 포토레지스트 (302), 제 2 중간 캡층 (304), 제 3 OSG층 (306), 제 4 배리어층 (308) 을 갖는 예시적인 IC 구조의 등각도를 나타낸다. 상술한 바와 같이, 예시적인 IC 구조는 예시적인 반응기 (100) 에 위치된다. 도 3b는 비아 (310) 가 제 2 캡층 (304) 과 제 3 OSG층 (306) 에 에칭되는 프로세스 204의 비아 우선 에칭을 수행한 후의 예시적인 IC 구조를 나타낸다.
도 3c에서, 프로세스 206으로부터 N2O를 공급하고, 프로세스 308로부터 포토레지스트층 (302) 을 스트립한 후의 예시적인 IC 구조를 도시한다. 잔류 IC 구조는 특히 가시성 캡층 (304) 및 비아 (310) 를 포함한다.
도 3d를 참조하면, 프로세스 블록 (210) 에 기술한 BARC (312) 와 같은 유기 재료를 추가한 후의 예시적인 IC 구조를 나타낸다. 도 3e에서, 유기 플러그 (314) 를 비아 (310) 내에 도시한다. 유기 플러그 (314) 는 블록 212와 블록 214에 기술한 바와 같이 N2O를 공급하고 유기 플러그 (314) 를 에치백함으로써 생성된다. 도 3f에서, 블록 216에 기술한 바와 같이 한 층의 포토레지스트 (316) 를 추가한 후의 IC 구조를 도시한다. 포토레지스트 (316) 를 트렌치 에칭을 위해 패터닝한다.
그 후, 트렌치 에칭 프로세스 (218) 에 따라 도 3g에 도시한 바와 같이 트렌치 (318) 를 에칭한다. 트렌치 (318) 를 제 2 캡층 및 제 3 OSG층에 에칭한다. 유기 플러그 (314) 는 비아 (310) 의 패싯 형성을 방지한다. 도 3h에서, 블록 220과 블록 222에 기술한 N2O 스트립 프로세스를 사용하여 포토레지스트와 유기 플러그를 스트립한 후의 IC 구조를 도시한다. 유기 플러그의 제거 동안 애싱 속도는 에치백 프로세스 동안의 애싱 속도와 같게 제어될 필요는 없다. 한정이 아닌 예로서, 제어는 낮은 에칭 속도를 가짐으로써 이루어진다.
도 4를 참조하면, 트렌치 우선 듀얼 다마신 프로세스에서 포토레지스트를 스트립하는 방법에 대한 플로우 차트를 도시한다. 포토레지스트는 OSG층과 같은 실리콘 함유 유전체층을 포함하는 IC 구조에 도포된다. 예시적인 IC 구조는 제 1 유기 포토레지스트, 제 2 중간층, 제 3 OSG층, 및 제 4 배리어층을 포함한다. 포토레지스트층은 상술한 유기 포토레지스트이다. 예시적인 제 2 중간층은 실리콘 나이트라이드 (Si3N4), 탄탈륨 나이트라이드 (TaN), 티타늄 나이트라이드 (TiN), 또는 실리콘 카바이드 (SiC) 와 같은 하드마스크 재료로 이루어진 하드마스크층이다. 포토레지스트의 혜택이 없는 에칭 프로세스 동안 IC 구조가 플라즈마에 직접적으로 노출되기 때문에, 하드마스크 재료는 캡층 대신에 사용된다. 제 3층은 CORALTM, BLACK DIAMONDTM, 또는 그러한 실리콘 함유 유전체 재료와 같은 OSG층이다. 또한, OSG 재료는 상술한 바와 같이 다공성 OSG (pOSG) 재료일 수도 있다. 예시적인 제 4 배리어층은 상술한 실리콘 나이트라이드 (Si3N4), 또는 실리콘 카바이드 (SiC) 와 같은 배리어 재료로 이루어진다.
도 4의 플로우 차트는 중간 하드마스크층을 갖는 예시적인 구조에서 트렌치 에칭을 수행하기 위한 방법 (400) 을 기술한다. 이 방법은 포토레지스트 층에 패터닝된 트렌치를 갖는 예시적인 IC 구조가 예시적인 반응기 (100) 에 위치되는 프로세스 블록 402로부터 시작한다. 그 후, 이 방법은 트렌치가 제 2 중간 하드마스크층에 에칭되는 프로세스 단계 404로 진행한다.
프로세스 블록 406에서, N2O가 반응 챔버에 공급되고 플라즈마가 생성된다. 포토레지스트의 제거를 위한 동작 파라미터는 상술한 것들과 유사하다. 플라즈마는 구조로부터 포토레지스트를 스트립하고 CO2와 같은 휘발성 부산물을 생성한다. 제 1 포토레지스트층과 제 2 하드마스크층 사이에 높은 선택비가 존재한다. 또한, 제 1 포토레지스트와 제 3 OSG층 사이에 높은 선택비가 존재한다.
프로세스 블록 408에서, 제 1 포토레지스트층이 IC 구조에 공급된다. 이 다른 포토레지스트층은 비아 에칭을 위해 패터닝된다. 블록 410에서, 그 후 비아는 제 2 하드마스크층 및 제 3 OSG층에 에칭된다. 당업자는 비아 에칭을 위해 사용되는 가스들과 프로세스 파라미터들은 하드마스크 재료와 OSG 재료의 종류 등의 다양한 파라미터들에 의존한다는 것을 이해할 것이다.
블록 412에서, N2O는 상술한 프로세스 파라미터를 사용하는 예시적인 시스템 100 에 다시 공급된다. 그 후 N2O가 에너자이징될 때 플라즈마가 생성되고 비아 패터닝된 포토레지스트층은 그 후 N2O 플라즈마에 의해 스트립된다.
그 후, 이 방법은 비아용 유기 플러그를 생성하는 단계로 진행한다. 블록 414에서, 유기 플러그는 상술한 바와 같이 BARC 또는 ARC와 같은 유기 재료를 처음으로 도포함으로써 생성된다. 블록 416에서, N2O는 상술한 바와 같이 소망하는 유기 플러그를 생성하기 위해 BARC 또는 ARC를 에치백하는데 사용된다.
블록 418에서, 하드마스크층에서 미리 에칭된 트렌치 패턴은 제 3 OSG층을 트렌치 에칭하는데 사용된다. 전술한 바와 같이, 트렌치 에칭을 수행하기 위한 가스들과 프로세스 파라미터들은 하드마스크 재료 및 OSG 재료와 같은 IC 구조 등의 파라미터에 의존한다. 마지막으로, 블록 420에서, N2O 플라즈마는 유기 플러그를 제거하는데 사용된다. 유기 플러그 제거 동안의 애싱 속도는 에치백 프로세스 동안의 애싱 속도와 같게 제어될 필요가 없다. 한정이 아닌 예로서, 제어는 에치백 프로세스 동안 에칭 속도를 낮춤으로써 이루어진다.
도 5a 내지 도 5j를 참조하면, 도 4의 플로우 차트에 기술된 트렌치 에칭 및 스트립 순서 (500) 의 등각도를 나타낸다. 도 5a는 제 1 유기 포토레지스트층 (502), 제 2 중간 하드마스크층 (504), 제 3 OSG층 (506), 및 제 4 배리어층 (508)을 갖는 예시적인 IC 구조의 등각도를 도시한다. 제 1 유기 포토레지스트층은 포토레지스트층으로 패터닝된 트렌치 (510) 를 갖는다. 상술한 바와 같이, 예시적인 IC 구조는 예시적인 반응기 (100) 에 위치된다. 도 5b는 트렌치 (510) 가 제 2 하드마스크층 (504) 에 에칭되는 프로세스 404를 수행한 후의 예시적인 IC 구조를 도시한다.
도 5c에서, 프로세스 406으로부터의 N2O 가스를 챔버 (100) 에 공급한 후의 예시적인 IC 구조를 나타낸다. N2O는 플라즈마로 변환되어 포토레지스트층 (502) 을 스트립하고 트렌치 에칭된 하드마스크층 (504) 을 남긴다.
도 5d를 참조하면, 프로세스 블록 408에 기술된 바와 같이 다른 층의 포토레지스트 (12) 를 추가한 후의 예시적인 IC 구조를 도시한다. 도 5e에서, 블록 410에 기술한 바와 같이 비아 (514) 가 IC 구조로 에칭된다. 도 5f는 블록 412에 기술한 바와 같이 포토레지스트 (512) 를 제거하기 위해 다른 N2O 스트립을 수행한 후의 IC 구조를 도시한다.
유기 플러그는 블록 414에 도시한 바와 같이 BARC (516) 와 같은 유기 재료를 처음으로 도포함으로써 생성된다. 도포된 BARC (516) 를 갖는 IC 구조가 도 5g에 도시된다. 도 5h에서, 블록 416에 기술된 N2O 가스가 소망하는 유기 플러그 (518) 를 제조하기 위해 BARC (516) 를 에치백하는데 사용된다. 도 5i에서, 예시적인 실리콘 함유 유전체 재료, 즉, OSG층은 블록 418에 기술한 바와 같이 소망하는 깊이로 트렌치 에칭되었다. 마지막으로, 도 5j는 소망하는 트렌치 (510) 와 비아 (514) 를 갖는 상기 결과로 형성된 IC 구조를 도시한다. 그 결과의 IC 구조는 블록 420에 기술한 N2O 플라즈마를 가하여 유기 플러그를 제거함으로써 생성된다.
본 명세서의 설명은 많은 한정을 갖지만, 이는 청구범위의 한정으로 이해되서는 안되며 단지 본 발명의 몇몇 예시한 바람직한 실시형태의 설명을 제공하는 것이다. 당업자는 명세서를 검토하면 많은 다른 실시형태를 명백히 알 수 있을 것이다. 따라서, 본 발명의 범위는 권리를 부여받은 청구항과 균등한 전체 범위에 따라, 첨부한 청구범위에 의해 결정된다.

Claims (19)

  1. 비아 내에 유기 플러그를 생성하는 방법으로서, 상기 비아는 실리콘 함유 유전체 재료를 갖는 집적 회로 (IC) 구조에 존재하며, 상기 방법은,
    첫 번째로, 상기 비아와 상기 IC의 표면을 채우도록 이루어진 유기 화합물을 상기 IC 구조에 도포하는 단계;
    두 번째로, 반응기에 아산화질소 (N2O) 가스를 공급하는 단계;
    세 번째로, 상기 반응기에서 플라즈마를 생성하는 단계; 및
    네 번째로, 상기 유기 플러그가 상기 비아를 채우도록 상기 유기 화합물의 일부를 제거하는 단계를 포함하는, 비아 내에 유기 플러그를 생성하는 방법.
  2. 제 1 항에 있어서,
    상기 유기 화합물은 반사방지 코팅 (ARC) 인, 비아 내에 유기 플러그를 생성하는 방법.
  3. 제 1 항에 있어서,
    상기 유기 화합물은 바닥 반사방지 코팅 (BARC) 인, 비아 내에 유기 플러그를 생성하는 방법.
  4. 제 1 항에 있어서,
    상기 N2O 가스와 희석제를 믹싱함으로써 가스 혼합물을 생성하고, 상기 반응기에 상기 가스 혼합물을 공급하는 단계를 더 포함하는, 비아 내에 유기 플러그를 생성하는 방법.
  5. 제 4 항에 있어서,
    상기 희석제는 희가스인, 비아 내에 유기 플러그를 생성하는 방법.
  6. 제 1 항에 있어서,
    듀얼 다마신 프로세스 동안 수행된 복수의 단계 중 하나의 단계 동안 적용되는, 비아 내에 유기 플러그를 생성하는 방법.
  7. 제 1 항에 있어서,
    상기 실리콘 함유 유전체 재료는 유기실리케이트 유리 (OSG), 실리콘 다이옥사이드 (SiO2), 및 불소화 실리케이트 유리 (FSG) 로 이루어지는 그룹으로부터 선택되는, 비아 내에 유기 플러그를 생성하는 방법.
  8. 비아 내에 유기 플러그를 생성하는 방법으로서, 상기 비아는 제 1 포토레지스트층, 제 2 중간층 및 제 3 실리콘 함유 유전체층을 갖는 집적 회로 (IC) 구조에 존재하며, 상기 방법은,
    첫 번째로, 상기 비아와 상기 IC의 표면을 채우도록 구성된 유기 화합물을 상기 IC 구조에 도포하는 단계;
    두 번째로, 반응기에 아산화질소 (N2O) 가스를 공급하는 단계;
    세 번째로, 상기 반응기에서 플라즈마를 생성하는 단계; 및
    네 번째로, 상기 비아 내에 유기 플러그를 생성하기 위해 상기 유기 화합물의 일부를 제거하는 단계를 포함하는, 비아 내에 유기 플러그를 생성하는 방법.
  9. 제 8 항에 있어서,
    상기 유기 화합물은 반사방지 코팅 (ARC) 인, 비아 내에 유기 플러그를 생성하는 방법.
  10. 제 8 항에 있어서,
    상기 유기 화합물은 바닥 반사방지 코팅 (BARC) 인, 비아 내에 유기 플러그를 생성하는 방법.
  11. 제 8 항에 있어서,
    상기 N2O 가스와 희석제를 믹싱함으로써 가스 혼합물을 생성하고, 상기 반응기에 상기 가스 혼합물을 공급하는, 비아 내에 유기 플러그를 생성하는 방법.
  12. 제 11 항에 있어서,
    상기 희석제는 희가스인, 비아 내에 유기 플러그를 생성하는 방법.
  13. 제 8 항에 있어서,
    듀얼 다마신 프로세스 동안 수행된 복수의 단계 중 하나의 단계 동안 적용되는, 비아 내에 유기 플러그를 생성하는 방법.
  14. 제 8 항에 있어서,
    상기 실리콘 함유 유전체 재료는 유기실리케이트 유리 (OSG), 실리콘 다이옥사이드 (SiO2), 및 불소화 실리케이트 유리 (FSG) 로 이루어지는 그룹으로부터 선택되는, 비아 내에 유기 플러그를 생성하는 방법.
  15. 비아 내에 유기 플러그를 생성하는 방법으로서, 상기 비아는 제 1 포토레지스트층, 제 2 중간층 및 제 3 실리콘 함유 유전층을 갖는 집적 회로 (IC) 구조에 존재하며, 상기 방법은,
    첫 번째로, 바닥 반사방지 코팅 (BARC) 이고 상기 비아와 상기 IC의 표면을 채우도록 이루어지는 유기 화합물을, 상기 IC 구조에 도포하는 단계;
    두 번째로, 반응기에 아산화질소 (N2O) 가스를 공급하는 단계;
    세 번째로, 상기 반응기에서 플라즈마를 생성하는 단계; 및
    네 번째로, 상기 비아 내에 상기 유기 플러그를 생성하기 위해 상기 유기 화합물의 일부를 제거하는 단계를 포함하는, 비아 내에 유기 플러그를 생성하는 방법.
  16. 제 15 항에 있어서,
    상기 N2O 가스와 희석제를 믹싱함으로써 가스 혼합물을 생성하고, 상기 반응기에 상기 가스 혼합물을 공급하는 단계를 더 포함하는, 비아 내에 유기 플러그를 생성하는 방법.
  17. 제 16 항에 있어서,
    상기 희석제는 희가스인, 비아 내에 유기 플러그를 생성하는 방법.
  18. 제 16 항에 있어서,
    상기 실리콘 함유 유전체 재료는 유기실리케이트 유리 (OSG), 실리콘 다이옥사이드 (SiO2), 및 불소화 실리케이트 유리 (FSG) 로 이루어지는 그룹으로부터 선택되는, 비아 내에 유기 플러그를 생성하는 방법.
  19. 제 18 항에 있어서,
    듀얼 다마신 프로세스 동안 수행된 복수의 단계 중 하나의 단계 동안 적용되는, 비아 내에 유기 플러그를 생성하는 방법.
KR1020067008589A 2003-10-08 2004-10-05 아산화질소를 사용하는 에치백 프로세스 KR101276043B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/680,894 US6916697B2 (en) 2003-10-08 2003-10-08 Etch back process using nitrous oxide
US10/680,894 2003-10-08
PCT/US2004/032790 WO2005038906A1 (en) 2003-10-08 2004-10-05 An etch back process using nitrous oxide

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127019819A Division KR20120091472A (ko) 2003-10-08 2004-10-05 아산화질소를 사용하는 에치백 프로세스

Publications (2)

Publication Number Publication Date
KR20060107759A true KR20060107759A (ko) 2006-10-16
KR101276043B1 KR101276043B1 (ko) 2013-06-20

Family

ID=34422201

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020067008589A KR101276043B1 (ko) 2003-10-08 2004-10-05 아산화질소를 사용하는 에치백 프로세스
KR1020127019819A KR20120091472A (ko) 2003-10-08 2004-10-05 아산화질소를 사용하는 에치백 프로세스

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020127019819A KR20120091472A (ko) 2003-10-08 2004-10-05 아산화질소를 사용하는 에치백 프로세스

Country Status (7)

Country Link
US (1) US6916697B2 (ko)
EP (1) EP1673805A4 (ko)
JP (1) JP2007508697A (ko)
KR (2) KR101276043B1 (ko)
CN (1) CN100418208C (ko)
TW (1) TWI348190B (ko)
WO (1) WO2005038906A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10303206A1 (de) * 2003-01-28 2004-07-29 Zf Friedrichshafen Ag Hydrostatisches Getriebe
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US20050136666A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for etching an organic layer
DE102004042169B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
JP5089871B2 (ja) * 2005-08-25 2012-12-05 東京エレクトロン株式会社 半導体装置の製造方法
US20070224827A1 (en) * 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8075908B2 (en) * 2007-08-17 2011-12-13 Anhese Llc Apparatus and method for reducing the occurrence of post-surgical adhesions
JP2011035037A (ja) * 2009-07-30 2011-02-17 Sony Corp 回路基板の製造方法および回路基板

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01289251A (ja) * 1988-05-17 1989-11-21 Matsushita Electric Ind Co Ltd 薄膜トランジスターの製造方法
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5798909A (en) * 1995-02-15 1998-08-25 International Business Machines Corporation Single-tiered organic chip carriers for wire bond-type chips
JPH08335634A (ja) * 1995-06-08 1996-12-17 Toshiba Corp 半導体装置の製造方法
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5783493A (en) * 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5970376A (en) * 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
JP2000183040A (ja) * 1998-12-15 2000-06-30 Canon Inc 有機層間絶縁膜エッチング後のレジストアッシング方法
US6521539B1 (en) * 1999-05-03 2003-02-18 Chartered Semiconductor Manufacturing Ltd. Selective etch method for selectively etching a multi-layer stack layer
US6268294B1 (en) * 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6514860B1 (en) * 2001-01-31 2003-02-04 Advanced Micro Devices, Inc. Integration of organic fill for dual damascene process
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
KR100416596B1 (ko) * 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
US6794293B2 (en) * 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
KR20030001939A (ko) * 2001-06-28 2003-01-08 동부전자 주식회사 반도체소자의 장벽층 형성 방법 및 장치
US6498112B1 (en) * 2001-07-13 2002-12-24 Advanced Micro Devices, Inc. Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films
JP3946471B2 (ja) * 2001-07-24 2007-07-18 シャープ株式会社 半導体装置の製造方法
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
JP2003115535A (ja) * 2001-10-04 2003-04-18 Hitachi Ltd 半導体集積回路装置

Also Published As

Publication number Publication date
CN1864257A (zh) 2006-11-15
EP1673805A4 (en) 2007-07-04
US6916697B2 (en) 2005-07-12
WO2005038906A1 (en) 2005-04-28
KR20120091472A (ko) 2012-08-17
US20050079704A1 (en) 2005-04-14
KR101276043B1 (ko) 2013-06-20
JP2007508697A (ja) 2007-04-05
TW200518219A (en) 2005-06-01
EP1673805A1 (en) 2006-06-28
CN100418208C (zh) 2008-09-10
TWI348190B (en) 2011-09-01

Similar Documents

Publication Publication Date Title
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6399511B2 (en) Plasma etch process in a single inter-level dielectric etch
US6183655B1 (en) Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6670278B2 (en) Method of plasma etching of silicon carbide
US7166535B2 (en) Plasma etching of silicon carbide
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6930048B1 (en) Etching a metal hard mask for an integrated circuit structure
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
US7273566B2 (en) Gas compositions
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
KR20010112464A (ko) 저-커패시턴스 유전층 에칭 기술
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
US6647994B1 (en) Method of resist stripping over low-k dielectric material
US20050101135A1 (en) Minimizing the loss of barrier materials during photoresist stripping
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
KR101197070B1 (ko) 유기실리케이트 유리용 아산화질소 스트립 프로세스
US7479458B1 (en) Methods and apparatus for the optimization of highly selective process gases
US6969685B1 (en) Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170530

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180529

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190531

Year of fee payment: 7