KR20060030690A - Non-volatile memory device and method of forming the same - Google Patents

Non-volatile memory device and method of forming the same Download PDF

Info

Publication number
KR20060030690A
KR20060030690A KR1020040079549A KR20040079549A KR20060030690A KR 20060030690 A KR20060030690 A KR 20060030690A KR 1020040079549 A KR1020040079549 A KR 1020040079549A KR 20040079549 A KR20040079549 A KR 20040079549A KR 20060030690 A KR20060030690 A KR 20060030690A
Authority
KR
South Korea
Prior art keywords
film
gate
interlayer insulating
forming
layer
Prior art date
Application number
KR1020040079549A
Other languages
Korean (ko)
Inventor
남동욱
김경민
이광전
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040079549A priority Critical patent/KR20060030690A/en
Publication of KR20060030690A publication Critical patent/KR20060030690A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

비휘발성 메모리 소자 및 그 형성 방법을 제공한다. 이 소자는 반도체 기판 상에 차례로 적층된 터널 산화막 및 부유 게이트막; 상기 부유 게이트막의 상부면과 측벽 및 상기 반도체 기판의 일부를 덮는 게이트 층간절연막; 및 상기 게이트 층간절연막 상의 제어 게이트막을 구비하되, 상기 게이트 층간절연막은 실리콘산화질화막(SixOyNz)으로 이루어지는 것을 특징으로 한다. A nonvolatile memory device and a method of forming the same are provided. The device comprises a tunnel oxide film and a floating gate film sequentially stacked on a semiconductor substrate; A gate interlayer insulating film covering an upper surface and a sidewall of the floating gate film and a portion of the semiconductor substrate; And a control gate layer on the gate interlayer insulating layer, wherein the gate interlayer insulating layer is formed of a silicon oxynitride layer (Si x O y N z ).

게이트 층간절연막, 실리콘산화질화막Gate interlayer insulating film, silicon oxynitride film

Description

비휘발성 메모리 소자 및 그 형성 방법{Non-volatile memory device and method of forming the same}Non-volatile memory device and method of forming the same

도 1은 본 발명의 바람직한 실시예에 따른 비휘발성 메모리 소자의 단면도를 나타낸다. 1 is a cross-sectional view of a nonvolatile memory device according to a preferred embodiment of the present invention.

*도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

1: 반도체 기판 3: 터널 산화막1: semiconductor substrate 3: tunnel oxide film

5: 부유 게이트막 7: 게이트 층간절연막5: floating gate film 7: gate interlayer insulating film

9: 제어 게이트막9: control gate film

본 발명은 반도체 소자 및 그 형성 방법에 관한 것으로, 더욱 상세하게는 비휘발성 메모리 소자 및 그 형성 방법에 관한 것이다. The present invention relates to a semiconductor device and a method of forming the same, and more particularly, to a nonvolatile memory device and a method of forming the same.

비휘발성 메모리 소자는 일반적으로 차례로 적층된 터널 산화막과 부유 게이트, 상기 부유게이트의 상면과 측벽을 덮는 게이트 층간절연막 및 상기 게이트 층간절연막 상부의 제어게이트막으로 이루어진다. 상기 게이트 층간절연막으로 높은 유전율 및 소자의 신뢰도를 위해 산화막-질화막-산화막의 삼중막을 사용한다. 그러 나 종래와 같이 삼중막을 사용할 경우 수직적 높이를 190Å이하로 낮출수가 없어, 현재의 추세인 반도체 소자의 고집적화에 부적합하다. 또한 산화막을 증착한 이후에 산화막질을 견고하게 하여 누설전류등을 방지하기 위한 방편으로 매번 어닐링 공정을 진행한다. 따라서 공정이 복잡하고 많은 시간이 소요된다. A nonvolatile memory device generally includes a tunnel oxide film, a floating gate, a gate interlayer insulating film covering the top and sidewalls of the floating gate, and a control gate film on the gate interlayer insulating film, which are sequentially stacked. A triple layer of an oxide film-nitride film-oxide film is used as the gate interlayer insulating film for high dielectric constant and device reliability. However, in the case of using the triple layer as in the prior art, the vertical height cannot be lowered to 190 kW or less, which is not suitable for the high integration of current semiconductor devices. In addition, after the oxide film is deposited, the annealing process is performed every time as a means to harden the oxide film and prevent leakage current. Therefore, the process is complicated and time consuming.

따라서, 상기 문제점을 해결하기 위하여, 본 발명의 기술적 과제는 수직적 높이를 낮출수 있고, 얇은 두께로도 누설전류등을 방지할 수 있는 게이트 층간절연막을 갖는 비휘발성 메모리 소자 및 그 형성 방법을 제공하는데 있다. Accordingly, in order to solve the above problems, the technical problem of the present invention is to provide a nonvolatile memory device having a gate interlayer insulating film capable of lowering the vertical height and preventing leakage current, even at a thin thickness, and a method of forming the same. have.

상기 기술적 과제를 달성하기 위한 본 발명에 따른 비휘발성 메모리 소자는 반도체 기판 상에 차례로 적층된 터널 산화막 및 부유 게이트막; 상기 부유 게이트막의 상부면과 측벽 및 상기 반도체 기판의 일부를 덮는 게이트 층간절연막; 및 상기 게이트 층간절연막 상의 제어 게이트막을 구비하되, 상기 게이트 층간절연막은 실리콘산화질화막(SixOyNz)으로 이루어지는 것을 특징으로 한다. According to an aspect of the present invention, there is provided a nonvolatile memory device including: a tunnel oxide film and a floating gate film sequentially stacked on a semiconductor substrate; A gate interlayer insulating film covering an upper surface and a sidewall of the floating gate film and a portion of the semiconductor substrate; And a control gate layer on the gate interlayer insulating layer, wherein the gate interlayer insulating layer is formed of a silicon oxynitride layer (Si x O y N z ).

상기 또 다른 기술적 과제를 달성하기 위한 비휘발성 메모리 소자의 형성 방법은 다음과 같다. 먼저, 반도체 기판 상에 차례로 적층된 터널 산화막과 부유 게이트막을 형성한다. 상기 부유 게이트막이 형성된 상기 반도체 기판의 전면 상에 게이트 층간절연막을 형성한다. 그리고 상기 게이트 층간절연막 상에 제어게이트막을 형성한다. 상기 방법에 있어서, 상기 게이트 층간절연막은 실리콘산화질화막 (SixOyNz)으로 형성된다. A method of forming a nonvolatile memory device for achieving the another technical problem is as follows. First, a tunnel oxide film and a floating gate film that are sequentially stacked on a semiconductor substrate are formed. A gate interlayer insulating film is formed on the entire surface of the semiconductor substrate on which the floating gate film is formed. A control gate film is formed on the gate interlayer insulating film. In the method, the gate interlayer insulating film is formed of a silicon oxynitride film (Si x O y N z ).

상기 게이트 층간절연막은, 바람직하게는 질화 분위기에서 상기 부유 게이트막이 형성된 상기 반도체 기판에 대해 급속열처리 공정을 진행한 후, 산소 플라즈마 처리를 진행하여 형성된다. 바람직하게는, 상기 질화 분위기는 암모니아(NH3)가스를 10~1000sccm의 유량으로 공급하여 형성되며, 상기 급속 열처리 공정은 600~900℃의 온도와 0.5~3atm의 압력에서 진행된다. 바람직하게는, 상기 산소 플라즈마 처리는 30~500W의 RF 파워를 공급하여 400~500℃의 온도와 0.1~2torr의 압력에서 N2O 가스를 30~200sccm의 유량으로 공급하여 진행된다. The gate interlayer insulating film is preferably formed by performing an oxygen plasma treatment after performing a rapid heat treatment process on the semiconductor substrate on which the floating gate film is formed in a nitride atmosphere. Preferably, the nitriding atmosphere is formed by supplying ammonia (NH 3 ) gas at a flow rate of 10 ~ 1000sccm, the rapid heat treatment process is carried out at a temperature of 600 ~ 900 ℃ and a pressure of 0.5 ~ 3atm. Preferably, the oxygen plasma treatment is supplied by supplying an RF power of 30 ~ 500W to supply a N 2 O gas at a flow rate of 30 ~ 200sccm at a temperature of 400 ~ 500 ℃ and a pressure of 0.1 ~ 2torr.

상기 방법에 있어서, 게이트 층간절연막을 유전율이 높은 실리콘산화질화막의 단일막으로 형성하므로 커플링비를 높일 수 있고 누설전류를 방지할 수 있으며, 두께를 얇게 형성할 수 있어 수직적 높이를 낮출수 있다. 또한 산화막-질화막-산화막의 삼중막을 형성하는 종래기술에 비해 형성 과정이 간단하며 공정 시간을 단축시킬 수 있다. In the above method, since the gate interlayer insulating film is formed of a single layer of silicon oxynitride film having a high dielectric constant, the coupling ratio can be increased, the leakage current can be prevented, and the thickness can be formed thin so that the vertical height can be reduced. In addition, the formation process is simpler than the prior art of forming the triple layer of the oxide film-nitride film-oxide film and can shorten the process time.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 층이 다른 층 또는 기판 상에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 층이 개재될 수도 있다. 도면에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein but may be embodied in other forms. Rather, the embodiments introduced herein are provided to ensure that the disclosed subject matter is thorough and complete, and that the spirit of the present invention to those skilled in the art will fully convey. If it is mentioned that the layer is on another layer or substrate it may be formed directly on the other layer or substrate or a third layer may be interposed therebetween. In the drawings, the thicknesses of layers and regions are exaggerated for clarity. Portions denoted by like reference numerals denote like elements throughout the specification.

도 1은 본 발명의 바람직한 실시예에 따른 비휘발성 메모리 소자의 단면도를 나타낸다. 1 is a cross-sectional view of a nonvolatile memory device according to a preferred embodiment of the present invention.

도 1을 참조하면, 반도체 기판(1) 상에 터널 산화막(3)을 형성한다. 상기 터널 산화막(3)은 예를 들면 열산화막으로 형성될 수 있다. 상기 터널산화막(3) 상에 부유게이트막(5)을 형성한다. 상기 부유 게이트막(5)은 예를 들면 저압화학기상증착(Low pressure chemical vapor deposition) 방법에 의해 폴리실리콘막으로 형성될 수 있다. 상기 부유 게이트막(5)과 상기 터널 산화막(3)을 차례로 패터닝하여 상기 반도체 기판(1)을 노출시킨다. Referring to FIG. 1, a tunnel oxide film 3 is formed on a semiconductor substrate 1. The tunnel oxide film 3 may be formed of, for example, a thermal oxide film. The floating gate film 5 is formed on the tunnel oxide film 3. The floating gate layer 5 may be formed of a polysilicon layer by, for example, a low pressure chemical vapor deposition method. The floating gate film 5 and the tunnel oxide film 3 are sequentially patterned to expose the semiconductor substrate 1.

계속해서, 상기 반도체 기판(1)의 전면 상에 게이트 층간절연막(7)을 형성한다. 상기 게이트 층간절연막(7)은 실리콘산화질화막(SixOyNz)으로 형성된다. 구체적으로, 먼저 상기 반도체 기판(1)의 전면에 대해 암모니아를 10~1000sccm의 유량으로 공급하여 형성된 질화분위기에서 상압 및 600~700℃의 온도에서 급속 열처리 공정을 진행하여 상기 반도체 기판(1)의 전면에 실리콘질화막(Si3N4)을 형성한다. 그리고, 30~500W의 RF 파워를 공급하고 N2O 가스를 30~200sccm의 유량으로 공급하여 플라즈마를 형성하고 400~500℃의 온도와 0.1~2torr의 압력에서 상기 실리콘질화막을 산화하여 실리콘산화질화막(SixOyNz)을 형성한다. Subsequently, a gate interlayer insulating film 7 is formed on the entire surface of the semiconductor substrate 1. The gate interlayer insulating film 7 is formed of a silicon oxynitride film (Si x O y N z ). Specifically, first, a rapid heat treatment process is performed at atmospheric pressure and a temperature of 600 to 700 ° C. in a nitride atmosphere formed by supplying ammonia to the entire surface of the semiconductor substrate 1 at a flow rate of 10 to 1000 sccm. Silicon nitride film (Si3N4) is formed on the entire surface. Then, supply 30 to 500 W of RF power and supply N 2 O gas at a flow rate of 30 to 200 sccm to form a plasma, and oxidize the silicon nitride film at a temperature of 400 to 500 ° C. and a pressure of 0.1 to 2 torr. (Si x O y N z ).

후속으로 상기 게이트 층간절연막(7) 상에 예를 들면 저압화학기상증착방법으로 인이 도핑된 폴리실리콘으로 제어게이트막(9)을 형성한다. 상기 제어게이트막(9), 상기 게이트 층간절연막(7), 상기 부유게이트막(5) 및 상기 터널게이트막(3)을 차례로 패터닝하여 비휘발성 메모리 게이트 패턴을 형성한다.Subsequently, the control gate layer 9 is formed of polysilicon doped with phosphorus, for example, by a low pressure chemical vapor deposition method on the gate interlayer insulating layer 7. The control gate layer 9, the gate interlayer insulating layer 7, the floating gate layer 5, and the tunnel gate layer 3 are sequentially patterned to form a nonvolatile memory gate pattern.

상기 방법에 있어서, 게이트 층간절연막을 유전율이 높은 실리콘산화질화막의 단일막으로 형성하므로 커플링비를 높일 수 있고 누설전류를 방지할 수 있으며, 두께를 얇게 형성할 수 있어 수직적 높이를 낮출수 있다. 또한 산화막-질화막-산화막의 삼중막을 형성하는 종래기술에 비해 형성 과정이 간단하며 공정 시간을 단축시킬 수 있다. In the above method, since the gate interlayer insulating film is formed of a single layer of silicon oxynitride film having a high dielectric constant, the coupling ratio can be increased, the leakage current can be prevented, and the thickness can be formed thin so that the vertical height can be reduced. In addition, the formation process is simpler than the prior art of forming the triple layer of the oxide film-nitride film-oxide film and can shorten the process time.

Claims (7)

반도체 기판 상에 차례로 적층된 터널 산화막 및 부유 게이트막;A tunnel oxide film and a floating gate film sequentially stacked on the semiconductor substrate; 상기 부유 게이트막의 상부면과 측벽 및 상기 반도체 기판의 일부를 덮는 게이트 층간절연막; 및A gate interlayer insulating film covering an upper surface and a sidewall of the floating gate film and a portion of the semiconductor substrate; And 상기 게이트 층간절연막 상의 제어 게이트막을 구비하되,A control gate layer on the gate interlayer insulating layer, 상기 게이트 층간절연막은 실리콘산화질화막(SixOyNz)으로 이루어지는 것을 특징으로 하는 비휘발성 메모리 소자.And the gate interlayer insulating layer is formed of a silicon oxynitride layer (Si x O y N z ). 반도체 기판 상에 차례로 적층된 터널 산화막과 부유 게이트막을 형성하는 단계;Forming a tunnel oxide film and a floating gate film sequentially stacked on the semiconductor substrate; 상기 부유 게이트막이 형성된 상기 반도체 기판의 전면 상에 게이트 층간절연막을 형성하는 단계; 및Forming a gate interlayer insulating film on an entire surface of the semiconductor substrate on which the floating gate film is formed; And 상기 게이트 층간절연막 상에 제어게이트막을 형성하는 단계를 구비하되,Forming a control gate film on the gate interlayer insulating film; 상기 게이트 층간절연막은 실리콘산화질화막(SixOyNz)으로 형성되는 것을 특징으로 하는 비휘발성 메모리 소자의 형성 방법.The gate interlayer dielectric layer is formed of a silicon oxynitride layer (Si x O y N z ). 제 2 항에 있어서,The method of claim 2, 상기 게이트 층간절연막을 형성하는 단계는,Forming the gate interlayer insulating film, 질화 분위기에서 상기 부유 게이트막이 형성된 상기 반도체 기판에 대해 급속열처리 공정을 진행하는 단계;Performing a rapid heat treatment process on the semiconductor substrate on which the floating gate film is formed in a nitriding atmosphere; 산소 플라즈마 처리를 진행하는 단계를 구비하는 것을 특징으로 하는 비휘발성 메모리 소자의 형성 방법.And a step of performing an oxygen plasma process. 제 3 항에 있어서,The method of claim 3, wherein 상기 질화 분위기는 암모니아(NH3)가스를 10~1000sccm의 유량으로 공급하여 형성되는 것을 특징으로 하는 비휘발성 메모리 소자의 형성 방법.The nitriding atmosphere is formed by supplying ammonia (NH 3 ) gas at a flow rate of 10 ~ 1000sccm. 제 3 항에 있어서,The method of claim 3, wherein 상기 급속 열처리 공정은 600~900℃의 온도와 0.5~3atm의 압력에서 진행되는 것을 특징으로 하는 비휘발성 메모리 소자의 형성 방법.The rapid heat treatment process is a method of forming a nonvolatile memory device, characterized in that the temperature is carried out at a temperature of 600 ~ 900 ℃ and a pressure of 0.5 ~ 3atm. 제 3 항에 있어서,The method of claim 3, wherein 상기 산소 플라즈마 처리는 30~500W의 RF 파워를 공급하여 400~500℃의 온도와 0.1~2torr의 압력에서 진행되는 것을 특징으로 하는 비휘발성 메모리 소자의 형성 방법.The oxygen plasma processing is a method of forming a nonvolatile memory device, characterized in that the supply of RF power of 30 ~ 500W proceeds at a temperature of 400 ~ 500 ℃ and pressure of 0.1 ~ 2torr. 제 3 항에 있어서,The method of claim 3, wherein 상기 산소 플라즈마 처리는 N2O 가스를 30~200sccm의 유량으로 공급하여 진행되는 것을 특징으로 하는 비휘발성 메모리 소자의 형성 방법.The oxygen plasma process is performed by supplying a N 2 O gas at a flow rate of 30 ~ 200sccm.
KR1020040079549A 2004-10-06 2004-10-06 Non-volatile memory device and method of forming the same KR20060030690A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040079549A KR20060030690A (en) 2004-10-06 2004-10-06 Non-volatile memory device and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040079549A KR20060030690A (en) 2004-10-06 2004-10-06 Non-volatile memory device and method of forming the same

Publications (1)

Publication Number Publication Date
KR20060030690A true KR20060030690A (en) 2006-04-11

Family

ID=37140635

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040079549A KR20060030690A (en) 2004-10-06 2004-10-06 Non-volatile memory device and method of forming the same

Country Status (1)

Country Link
KR (1) KR20060030690A (en)

Similar Documents

Publication Publication Date Title
US7772643B2 (en) Methods of fabricating semiconductor device having a metal gate pattern
KR20130118963A (en) Plasma treatment of silicon nitride and silicon oxynitride
JP2005197643A (en) Method for manufacturing flash memory devices
KR20060100092A (en) Method for manufacturing a semiconductor device
JP2009533846A (en) Multi-step annealing of thin films for film densification and improved gap filling
US6706613B2 (en) Methods for manufacturing stacked gates including oxide/nitride/oxide (ONO) interlayer dielectrics using pre-annealing and/or post-annealing in nitrogen
JP2008010481A (en) Semiconductor device, and manufacturing method thereof
KR100456314B1 (en) Method for forming gate electrode in semiconductor deivce
US7514338B2 (en) Method of manufacturing a semiconductor device
KR100539213B1 (en) Method of forming a composite dielectric layer and method of manufacturing a semiconductor device using the same
KR100482751B1 (en) Method of manufacturing semiconductor device
KR20100112415A (en) Semiconductor device with recess gate and method for manufacturing the same
KR100580587B1 (en) Method for manufacturing semiconductor device
KR20020002266A (en) Semiconductor device and manufacturing method thereof
KR100907931B1 (en) Radical oxide film formation method and dual gate oxide film formation method using the same
KR100497474B1 (en) Method of forming gate electrode in semiconductor device
KR20060030690A (en) Non-volatile memory device and method of forming the same
KR100721203B1 (en) Semiconductor device having ternary system oxide gate insulating layer and method of fabricating the same
KR101006512B1 (en) Method for manufacturing meel device
KR100650756B1 (en) Method for forming gate of semiconductor device
KR100721202B1 (en) Semiconductor device having ternary system oxide gate insulating layer and method of fabricating the same
KR100650757B1 (en) Method for forming gate of semiconductor device
KR20070049268A (en) Method of forming a tunnel oxide
US20030003772A1 (en) Method of manufacturing semiconductor device having insulating film
KR20070067455A (en) Method of manufacturing a flash memory device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid