KR20050043713A - 고유 분해 기반 opc 모델 - Google Patents

고유 분해 기반 opc 모델 Download PDF

Info

Publication number
KR20050043713A
KR20050043713A KR1020040089990A KR20040089990A KR20050043713A KR 20050043713 A KR20050043713 A KR 20050043713A KR 1020040089990 A KR1020040089990 A KR 1020040089990A KR 20040089990 A KR20040089990 A KR 20040089990A KR 20050043713 A KR20050043713 A KR 20050043713A
Authority
KR
South Korea
Prior art keywords
aerial image
spif
generated
mask
model
Prior art date
Application number
KR1020040089990A
Other languages
English (en)
Inventor
시쑤에롱
소카로버트
레이딕토마스
첸장풍
판덴브로에크더글라스
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20050043713A publication Critical patent/KR20050043713A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

레지스트의 표면상에 마스크 패턴에 의하여 생성될 것으로 예상되는 에어리얼 이미지의 고유 분해(eigen decomposition)에 기초한 모델 OPC가 개시된다. 상기 고유 분해 방법으로 점 (x,y) 주위의 에어리얼 이미지 세기 분포가 상기 모델내에서 정확하게 기술된다. 스칼라적 접근법이, 마스크를 통과하는 광파를 스칼라량으로 취급하는 고유 분해 모델 내에 사용될 수 있다. 고유 분해는 대안적으로, 광파 및 퓨필 평면을 기술하는 벡터를 활용하는 벡터적 접근법을 사용할 수도 있다. 예측된 SPIF가 에어리얼 이미지로부터 생성되어, 실험적으로 결정된 SPIF와 상기 예측된 SPIF를 비교함으로써 마스크 모델링 프로세스를 증명하는데 사용될 수 있다. 상기 모델 OPC는, 일단 캘리브레이션되면, 마스크의 성능을 평가하고 마스크의 피처를 세련되게(refine) 하는데 사용될 수 있다.

Description

고유 분해 기반 OPC 모델{Eigen Decomposition Based OPC Model}
본 출원은 본 명세서에서 참고문헌으로 각각 채택하고 있는, 2003년 11월 5일에 출원된 "MODEL OPC IMPLEMENTATION WITH GENERALIZED ILLUMINATION"이란 제목의 가출원 제 60/517,083호 및 2004년 8월 31일에 출원된 VECTOR EIGEN DECOMPOSITION BASED OPC MODEL 이란 제목의 가출원 제 60/605,716호의 우선권의 이익을 주장한다.
본 발명의 분야는 일반적으로 타겟 마스크 패턴들에 대한 광근접성 현상을 방지하기 위한 방법, 장치 및 프로그램물에 관한 것이다. 본 발명은 보다 상세하게는, 소정의 주어진 입력 마스크 패턴에 대한 묘화 프로세스(imaging process)의 에어리얼 이미지를 시뮬레이션하는데 활용될 수 있는 묘화 프로세스의 모델을 생성하기 위한 방법, 장치 및 프로그램물에 관한 것이다.
리소그래피 장치는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는데, 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조 프로세스에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 묘화된다. 이러한 묘화 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 프로세스를 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 절차 또는 그 변형 절차가 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 격리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀 등에 접속될 수 있다. 이러한 프로세스들에 관한 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
설명을 간단히 하기 위해, 투영시스템은 이후에 "렌즈"라고 언급될 수 있다. 하지만, 이 용어는 예를 들어, 굴절 광학기, 반사 광학기 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선시스템은 방사선 투영빔의 지향, 성형 또는 제어를 하기 위한 설계유형 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이러한 구성요소들도 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 상기 리소그래피 장치는 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 장치에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 장치는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호 및 WO 98/40791호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : Computer-Aided Design) 프로그램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(전자설계 자동화 : Electronic Design Automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 미리 결정된 설계규칙의 세트를 따른다. 이들 규칙은 처리 및 설계제한에 의해 설정된다. 예를 들어, 설계규칙들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다. 통상적으로, 상기 설계규칙 제한을 "임계치수"(CD : Critical Dimensions)로 칭한다. 회로의 임계치수는 라인 또는 홀의 최소폭 또는 두개의 라인들 또는 두개의 홀들 사이의 최소간격으로 정의될 수 있다. 따라서, 상기 CD는 설계된 회로의 전체적인 크기 및 밀도를 결정한다.
물론, 집적회로 제조에서의 일 목적은, (마스크를 통해) 웨이퍼 상에 원래의 회로 디자인을 정확하게 재현하는 것이다. 또 다른 목적은, 가능한 한 실면적(real estate)이 큰 반도체 웨이퍼를 사용하는 것이다. 하지만, 집적회로의 크기가 줄어들고 그 밀도가 증가함에 따라, 그 대응하는 마스크 패턴의 CD가 광학 노광 툴의 분해능 한계에 접근하게 된다. 노광 툴의 분해능은 상기 노광 툴이 웨이퍼 상에 반복적으로 노광될 수 있는 최소 피치로 정의된다. 본 노광 설비의 분해능값은 종종 많이 진보된 IC 회로 디자인들에 대한 CD를 제약한다.
반도체 산업에서 기술이 발전함에 따라, 회로 상의 치수도 극적으로 줄어들고 있는데, 이는 이미지 품질 및 포토리소그래피 프로세스 로버스트(precess robustness)의 상당한 저하를 유발한다. 물리적인 관점에서 보면, 묘화 시스템의 개구수에 대한 노광 파장의 비율이 이미지 충실도(fidelity)를 개선하기 위하여 감소되어야만 한다. 반도체 디바이스 성능을 개선하고, 칩 기능성을 증가시키기 위하여, 칩 디자인들에서의 최소 피처 크기 및 최소 피치가 매우 공격적인 방식으로 점진적으로 감소되어 왔다. 이러한 과제들을 해결하기 위하여, 반도체 산업계는 보다 짧은 파장들과 보다 높은 개구수(NA)를 갖는 노광 툴들을 개발해 왔다. 이러한 라인을 따른 포토리소그래피 노광 툴들의 계속된 진보들은 지금까지 매우 성공적인 것으로 밝혀졌다. 193nm를 넘는 노광 파장을 더욱 줄이기 위하여, 또는 0.9를 넘는 개구수를 더욱 증가시키기 위해서는, 경제적 그리고 기술적인 큰 어려움들이 존재한다. 현 포토리소그래피 노광 툴에 부과된 이러한 한계들을 극복하기 위해서는, 진보된 포토리소그래피에서 아주 중요한 모멘텀으로 흔히 광근접성보정(OPC)이라 하는 마스크 데이터의 수정이 얻어진다. OPC는 보통 스캐터링 바아(SB)들을 적용하는 단계로 구성되는데, 그 역할은 프로세스 관용도 및 메인 피처 바이어싱을 개선하는 것이다. 스캐터링 바아들의 사용은 본 명세서에서 참고문헌으로 채택하고 있는 미국특허 제 5,242,770호에서 논의된다. 스캐터링 바아 배치 규칙들은 레지스트 효과를 고려하지 않고도 광학 묘화 이론으로부터 생성될 수 있지만, 주어진 위치에서의 마스크 데이터의 바이어싱 보정량은 상기 레지스트 효과를 고려하지 않고는 결코 정확하게 예측될 수 없다.
OPC의 오리지널 구현예는 규칙 기반인데, 주어진 프로세스에 대한 규칙 세트는 실험을 통해 또는 광학 모델링과 레지스트 모델링의 조합을 통해 개발될 수 있다. 따라서, 규칙 세트는 본질적으로, 허용된다면 SB의 배치 위치, 및 보정될 에지 주위의 이웃하는 환경이 특정화될 수 있는 경우 메인 피처에 대한 보정량을 쉽게 찾을 수 있는 룩-업 테이블이다. 규칙 기반 OPC에서 고려되는 이웃하는 환경은 1차원적이고 그 범위가 짧다. 이러한 캐릭터는 구현예의 단순성을 유도할 뿐만 아니라, 그 정확성의 한계를 본질적으로 설정하기도 한다. 130nm 기술 및 그 이상의 기술에 있어서, 규칙 기반 OPC의 부적절성이 분명해져서, 규칙 기반 OPC의 단점들을 극복할 수 있는 OPC의 신규 방법들이 필요하게 된다. 예를 들어, 신규 방법은 훨씬 더 큰 공간 스케일 상의 보정점 주위의 2차원 환경을 특정하기 위한 방법을 제공하여야만 한다.
SB를 삽입할 공간이 없는 피치를 갖는 피처들에 있어서, 광근접성보정(OPC)의 통상적인 방법은 피처 에지들을 조정하여(또는 바이어스를 적용하여), 프린트된 피처 폭이 의도된 폭에 보다 근접하게 된다. 서브-분해능 피처들 및/또는 광근접성 효과들을 최소화하는데 효과적인 피처 바이어싱을 사용하기 위해서는, 프린팅 프로세스 및 마스크 디자인에 관한 상당한 지식 뿐만 아니라 상당한 경험을 가진 조작자가 필요하여, 원하는 목표를 얻기 위해서는, 서브분해능 피처들 및/또는 피처 에지들의 조정(바이어싱)을 포함하도록 마스크 디자인을 수정하게 된다. 실제로는, 경험있는 조작자가 이러한 작업을 수행하더라도, 원하는 보정들을 얻기 위하여 서브분해능 피처들을 적절하게 위치시키기 위해서는 종종 "시행착오" 프로세스가 필요하기도 하다. 반복되는 시뮬레이션들이 뒤따르는 반복되는 마스크 교정(revision)들을 수반할 수 있는 상기 시행착오 프로세스는 시간 소비형 및 고비용 프로세스가 될 수 있다.
마스크 데이터 바이어싱에 대한 현재 구현예는 흔히 특정 포토리소그래피 프로세스 상에서 캘리브레이션된 일부 모델을 기초로 한다. 이러한 접근법은 흔히 모델 OPC를 말한다. 예를 들어, 광근접성효과(OPE)들에 대한 보정은 종종 OPE들을 보상하기 위하여 프린팅 프로세스를 "캘리브레이션"하는 시도를 수반한다. 현재 알려진 기술들은 OPC 모델에 대한 "코렐레이팅(correlating)" 소위 캘리브레이션 파라미터들을 포함하며, 이는 다양한 피처 사이트들에서 상세한 SEM CD 측정값들의 세트를 수행하는 단계를 필요로 한다. 실제 피처 형상에 관계없이, 1차원 폭 측정값들이 있다. 측정 데이터가 더 많이 수집될수록, 캘리브레이션 파라미터들의 정밀도도 더 좋아진다. 하지만, 신뢰성 있는 모델 파라미터 캘리브레이션에 있어서는, 상이한 이웃하는 환경들 하에 다양한 임계 피처 사이트들에서 수백 개를 넘는 CD 측정값들을 필요로 하는 것이 일반적이다. 이들은 노동집약적이고 시간소비형 작업이다. 설상가상으로, 측정 CD들이 어떻게 취해졌는지가 종종 조작자의 경험 레벨에 종속될 수 있으며, 이는 명백하게도 파라미터 캘리브레이션에 부정적으로 영향을 줄 수 있어, 전반적인 기술 효과를 제한하게 된다.
John P. Stirniman, Michael L. Rieger의 SPIE, Vol. 2197,(1994), 294 및 Nick Cobb, Avideh Zakhor, 및 Eugene Miloslavsky의 SPIE, Vol. 2726,(1996),208에 개시된 바와 같이, 모델 OPC를 개발하고 구현하는 몇 가지 방법들이 있다. 하지만, 이러한 모델들은 낮은 k1 포토리소그래피에서의 보다 엄격한 크기 제어 요건들을 만족시켜야 하는 더 큰 과제들에 직면하고 있다. 상기 모델들은 또한 마스크 상의 토포그래피(topography)가 매우 중요한 무크롬상리소그래피(CPL) 및 두 마스크들과 두 노광들이 필요한 더블 다이폴 리소그래피(DDL)와 같은 진보된 신규 포토리소그래피 기술들로 작업하지 못하게 되기 매우 쉽다. 이러한 문제들 이외에, 회절광학요소(DOE)들을 이용하는 고객 디자인 일루미네이터들과 같은 진보된 조명들, 이론적인 탑-햇(top-hat) 일루미네이터 프로파일들로부터의 실제 일루미네이터 프로파일들의 편차들 모두는 현재 이용가능한 모델들에 대해서는 커다란 직면과제들이 있다. 이러한 어려움들을 해결하기 위하여, 보다 충실한 물리적 및 수학적 기초들을 가진 근본적으로 상이한 모델이 개발되어야만 한다.
포토리소그래피에 대한 조명 최적화를 달성하기 위한 여러 가지 기술들이 존재한다. 다양한 마스크 최적화 기술들도 공지되어 있다. 하지만, 조명 최적화 및 마스크 최적화는 현재 일반적으로 링크되어 있지 않다. Rosenbluth 등의 미국특허 제 6,563,566호에는 마스크 투과의 최적화를 선형화하도록 시도하는 일련의 계산들을 통해 조명 최적화 및 마스크 최적화를 수행하는 것이 개시되어 있다. Rosenbluth에는 최소 NILS(normalized image log slope)를 최대화하고, 상기 계산들에 사용될 여러 제약들을 선택하는 것이 개시되어 있다. Rosenbluth는 또한 상기 계산들이 마스크의 대칭에 의존하여 제한될 수 있다는 것도 인식하고 있다. 하지만, Rosenbluth에 의해 사용된 마스크 투과의 선형화는, 실제 묘화 방정식(imaging equation) 자체 대신에, 계산들에서의 몇 가지 근사화들을 이용하는 것이 필요한데, 이는 원하는 이미지를 형성하기 위한 마스크 구현 시에 에러들을 발생시킨다. 마스크 투과의 선형화는 또한 상당한 수의 변수들의 사용을 필요로 하는데, 이는 계산들을 수행하기 위한 상당한 계산 시간을 필요로 한다. 이에 따라, 로직 피처 크기들이 감소됨에 따라, 최소 계산 시간을 갖는 원하는 이미지를 정확하게 형성하는 마스크 구현예들을 제공할 필요가 있게 된다.
종래 기술의 앞선 문제점들을 해결하기 위하여, 본 발명은 마스크 레이아웃을 나타내는 파라미터들 및 상기 마스크 레이아웃이 사용되도록 의도된 묘화 시스템의 특성들을 수용하는 단계; 에어리얼 이미지를 광학묘화시스템(optical imaging system)의 고유함수로 분해함으로써 상기 마스크 레이아웃에 의하여 레지스트 상에 생성되도록 예상되는 에어리얼 이미지 세기 분포를 결정하는 단계를 포함하는 포토리소그래피 프로세스를 모델링하는 방법에 관한 것이다. 광학묘화시스템은 저주파통과필터로서의 역할을 하기 때문에, 광학묘화시스템으로부터의 에어리얼 이미지들은 대역-제한(band-limited)되는데, 즉 공간 주파수들이 바운딩(bound)된다. 이러한 대역-제한된 함수들의 유효 자유도는 유한하므로, 단지 셀 수 있는 몇 개의 베이시스 함수들만이 상기 함수들의 클래스(class)를 나타내는 것이 필요하다. 가장 효과적인 베이시스 함수(basis function)들은 광학묘화시스템의 고유함수(eigen function)들이다. 에어리얼 이미지들을 각각의 점(x,y)에서 고유함수들로 분해함으로써, 신호들의 세트 {S1,S2,...,SN}가 얻어질 수 있으며, 이로부터 시스템 의사 세기 함수(system pseudo intensity function; SPIF)가 구성될 수 있다. 에어리얼 이미지들로부터 그것에 대응하는 SPIF들로의 변환은 한 세트의 변수들 {S1,S2,...,S N} 및 한 세트의 파라미터들을 포함하는 함수를 통해 이루어진다. 상기 파라미터들은 활용될 소정의 묘화 프로세스의 특성이며, 그들은 캘리브레이션 절차에 의해 결정되어야만 한다. 캘리브레이션 시에, 상기 파라미터들은, SPIF를 일정한 값으로 임계화(thresholding)하여 얻어지는 모델링된 외형(contour)들과 실험적인 외형들간의 에러가 최소화될 때까지 자동으로 조정된다. 본 발명의 방법에 따르면, 묘화된 패턴들의 외형들은 일정한 임계값 고유 분해 모델(constant threshold eigen decomposition model)을 이용하여 결정될 수 있다.
본 발명의 방법에 따르면, 에어리얼 이미지는 가변 임계값 고유 분해 모델(variable threshold eigen decomposition model)을 이용하여 결정될 수 있다.
또한, 본 발명의 방법에 따르면, 에어리얼 이미지는 벡터 고유 분해 모델을 이용하여 결정될 수 있다.
포토리소그래피 프로세스를 모델링하는 방법은 마스크 레이아웃을 나타내는 파라미터들 및 상기 마스크 레이아웃이 사용되도록 의도된 묘화 시스템의 특성들을 수용하는 단계; 상기 파라미터들을 기초하여 생성되는 것이 예상되는 에어리얼 이미지의 고유값들을 이용하여 상기 마스크 레이아웃에 의해 레지스트 상에 생성되도록 예상되는 에어리얼 이미지 세기 분포를 결정하는 단계; 및 상기 에어리얼 이미지를 기초하여 시스템 의사 세기 함수(SPIF)를 결정하는 단계들을 포함할 수 있다.
포토리소그래피 프로세스를 모델링하는 방법은, 외형들을 생성하기 위하여 일정한 임계값을 SPIF에 적용하는 단계; 생성된 외형들을 예상된 외형들과 비교하는 단계; 및 각각의 고유함수와 연관된 각각의 항의 가중치(weight)들을 조정하고, 상기 생성된 외형들이 상기 예상된 외형들의 사전설정된 톨러런스(predetermined tolerance) 내에 있지 않다면 신규 SPIF를 생성하는 단계를 더 포함할 수 있다.
포토리소그래피 프로세스를 모델링하는 방법에서, 일정한 임계값을 SPIF에 적용하고, 생성된 외형들을 비교하며, 각각의 고유벡터와 연관된 각각의 항의 가중치들을 조정하는 단계들은, 생성된 외형들이 예상된 외형들의 사전설정된 톨러런스 내에 있을 때까지 또는 사전설정된 횟수 만큼 반복할 수 있다.
포토리소그래피 프로세스를 모델링하는 방법에서, 일정한 임계값은 각각의 SPIF에 동일한 일정한 임계값일 수 있으며, 또는 각각의 SPIF에 대해 변화하는 가변 임계값일 수도 있다.
포토리소그래피 프로세스를 모델링하는 방법에서, 에어리얼 이미지를 결정하는 단계는 광파(light wave)의 벡터 특성을 이용한다.
포토리소그래피 프로세스를 모델링하는 방법에서, 에어리얼 이미지를 결정하는 단계는, 광학묘화시스템의 특성들을 나타내는 퓨필 함수를 이용한다. 상기 퓨필 함수는 다음과 같은 함수로 생성될 수 있다:
여기서, (α',β')는 출구 퓨필에서의 각좌표(angular coordinate)들이고, z'는 에어/레지스트 인터페이스에 대한 레지스트에서의 평면 위치(plane position)이며, W(α',β')는 수차 함수(aberration function)이고, Δ는 디포커스이며, N은 묘화시스템에서의 축소율(reduction factor)이고, Qkj(α',β')는 대상물 공간(object space)에서의 j 성분으로부터 이미지 공간 내의 k 성분으로의 광 편광 변환(light polarization conversion)을 나타내며, Qik(α',β';z')는 필름 스택들에서의 광 간섭의 효과를 나타내고; γ 및 γ'는 다음과 같이 주어진 코히런스이다:
포토리소그래피 프로세스를 모델링하는 방법에서, 에어리얼 이미지를 결정하는 단계는, 고유 벡터 분해를 이용하는 평면 z에서 광 세기 분포를 결정하는 단계를 포함할 수 있다. 에어리얼 이미지를 결정하는 단계는, z-평균화된 광 세기 분포(z-averaged light intensity distribution)를 결정하는 단계를 더 포함할 수 있다. 상기 z-평균화된 광 세기 분포는 다음과 같은 함수에 따라 결정될 수 있다:
본 발명은 또한 포토리소그래피 프로세스를 모델링하는 시스템에 관한 것으로, 상기 시스템은, 마스크 레이아웃을 나타내는 파라미터들 및 상기 마스크 레이아웃이 사용되도록 의도되는 묘화시스템의 특성들을 수용하는 입력; 및 상기 파라미터들을 기초하여 생성되는 것이 예상되는 에어리얼 이미지의 고유값 및 고유함수들을 이용하여 상기 마스크 레이아웃에 의해 레지스트 상에 생성되는 것이 예상되는 에어리얼 이미지 세기 분포를 결정하고, 상기 에어리얼 이미지를 SPIF로 변환시키기 위한 명령어들을 실행하는 프로세서를 포함한다.
본 발명의 시스템에 따르면, 에어리얼 이미지로부터의 외형들은 일정한 임계 분해 모델을 이용하여 결정될 수 있다.
본 발명의 시스템에 따르면, 에어리얼 이미지로부터의 외형들은 가변 임계값 고유 분해 모델을 이용하여 결정될 수 있다.
또한 본 발명의 시스템에 따르면, 에어리얼 이미지는 벡터 고유 분해 모델을 이용하여 결정될 수 있다.
본 발명은 또한 마스크 레이아웃을 나타내는 파라미터들 및 상기 마스크 레이아웃이 사용되도록 의도된 묘화 시스템의 특성들을 수용하는 단계; 상기 파라미터들을 기초하여 생성되는 것이 예상되는 에어리얼 이미지의 고유값들을 이용하여 상기 마스크 레이아웃에 의해 레지스트 상에 생성되도록 예상되는 에어리얼 이미지 세기 분포를 결정하는 단계; 상기 에어리얼 이미지를 SPIF로 변환시키는 단계; 상기 SPIF가 만족스러운지를 결정하는 단계; 및 만족스러운 SPIF가 달성될 때까지 연속해서 결정된 에어리얼 이미지들을 에어리얼 이미지와 조합하는 단계를 포함하는 포토리소그래피 프로세스를 모델링하는 방법을 컴퓨터가 수행(즉, 주어진 마스크 및 묘화 프로세스에 대하여 웨이퍼 상에 결과적인 이미지가 형성)하도록 하는 명령어들을 포함하는 컴퓨터 판독가능한 매체에 관한 것이다.
본 발명의 컴퓨터 판독가능한 매체 상의 명령어들에 따르면, 에어리얼 이미지로부터의 외형들은 일정한 임계값 고유 분해 모델을 이용하여 결정될 수 있다.
본 발명의 컴퓨터 판독가능한 매체 상의 명령어들에 따르면, 에어리얼 이미지로부터의 외형들은 가변 임계값 고유 분해 모델을 이용하여 결정될 수 있다.
또한, 본 발명의 컴퓨터 판독가능한 매체 상의 명령어들에 따르면, 에어리얼 이미지는 벡터 고유 분해 모델을 이용하여 결정될 수 있다.
본 발명의 컴퓨터 판독가능한 매체는, 마스크 레이아웃을 나타내는 파라미터들 및 상기 마스크 레이아웃이 사용되도록 의도된 묘화 시스템의 특성들을 수용하는 단계; 상기 파라미터들을 기초하여 생성되는 것이 예상되는 에어리얼 이미지의 고유값들을 이용하여 상기 마스크 레이아웃에 의해 레지스트 상에 생성되도록 예상되는 에어리얼 이미지 세기 분포를 결정하는 단계; 및 상기 에어리얼 이미지를 기초하여 시스템 의사 세기 함수(SPIF)를 결정하는 단계들을 수행하기 위한 컴퓨터용 명령어들을 포함할 수 있다.
포토리소그래피 프로세스를 모델링하는 컴퓨터 판독가능한 매체는, 외형들을 생성하기 위하여 일정한 임계값을 SPIF에 적용하는 단계; 생성된 외형들을 예상된 외형들과 비교하는 단계; 및 각각의 고유함수와 연관된 각각의 항의 가중치들을 조정하고, 상기 생성된 외형들이 상기 예상된 외형들의 사전설정된 톨러런스 내에 있지 않다면 신규 SPIF를 생성하는 단계를 더 포함할 수 있다.
본 발명의 고유 분해 모델은, 임계화 기법을 구현하기 위해 활용하기 쉬운 낮은 NA 기법 및 웨이퍼 위쪽의 필름 스택들의 효과 및 광파의 벡터 특성을 고려하는 높은 NA 기법 양자 모두로 사용될 수 있다.
모델 OPC를 위한 고유 분해 모델은 ASML Masktool 제품들과 같은 소프트웨어 프로그램들로 구현될 수도 있다. 본 발명은 일정한 임계값 고유 분해 모델, 가변 임계값 고유 분해 모델 및 상기 모델들의 기타 변형예들의 사용을 가능하게 한다.
본 발명은 탑-햇 일루미네이터, 또는 고객 디자인 일루미네이터 혹은 실험적 또는 모델링된 일루미네이터 프로파일들과 같은 거의 모든 형태의 조명을 모델링하는데 사용될 수 있다는 점이 중요하다. 본 발명에 이용되는 고유함수들은, 스칼라 퓨필 함수로부터 또는 높은 NA 퓨필 함수들을 나타내는 벡터로부터 될 수 있다. 본 발명의 프로세스는, 그것이 고객 디자인 일루미네이터 또는 실험으로부터 측정된 실제 일루미네이터인 경우, 일반화된 일루미네이터에 대한 고유함수들을 생성할 수 있는 알고리즘의 형태로 될 수 있다. 본 발명은 또한 높은 NA 모델로 활용될 수도 있다. 개발된 고유 분해 모델은 또한 무크롬상리소그래피(CPL) 및 다이폴 더블 리소그래피(DDL)과 같은 신규의 낮은 k1 포토리소그래피 기술들로 작업할 수도 있다.
본 발명의 원리들에 따르면, 사람이 최소로 관여하면서, CD SEM 이미지 내의 피처들을 외형들로 변환시키는 시스템적인 메소돌로지가 이용될 수 있다.
고유 함수 분해(고유 분해 모델 또는 EDM이라 함)를 기초로 하는 모델 OPC에 대한 방법 및 시스템이 개시되어 있다. 본 발명에서, 부분적인 코히런트 묘화 시스템은 일련의 코히런트 묘화 시스템들로 분해되는 것이 바람직하다. 일련의 코히런트 묘화 시스템들은 해당 점(x,y) 주위의 에어리얼 이미지 세기 분포를 기술하는 효과적이면서도 정확한 방법을 제공하는데, 이는 포토리소그래피 프로세스에서 원하는 이미지 피처들의 분해능을 개선하기 위하여 개선된 마스크를 디자인하는데 사용될 수 있다. 마스크 패턴 상의 정밀 모델 OPC에 있어서는, 조명 영향이 확실히 고려되어야만 한다. 다루기 쉬운 모델 OPC 적용예에 있어서, 본 발명은 부분적인 코히런스 묘화 시스템을 최적으로 근사화하기 위한 고유 함수 분해 접근법을 이용한다. 최적 근사화는 각각의 마스크에 대한 SPIF 함수를 생성하는데 사용될 수 있는 모델을 생성하는데 사용되며, 이는 레지스트를 구비한 노광된 웨이퍼 상에 마스크에 의해 생성된 패턴의 시뮬레이션을 제공하기 위한 컴퓨터 시뮬레이션 프로그램에 의해 사용될 수 있다. 상기 모델은 실제 마스크 조명에 의해 제공된 실험적인 외형들과 상기 생성된 SPIF 함수의 시뮬레이션된 외형들을 비교하여 캘리브레이션될 수 있다.
부분적인 코히런스 조명은 오늘날의 IC 제조용 리소그래피 프로세스에서 주류 조명 모드이다. 실제로, 조명 코히런시(illumination coherency)는 콘덴서 렌즈와 대물렌즈 사이의 개구수의 비율로서 정의될 수 있다. 흔히 σ로 불리우는 상기 비율은 0 내지 1 범위에 있다. 코히런트 조명에 있어서, σ는 0에 근접하지만, 인코히런트 조명에서는 σ → 1. 따라서, 부분적인 코히런스 조명은 1>σ>0 으로 정의된다. 물리적으로는, 조명 퓨필, 렌즈 퓨필 및 마스크가 동일한 켤레 평면에 있는데, 이는 이미지 형성을 수학적으로 모델링하는데 편리하다. 따라서, 코히런트 조명 묘화 시스템은 직접적인 방식으로 수학적으로 비교적 쉽게 기술될 수 있다. 하지만, 동일한 기법이 부분적인 코히런트 조명을 구비한 묘화 시스템을 기술하는데 사용된다면, 매우 복잡해지고 계산적으로도 어려워질 수 있다.
마스크 패턴 상의 정밀 모델 OPC에 있어서는, 조명 영향이 확실히 고려되어야만 한다. 다루기 쉬운 모델 OPC 적용예에 있어서, 본 발명은 부분적인 코히런스 묘화 시스템을 최적으로 근사화하기 위한 고유 함수 분해 접근법을 이용한다.
도 2에 예시된 바와 같이, 고유 분해 모델의 구현예는, 고유함수들의 생성, CD SEM 이미지들의 잘 정의된 외형들로의 변환 및 모델 캘리브레이션을 포함하는 몇 가지 중요한 단계들을 수반한다. 본 구현 방법은 일반화된 일루미네이터로부터 모델 OPC 고유 함수들의 최적 세트를 도출하는데 사용될 수 있는 것이 바람직하다. 이 기술은 상기 일루미네이터가 이상적인 "탑 햇" 프로파일, 주문형 방사상 비대칭 프로파일, 또는 단순히 모델링으로부터 생성되거나 실제 측정값을 이용하여 근사화된 "실제(real)" 일루미네이터 프로파일을 이용하는지의 여부에 따라 적용가능하다. 상기 도출된 고유 함수들은 가장 잘 매칭된 조명 타입을 기초로 할 수 있으며; 이에 따라 이론적인 조명 타입을 기초하여 모델 OPC 방법의 사용에 필적할 만한 정밀 모델 OPC를 달성하는 것이 보다 쉬울 수 있다.
본 발명의 EDM 접근법은, 마스크 상의 토포그래피가 매우 현저한 무크롬상리소그래피(CPL) 및 두 마스크 및 두 노광들이 필요한 더블 다이폴 리소그래피(DDL)와 같은 진보된 포토리소그래피 기술들로 작업할 수 있는 것이 바람직하다. 또한, 상기 EDM 접근법은 회절광학요소(DOE)들을 이용하는 고객 디자인 일루미네이터 또는 이론적인 탑-햇 일루미네이터 프로파일들로부터 벗어나는 실제 일루미네이터 프로파일들과 같은 진보된 일루미네이터들을 쉽게 수용할 수 있는 것이 바람직하다.
완전한 코히런트 조명과는 달리, 부분적인 코히런트 조명을 사용하는 경우에는, 가장 좋은 묘화 및 OPC 성능을 위해서, 방사상으로 대칭이거나 또는 비대칭이 되도록 조명 퓨필을 물리적으로 구성하는 것이 가능하다. 조명 소스의 고유의 광학 디자인에 따라, 조명 퓨필 형상은 균일한 세기(즉, "탑-햇" 퓨필 형상) 또는 연속적으로 스케일된 세기 레벨(즉, "실제" 퓨필 형상) 중 어느 하나일 수 있다. 더욱이, 최적의 프린팅 성능을 달성하기 위하여 연속적으로 스케일된 세기 조명 퓨필을 구비한 비대칭 조명 퓨필(즉, "주문형" 퓨필 형상)을 의도적으로 디자인하는 것이 좋을 수도 있다. 본 발명의 원리들은, 탑-햇, 실제 또는 주문형과 같은 여타의 일반적인 조명 퓨필에 대한 모델 OPC 고유 함수들의 세트를 도출하는데 사용될 수 있다. 따라서, 강력한 모델 OPC 기법이 구현될 수 있다.
감쇠 PSM(att-PSM) 또는 무크롬상리소그래피(CPLTM) PSM과 같은 위상-시프팅 마스크(PSM)와 연계하여 최적화된 조명을 이용하는 경우, 종래의 바이너리 크롬 마스크를 이용하여 달성하는 것이 불가능한 프린팅 분해능을 크게 개선하는 것이 설명되었다. 매우 중요한 인에이블링 팩터는, 최적화된 조명에 사용될 수 있는 정밀 모델 OPC 기법의 사용이다.
A. 일정한 임계값 고유 분해 모델
반도체 제조에서 통상적으로 사용되는 부분적인 코히런트 조명 조건들 하에서, 광학묘화시스템 자체는 성질상 비선형이다. 에어리얼 이미지, 즉 소정의 주어진 마스크 패턴으로부터의 이미지 평면에서의 광 세기 분포는, 광학에서 잘 알려진 공식을 이용하여 쉽고도 매우 정확하게 계산될 수 있다(예컨대, 본 명세서에서 참고문헌으로 채택하는 H.H. Hopkins의 Proc, Roy. Soc., A, 217(1953), 408 참조). 광 세기에 대한 화학적으로 증폭된 레지스트의 응답 및 용제에서의 후속하는 레지스트 성장은 모두 매우 비선형이다. 웨이퍼 상의 최종 성장된 패턴은, 웨이퍼 기판의 최상부 상에 레지스트를 구비하거나 구비하지 않은 바이너리로 볼 수 있다. 상기 모델의 주 기능은 에어리얼 이미지 또는 마스크 패턴으로부터 웨이퍼 상의 바이너리 이미지를 정확하게 예측할 수 있는 수학 공식을 제공하는 것이다. 수학적으로 말하면,
여기서, T(x,y)는 웨이퍼 상의 생성된 바이너리 이미지이고, M(x,y)는 마스크 상의 입력 패턴이다. F 는 미지의 함수 형태를 나타내는데, 소정 모델의 코어(core)이다. 일정한 임계값 모델에서, 바이너리 이미지는 일정한 임계값을 이용하여 M(x,y)의 에어리얼 이미지를 컷팅하여 달성된다. 하지만, 일정한 임계값을 이용하여 바이너리 이미지를 얻을 때의 단순성은 매우 매력적이다. 소정 바이너리 함수 T(x,y)를 말하는 수학 이론이 존재하는데, 그 값은 0 또는 1이며, 소정의 특정 정확성으로 대역제한된 연속 함수 F(x,y)를 임계화함으로써 얻어질 수 있다. 소요 정확성이 높을 수록, 함수 F(x,y)의 소요 대역폭이 커진다. 이러한 연속 함수 F(x,y)는 본 발명의 모델에서 시스템 의사 세기 함수(SPIF)라 한다.
쉽게 계산될 수 있는 에어리얼 이미지 I(x,y)로부터 SPIF로의 변환은 상기 모델에서의 핵심 요소를 구성한다. 위치 (x,y)에서의 SPIF의 값은 (x,y)에서의 에어리얼 이미지 세기의 값에 의존할 뿐만 아니라, (x,y) 주위의 에어리얼 이미지 세기의 값들 모두에 의존한다. 수학적으로는, 상기 SPIF는 다음과 같이 표현될 수 있다.
포토리소그래피 프로세스의 복잡한 속성으로 인하여, 첫번째 물리적인 원리들을 기초로 하는 G의 정확한 함수 형태를 도출하는 것이 쉽지 않다. 그리하여, G의 근사적인 함수 형태가 이용된다. 이는 에어리얼 이미지 I(x,y)가 유한한 유효 자유도를 가지는 경우에만 가능하며, 이는 샤논(Shanon)의 샘플링 이론에 따라 증명되는데, 그 이유는 에어리얼 이미지 I(x,y)가, 본 명세서에서 참고문헌으로 채택하는 C.E.Shannon의 Proc. IRE, 37, (1946), 429에 기술된 바와 같이, 대역제한된 함수이기 때문이다.
스칼라 EDM 접근법이 사용되는 본 발명의 첫번째 예시적인 실시예의 개요가 도 1 및 도 2에 예시되어 있다. 도 1 및 도 2를 참조하면, 마스크 패턴의 특성들을 포함하는 입력(2)이 광학 묘화 모델(4)에 제공된다(단계 S100). 묘화 프로세스를 나타내는 고유 함수들과 고유값들은, 예컨대 개구수(NA) 및 파장(λ)을 포함하여 이용될 묘화 프로세스 및 조명 소스의 특성들로부터 결정된다(단계 S102). 마스크(즉, 타겟 패턴)의 특성들은 마스크 함수 M(x,y)를 결정하는데 사용되는데(단계 S104), 이는 입력(2)으로 제공된다. 에어리얼 이미지는 고유 함수들을 마스크 함수 M(x,y)와 콘볼루션하여 결정된다(단계 S106). 레지스트 효과(6)를 나타내는 스칼라는, 특정 레지스트가 실제 에어리얼 이미지 상에 가지는 효과를 고려하도록 에어리얼 이미지를 결정하는데 사용될 수 있다. 사전설정된 일정한 임계값이 에어리얼 이미지에 적용되어, 예측된 외형들을 구비한 초기 SPIF를 생성하게 된다(단계 S108). 예측된 외형들은 마스크 이미지의 공지된 외형들에 비교되는데, 이는 동일한 조명 조건을 이용하는 마스크 이미지를 실제로 프린팅함으로써 결정된다(단계 S110). 만일 예측된 외형들이 측정된 외형들의 사전설정된 에러 톨러런스 내에 있다면(단계 S112 YES), 예측 모델은 정확한 모델이 되는 것으로 증명되어 모델 캘리브레이션이 종료된다(단계 S114). 만일 예측된 외형들이 사전설정된 에러 톨러런스 내에 있지 않다면(단계 S112 NO), 묘화 프로세스를 정의하는 각각의 고유 함수와 연관된 각각의 항의 가중치가 조정되고(단계 S116), 신규 SPIF가 생성된다. 그 후, 일정한 임계값이 신규 SPIF에 적용되고(단계 S108), 단계 S108~116의 프로세스는 사전설정된 에러 톨러런스 내에 외형들을 제공하는 모델이 생성될 때까지 계속된다. 하지만, 선택된 고유 함수를 이용하는 일부 마스크 레이아웃들을 정확하게 모델링하는 것이 불가능할 수 있기 때문에, 상기 모델링 프로세스는 사전설정된 횟수의 시도 후에 사전설정된 에러 톨러런스 내에 외형들을 제공하지 못한 후에 중단되는 것이 바람직하다.
일단 상기 모델이 캘리브레이션되면, 도 1에 예시된 바와 같이 마스크의 파라미터들을 제공함으로써 간단히 소정 마스크에 대하여 에어리얼 이미지를 시뮬레이션하는데 사용될 수 있다. 상기 모델은 주어진 프로세스 조명 조건들에 유효하다는 것을 유의한다. 만일 조명 조건들이 수정되었다면, 신규 모델이 생성되어야 한다. 이는 디자이너가 모델 OPC 피처들에 대해 타겟 마스크를 수정하는 것이 쉬워, 상기 모델을 이용하여 타겟 마스크를 시뮬레이터에 입력할 수 있기 때문에 좋다. 상기 모델의 출력은 수정된 마스크에 의해 생성된 에어리얼 이미지를 제공한다. 에어리얼 이미지가 허용가능한 디자인 한계에 있으면, 프로세스가 종료된다. 하지만, 에어리얼 이미지가 허용가능하지 않다면, 디자이너는 다시 입력 마스크 패턴을 또 다른 시뮬레이터 프로세스으로 수정할 수 있다. 이러한 프로세스는 허용가능한 이미지가 얻어질 때까지 계속될 수 있다.
도 2의 단계 S102에 예시된 바와 같이, 고유 함수들과 고유값들의 결정 시에는, 광학묘화시스템의 특성들을 나타내는 파라미터 세트가 일반적으로 동일한 대역폭의 1 이상의 베이시스 함수들로 제공된다. 대역폭 Ω를 갖는 베이시스 함수들의 모든 가능한 세트들간의 최적 베이시스 함수는 분해 기술을 이용하여 결정될 수 있다. 베이시스 함수들의 최적 세트에 의하면, 상기 세트로부터 필요한 베이시스 함수들의 수는 소정의 특정 에러 요건에 대하여 대역폭 Ω의 소정 실제값 함수를 근사화하도록 최소인 것을 의미한다. 이러한 베이시스 함수들의 최적 세트는 가장 효과적으로 광학묘화시스템(조명, 퓨필 등)을 나타내어야 하고 묘화될 대상물에 독립적인 것이 바람직하다. 스칼라 체제에서 홉킨스(Hopkin's) 묘화 공식으로부터 시작하면:
여기서, γ(x2-x1,y2-y1)는 조명에 의해 결정되는 대상 평면에서의 (x1,y1)과 (x2,y2)간의 상호 코히런스이고, K(x-x1,y-y1)는 광학시스템의 퓨필 함수에 의해 결정되는 광학묘화시스템의 임펄스 응답 함수이다. 더욱 명확하게는, K(x-x1,y-y1)는 대상 평면 내의 (x1,y1)에서의 1 진폭 및 0 위상의 왜란(disturbance)으로 인한, 이미지 평면 내의 점 (x,y)에서의 복소 진폭이다. M(x1,y1)은 점 (x1,y1)에서의 대상물의 복소 투과이다. 예컨대, 변수의 켤레를 말하는 별표를 가진 변수인 K*는 K의 켤레이고, M*는 M의 켤레이다.
수학식 3은 적분 변수들을 변경하여 또 다른 형태로 나타낼 수 있다.
수학식 7의 관계를 만족하는 정수 연산자는 허미션(hermitian) 연산자라 한다. (본 명세서에서 참고문헌으로 채택하고 있는 A. V. Balakrishnan의 Applied Functional Analysis, (1976)에 기술된) Mercer의 이론에 따르면, 허미션 연산자에 있어서, 정규직교(orthonormal) 함수의 완전한 세트 {φi}가 존재하여, W가 대각선으로 {φi}까지 전개될 수 있다.
적분식은 양쪽에 φi(x2'y2')를 먼저 곱한 다음, 양쪽에 변수 x2 및 y2에 대해 적분함으로써 수학식 8로부터 쉽게 얻어질 수 있다. {φj}가 정규직교이므로, 적분 후에 우측에 남아 있는 항만이 j=i를 갖는 항이다.
도 2의 단계 S102에 예시된 바와 같이, 고유 함수들은 수학식 9에 따라 생성될 수 있다. 특히, 정규직교 함수 {φi}는 적분 연산자 W의 고유함수들인데, 이는 적분식 9를 풀어 쉽게 얻을 수 있고, {αi}는 대응하는 고유값들이다. 수학식 4 및 수학식 5에 제공된 바와 같이, 적분 연산자 W는 또한 양(positive)이면서 준정부호(semidefinite)인데, 그 이유는 소정 위치 (x,y)에서의 에어리얼 이미지 세기 I(x,y)가 소정의 주어진 입력 마스크 패턴 M(x,y)에 대해 음이 아니기 때문이다. 이러한 조건은 그들이 음이 아니고 바운딩되어야만 하는 {αi}의 값들에 대해 추가 제약들을 부과한다. α1≥α2≥α3≥...>0 이도록 그 고유값 {α i}에 따라 고유 함수 {φi}를 오더링하는 것이 항상 가능하다. 퇴화(degenerate) 함수들은, 조명 및 퓨필 함수가 소정 대칭값들을 소유하는 경우에 발생할 수 있다. 퇴화 함수들은 동일한 고유값을 소유하는 함수들을 말한다.
도 2의 단계 S105에 예시된 바와 같이, 에어리얼 이미지는 마스크 함수 M(x,y)의 고유 함수들과의 콘볼루션에 의해 계산된다. 특히, 함수들의 정규직교 세트 {φi}에 의하여, 상기 에어리얼 이미지는 수학식 8을 수학식 4에 대입하여 다음의 수학식을 이용하여 계산될 수 있다.
여기서, ⓧ는 고유함수 φi와 마스크 투과함수 M 간의 콘볼루션 연산을 나타낸다. 묘화 이론의 언어로 말하면, 수학식 10은 부분적인 코히런트 묘화 시스템이 일련의 코히런트 묘화 시스템들로 분해될 수 있다는 것을 보여준다. 부분적인 코히런트 묘화 시스템을 일련의 코히런트 묘화 시스템들로 분해하기 위한 여타의 방법들이 있지만, 상술된 방법이 종종 최적 코히런트 분해라 불리우는 최적의 것으로 입증되었다(예컨대, 본 명세서에서 참고문헌으로 채택하고 있는 Y. C. Pati 및 T. Kailath, J.의 Opt. Soc. Am. A 11, (1994), 2438 참조). 이에 따라, 수학식 10으로 기술된 최적의 코히런트 분해 기술은 광학 묘화 모델 4에 사용되는 것이 바람직하다.
φ1-N의 에어리얼 이미지에 대한 소정 개수의 차수(투과 채널)들이 도 1에 예시된 바와 같이 사용될 수 있다. 하지만, 반도체 제조에서 가장 흔히 채택되는 조명들에 대해서는, 단지 첫번째 몇몇 투과 채널들만이 중요하다. i번째 채널로부터 기록된 신호는 복소 진폭(φiⓧM) 이 아니라, 세기(|φiⓧM|2) 임을 유의한다. 교차항 (φiⓧM)(φiⓧM)*(i≠j)이 존재하지 않는데, 그 이유는 상이한 채널들로부터의 복소 진폭들은 모든 위상 내에서 코렐레이션을 가지지 않고, 시간에 따른 평균화된 값들은 0 이다. 다시 말해, 본 명세서에서 이용된 본 발명의 모델에서, 기본 신호들은 전기장형인 {φiⓧM} 가 아닌 세기형인 {|φiⓧM|2} 이다.
중요한 신호들이 결정된 후, SPIF는 단계 S106에 예시된 바와 같이 결정된다. 특히, 본 발명의 고유 분해 방법에 의하면, 해당 점 (x,y) 주위의 에어리얼 이미지 세기 분포를 설명하기 위한 효과적이면서 정확한 방법을 달성하는 것이 가능하다. i번째 채널로부터의 신호를 Si로 표시하면,
그 후, 에어리얼 이미지 I(x,y)로부터 SPIF(x,y)로의 변환을 나타내는 수학식 2에서의 함수 형태 G 는 다음과 같이 표현될 수 있다.
도 1에 예시된 바와 같이, SPIF 8은 상기 수학식 12를 이용하여 결정되는 것이 바람직하다. 앞선 수학식에서는, 첫번째 N 채널들로부터의 신호들만이 중요한 것으로 가정되었다. {Si}에 대한 SPIF의 종속성을 기술하는 정확한 함수 형태를 모르기 때문에, 연속적인 보정 접근법이 취해진다. 이러한 접근법은, 일치값들이 정량적으로는 만족되지 않는다 하더라도, 일정한 임계값을 이용하여 에어리얼 이미지를 임계화하는 것으로부터 예측된 외형들이 실험값들로부터의 것과 상당히 일치된다는 사실을 기초로 한다.
예측된 SPIF의 외형들은 테스트 패턴에 의해 생성되는 실험적으로 결정된 외형들과 비교된다(단계 S112). 만일 예측된 외형들이 실험적으로 결정된 외형들의 사전설정된 톨러런스 내에 있다면, 모델 캘리브레이션이 종료된다(단계 S114). 하지만, 예측된 외형들이 상기 사전설정된 톨러런스 내에 있지 않다면, 각각의 고유 벡터와 연관된 각각의 항의 가중치가 조정되고(단계 S116), 상술된 원리들에 따라 신규 SPIF가 생성된다. 일정한 임계값이 신규 SPIF에 적용되고(단계 S108), 단계 S108~116에서의 프로세스는 모델 캘리브레이션이 종료되거나 또는 사전설정된 횟수의 시도가 행해질 때까지 반복된다.
구현예에서는, 모델 캘리브레이션에 대한 임계치수(CD) 측정값들 대신에 2D CD SEM 이미지들이 사용되는 것이 바람직하다. 이론적으로는, 단지 N 독립 측정값들이 1차 고유 분해 모델에 대한 N 독립 계수 {βi, I=1,2,...,N}를 결정하는데 필요하다. 하지만, 상기 계수 {βi, I=1,2,...,N}를 결정할 때에 불확실성을 야기할 수 있는 실제 측정값들 내의 노이즈가 항상 존재한다. 캘리브레이션으로부터의 {βi, I=1,2,...,N}의 불확실성들을 줄이기 위해서는, 보다 많은 CD 측정값들이 요구된다. 이는 보다 많은 엔지니어링 시간과 보다 값비싼 설비 시간을 요구하고, 또한 모델 캘리브레이션 프로세스의 속도를 떨어뜨린다. 필요한 엔지니어링 작업을 최소화하면서 {βi, I=1,2,...,N}의 정확한 결정값을 달성하는 방법은, CD 측정값들 대신에 CD SEM 이미지들을 사용하는 것이다. 상기 SEM 이미지들은 보다 광범위한 구조적 변형예들을 커버하고, 모델 캘리브레이션에 대한 거대한 데이터 점들을 포함하므로, CD SEM 이미지들로부터의 캘리브레이션은 통계적으로 더욱 안정하다. 모델 OPC 캘리브레이션에 대한 CD 측정값들에 걸친 CD SEM 이미지들을 이용하는 장점은, 전자 빔 노광 하에서 193nm 레지스트들의 불안정성으로 인하여, 193nm 포토리소그래피 프로세스에서 훨씬 더 뚜렷해진다.
2차 고유 분해 모델에 있어서, 모델 캘리브레이션에 대한 CD SEM 이미지들을 사용하는 강제적인 또 다른 이유가 있다. CD 측정값들은 보통 구조체들이 확실한 대칭들을 소유하는 장소들에서 취해진다. 고유함수들은 또한 일루미네이터의 대칭으로부터 계승된 소정의 대칭들을 소유하기 때문에, 평가되고 있는 구조체의 대칭 연산 하에서 극성(polarity)을 변경하는 채널들로부터의 신호들이 없을 것이다. 예를 들어, CD 값이 매우 긴 수직 라인의 중간에서 취해진다면, 상기 구조체는 원점이 CD 측정점에 설정되는 경우에 거울 연산 (x,y)⇔(x,-y), 즉 M(x,y)=M(x,-y)에 대칭적이다. Quasar 조명에 있어서는, 극성들이 거울 연산 (x,y)⇔(x,-y) 하에서 첫번째 두 고유함수들에 대해 동일하게 남아 있지만, 세번째 및 네번째 고유함수들의 극성들은 변경된다. 그러므로, 본질적으로 CD 측정점에서의 세번째 및 네번째 채널들로부터의 신호들이 없다. (S1, S2)의 신호와 (S3, S4)의 신호간의 상호작용들이 결정될 수 없다. CD 측정값들에 대해 모델을 캘리브레이션하여 얻어진 2차 상호작용 계수들은 노이즈에 의해 큰 양으로 결정되기 쉬운데, 이는 모든 신호들이 존재하는 장소들에서의 패턴에 대한 모델 OPC 시에 허용할 수 없는 에러들을 유발시킨다.
낮은 k1 시대(era)에서는, CD SEM 이미지들이 대부분 소정 노이즈를 가진 낮은 콘트라스트이기 쉽다. 고주파수 노이즈를 줄이기 위해서는, 오리지널 CD SEM 이미지에 가우시안 필터가 적용될 수 있다. 결과 이미지 내의 주어진 픽셀에서의 세기값은, 상기 픽셀에서 센터링된 가우시안을 구비한 상기 픽셀들의 가우시안 가중화된 평균 세기이다. 가우시안 필터링 후의 이미지 콘트라스트는 항상 감소된다. 이미지 콘트라스트를 회복하기 위하여, 이미지 콘트라스트 증대 연산이 후속해서 적용될 수 있다. 고주파수 노이즈가 제거되고, 상기 이미지의 콘트라스트가 합리적인 레벨로 증대된 후, 외형 추출 연산이 적용된다. 몇 가지 외형 추출 방법들이 있지만, 그들은 모두 이미지의 도함수들을 기초로 한다. 낮은 k1 기술들로부터의 CD SEM 이미지들의 속성 때문에, 많은 이미지 증대 연산들이 외형 추출 전에 적용되더라도, 상기 이미지 내에 피처를 형성하는 완전히 연결된 외형이 항상 보장되는 것은 아니다. 외형 형성을 완성하기 위해서는, 일부 사람의 개입이 필요할 수 있다. 본 발명의 방법은 외형 형성을 완성하는 작업이 보장되면서 사람의 개입을 최소화하도록 작업한다.
캘리브레이션에 포함될 현재 이미지의 각각의 피처에 있어서는, 우선 상기 피처를 에워싸는 외형이 완성되었는지의 여부, 즉 파손된 세그먼트(broken segment)들이 없다는 것을 체크한다. 상기 외형이 완성되지 않았다면, 상기 작업을 달성하는 몇 가지 접근법들이 있는데, 이는 도 11 내지 도 17에 도시된 바와 같이 소프트웨어에서 구현되었다.
도 11 내지 도 17은 본 발명의 원리들에 따라 결정된 예시적인 마스크 패턴들로부터 예시적인 외형들의 세그먼트들을 연결시키는 프로세스를 예시한다. 도 11에 예시된 바와 같이, 본 발명의 모델은 연결되어야만 하는 피처 주위의 파손된 외형 세그먼트들을 발생시킬 수 있다. 본 발명의 원리들에 따라 작동되는 시뮬레이터 프로그램은, 경계를 따라 세그먼트들을 가리키도록 마우스를 이용하여 보정이 이루어지도록 할 수 있다. 외형 세그먼트들의 외측 에지들은 라인 피처들에 대해 선택될 수 있으며, 주어진 피처에 대한 모든 세그먼트들도 선택될 수 있다. 만일 상기 피처 주위의 파손된 외형 세그먼트들이 있다면, 예컨대, 선택된 세그먼트들에 연결한 다음 클릭하도록 명령어를 클릭함으로써, 특정 기능들이 수행되도록 선택될 수 있는데, 파손된 외형 세그먼트들이 자동으로 연결되는 것이 바람직하기 때문이다.
여타의 이미지 처리 수순들과 같이, 선택된 세그먼트들을 연결시키기 위한 명령어는 연산의 복잡한 속성으로 인하여 성공을 보장하지 못한다. 도 12a는 외형들의 성공적인 연결을 예시하며, 도 12b는 외형들의 부분적으로 성공적인 연결을 예시한다. 조작자는 파손된 세그먼트를 보수하기 위한 또 다른 기능을 선택할 수 있으며, 상기 파손된 영역을 한정하도록 마우스를 사용할 수 있다. 소프트웨어는 세그먼트들을 자동으로 연결시키는 것이 바람직하다. 이러한 접근법에서는 마우스 한정 영역에서의 원하지 않는 세그먼트들을 피하기 위한 주의가 취해져야만 한다. 만일 원하지 않는 세그먼트들을 피하는 것이 가능하지 않다면, 해당 영역에서의 원하지 않는 세그먼트들은 제거(cleaned up)되어야만 한다. 세그먼트들을 연결하는 시도가 실패하는 경우, 조작자는 추가 외형 포인트들의 기능을 수행할 수 있고, 파손된 세그먼트들을 연결시키기 위한 미싱(missing) 픽셀(들)이 뷰에 명백히 위치될 수 있도록 이미지 내의 줌(zoom) 및 이미지의 네비게이션을 수행할 수 있다. 그 후, 마우스는 상기 픽셀 장소에서의 포인트에 사용될 수 있다. 미싱 픽셀이 추가되어, 앞서 파손된 세그먼트들이 연결되는 것이 바람직하다.
도 13 내지 도 16에 예시된 바와 같이, 일단 주어진 피처 주위의 외형 세그먼트가 완성되면, 사용자는 필 바운더리 버튼(fill boundary button)을 한정하는 기능을 우선 수행함으로써 충전을 위한 경계를 한정할 수 있고, 경계 세그먼트를 가리키도록 마우스를 사용하여 좌측 마우스 버튼을 클릭할 수 있다.
도 16은 추출된 외형으로부터 CD 값들을 획득하는 것을 예시한다. SPIF로부터의 외형 추출에 사용되는 알고리즘은 CD SEM 머신들로부터 CD 값들을 획득하는데 사용되는 알고리즘과 다를 수 있기 때문에, 이들 두 알고리즘간에는 "캘리브레이션"을 수행하는 것이 필요하다. 이 캘리브레이션을 달성하기 위하여, CD 측정 윈도우가 형성될 수 있으며, 대응하는 CD 값이 입력될 수 있다. 각각의 이미지에 대해서는 단지 하나의 CD 측정을 요구한다.
일단 외형들을 추출하는 단계들이 달성되면, 피처들은 보통 사용 시에 도 17에 예시된 바와 같이, 예컨대 GDSII 포맷과 같은 외형 데이터 포맷으로 변환될 수 있다. 일단 해당 이미지들 모두가 GDSII 데이터 포맷과 같은 외형들로 성공적으로 변환되면, 실험적인 외형들과 모델 외형들간의 최소 에러를 달성하기 위하여 파라미터들을 자동으로 조정하는 최적화 알고리즘에 의해 캘리브레이션이 행해질 수 있다. 캘리브레이션 시에 조정되는 두 그룹의 파라미터들이 있다. 파라미터들의 한 그룹은 모든 이미지 세트들에 공통되는 모델 파라미터들이고, 다른 그룹은 2개의 서브그룹, 즉 {X-스케일링, Y-스케일링, 로테이션} 및 {X-시프트, Y-시프트}로 더 쪼개질 수 있는 기하학적 파라미터들이다. {X-시프트, Y-시프트}는 항상 각각의 이미지 세트에 대해 독립적으로 조정되어야만 하고, {X-스케일링, Y-스케일링, 로테이션}은 모든 이미지 세트들에 대해 로킹(lock)되거나 또는 각각의 이미지 세트에 대해 독립적인 조정을 허용하도록 언로킹될 수 있다. {X-스케일링, Y-스케일링, 로테이션}이 로킹되거나 언로킹되어야 하는지의 여부는 편차의 원인(cause)들에 달려 있다. 만일 원인들이 공통이고 조정량이 모든 이미지 세트들에 동일하다고 믿는다면, 예컨대 지배적인 원인들이 레티클 스케일링/로케이션 및 스캐너/스텝 스케일링/로테이션이라면, {X-스케일링, Y-스케일링, 로테이션}은 로킹되어야만 한다. 만일 원인들이 이미지 세트 종속형이면, 예컨대 지배적인 원인이 CD SEM 포커스 및 각각의 이미지 테이킹 사이의 알고리즘 조정값들이라면, {X-스케일링, Y-스케일링, 로테이션}은 언로킹되어야만 한다. 모델 캘리브레이션이 최적화 수순을 통해 행해진 후, 캘리브레이션 결과들은 모델 양호 평가를 위해 뷰잉 및 분석될 수 있다. 만일 캘리브레이션 결과들이 만족스럽다면, 모델 파라미터들을 포함하는 캘리브레이션 파일은 추가 증명 및 예측을 절약할 수 있으며, 또한 풀 칩 모델 OPC 처리에 사용될 수도 있다.
만일 외형들이 상기 모델의 현재 계산값들로부터 추출 또는 연결될 수 없다면, 일련의 전개식이 사용될 수 있다. 특히, 일련의 전개식은 측정된 에어리얼 이미지 I(x,y)로부터 모델의 이전 계산값에서 결정된 SPIF의 편차를 줄이는데 사용될 수 있다. 수학식 12의 우측에 대한 Taylor 전개식을 취하면, 다음과 같다:
상기 계수 {βi} 및 {ηij}는 레지스트 프로세스의 효과를 고려하고, 그들은 또한 묘화시스템에서의 수차들 및 마스크들 상의 토포그래피와 같은 여타의 "이상적이지 않은(non ideal)" 팩터들의 효과들도 포함한다.
단지 1차 항들만이 보존된다면, 상기 모델은 1차이며, SPIF의 대역폭은 광학묘화시스템에 의해 결정된 오리지널 에어리얼 이미지와 동일하다. 1차 모델이 충분히 정확하지 않은 경우, 2차 항들은 2차 모델을 생성하도록 상기 모델에 포함될 수 있다. 상기 2차 모델은 오리지널 에어리얼 이미지의 2배의 대역폭을 가질 것이다. 1차 고유 분해 모델에서의 자유도는 {β1, β2,...,βN}으로 특정된 N이다. 2차 고유 분해 모델에서의 자유도는 계수 {ηij}의 대칭으로 인하여 N+N(N+1)/2 이다. 1차 고유 분해 모델은 도 1에 도시되어 있다.
보다 큰 대역폭의 작은 성분들을 SPIF로 도입하기 위한 대안적인 방법은, 가우시안 함수들 또는 여타의 모범적인(well behaved) 함수들과 같은 큰 대역폭들의 추가 커널(kernel)들을 일부 추가하는 것이다. 이러한 접근법의 장점은, 실제 2차 고유 분해에 비해, 모델 OPC 연산 시에 구현이 단순하고 속도가 빠르다는 점이다. 상기 계수 {βi} 및 {ηij}는 실험 데이터, 바람직하게는 CD SEM 이미지 데이터 또는 AFM 외형 데이터 또는 표면 메트롤로지들로부터의 여타의 2차원(2D) 데이터에 대해 상기 모델을 캘리브레이션하여 결정될 수 있다. 캘리브레이션용 타겟 데이터는 또한 CD 측정값들과 같은 크기 측정값들 또는 여타의 데이터일 수도 있다.
만일 외형들에서의 에러가 검출된다면, 상기 에러는 제한된 픽셀 크기이다. 상기 에러는 피처 에지를 따라 픽셀 x 픽셀로 계산된다. CD 측정 윈도우는 CD 측정 시에 항상 형성되기 때문에, 측정 윈도우에는 상당한 수의 픽셀들이 있는 것이 바람직하며, 따라서 CD 에러 분포가 현저하게 더욱 협소해질 수 있다.
B. 가변 임계값 고유 분해 모델
상술된 모델은 일정한 임계값 고유 분해 모델이며, 그 매력은 구현의 단순성에 있다. 하지만, 기본적인 사상들은 유사한 모델들, 가장 탁월하게는 가변 임계값 고유 분해 모델을 개발하는 것까지 동등하게 적용 및 확장될 수 있다. 아래에는, 한 가지 가능한 구현예를 설명한다. 소정의 마스크 투과 함수 M(x,y)에 있어서, 그 에어리얼 이미지는 쉽게 계산될 수 있다. 이제, 에어리얼 이미지를 컷팅하기 위한 사전정의된 임계값, 예컨대 0.3을 사용한다면, 그 대응하는 외형들이 얻어질 수 있다. 따라서, 상기 외형들은 실험적인 외형들로부터 벗어나 얻어진다는 것을 이해하여야만 한다. 상기 모델의 핵심은 초기에 예상한 외형들을 정확한 외형들로 변환할 수 있는 관계를 수립하는 것이다. 이 프로세스가 도 3에 예시되어 있다.
도 3에 예시된 바와 같이, 모델링될 마스크의 특성들을 나타내는 입력 파라미터 M(x,y)는 단계 S302에서 입력된다. 마스크의 에어리얼 이미지는 단계 S304에서 입력 파라미터들과 상술된 EDM 접근법을 이용하여 모델링된다. 상기 획득된 에어리얼 이미지는 단계 S306에서 이미지의 외형에 직교하는 방향으로 컷라인을 따라 조정된다. 초기 외형 상의 각각의 점 (x,y)은 상기 외형에 직교하는 방향으로 컷라인을 따라 조정될 것이다. 조정량은 상기 외형에 직교하는 컷라인을 따라 일반적으로 믿는 정확한 임계값에 따라 좌우된다. 상기 모델은 상기 외형에 직교하는 컷라인에서 정확한 임계값을 계산하기 위한 공식을 제공하는 것이 바람직하다. 가변 임계값 고유 분해 모델에서는, 정확한 임계값이 위치 (x,y)에서의 에어리얼 이미지 세기 뿐만 아니라, 점 (x,y) 주위의 에어리얼 이미지의 값들 모두에 따라 좌우되는 것으로 가정된다. 다시 말해, 수학식 2와 유사한 함수 형태가 이용될 수 있다.
H의 함수 형태는 미지이며, 단지 근사화될 수 있다. 세기 I(x,y)의 유한한 자유도로 인하여, 수학식 11에 정의된 바와 같이 기본 신호들의 유한한 항들을 이용하여 효과적으로 표현될 수 있다.
연속적인 근사화에 의하여, 수학식 15는 다음을 유도한다.
여기서 이용된 기본 신호들은, 최대 세기 및 로그-슬로프와 같은 여타의 기본 신호들에 대한 결정적인 장점들을 보유하는데, 그 이유는 상기 기본 신호가 완전한 세트의 신호들을 구성하고 또한 서로 직교되기 때문이다. 기본 신호들 {S1,S2,... }의 완전성은 정확성을 향상시키고, 직교성은 비직교 신호들이 사용되는 경우에 존재하는 복잡한 간섭 효과들을 소거한다. 계수 {χj} 및 {εij}는 실험 데이터에 대해 상기 모델을 캘리브레이션하여 얻어질 수 있다.
모델 보정 외형은 단계 S308에서 생성되며, OPC 모델을 구현하는데 사용되는 것이 바람직하다. 고유 분해 모델 OPC 이론은, 실제 일루미네이터 프로파일이 탑 햇 일루미네이터 프로파일로부터 상당히 벗어나지 않는 한, 스캐너/스테퍼로부터 실제 일루미네이터 프로파일을 요구하지 않지만, 실제 일루미네이터로부터 계산된 고유 함수들은 대응하는 탑 햇 일루미네이터 프로파일로부터의 것보다 정확하도록 예상된다. 또한, 고객 디자인 일루미네이터들과 같은 낮은 k1 포토리소그래피에서의 보다 공격적이거나 또는 진보된 조명 디자인들을 채택하는 것이 급속하게 성장하고 있다. 일반화된 일루미네이터에 대해 고유함수들을 계산할 수 있는 방법의 발전은 압박을 가하는 작업이 분명하다.
수학식 9는 공간 도메인에서의 수학식인데, 이는 공간 도메인에서 풀 수 있다. 하지만, 그것은 주파수 도메인에서 푸는 것이 보다 쉽다는 것이 판명되었다. 주파수 도메인에서, 유사한 수학식이 파생될 수 있다.
여기서,
I0 및 Γ 는 일루미네이터 및 주파수 도메인에서의 임펄스 응답 함수들이다. 수학식 17을 풀어서 얻은 모델 OPC 고유 함수들은 주파수 도메인의 함수들이다. 고유 함수들은 Φi에 대한 인버스 푸리에 변환에 의해 공간 도메인에서 얻을 수도 있다.
벡터 고유 분해 모델
본 발명의 스칼라 EDM 접근법의 모든 장점에도 불구하고, 여타의 상업적으로 이용가능한 모델들과 공통 특성(common trait)을 공유한다. 즉, 상기 모델 자체는 속성상 스칼라 모델이고, 광파의 벡터 특성은 무시되었다. 포토리소그래피 프로세스에 사용된 개구수(NA)가 낮으면(예컨대, 0.7 미만), 스칼라 EDM 모델 OPC는 적절하고도 효과적으로 고려되어야만 한다. 포토리소그래피 프로세스에 사용된 NA가 0.80 또는 그 보다 훨씬 더 높게 접근하면, 스칼라 EDM을 웨이퍼 상의 필름 스택들 및 광파의 벡터 특성 모두를 고려하는 벡터 EDM으로 전개할 강제적인 이유들이 몇 가지 있다.
스칼라 EDM 모델은 광파가 스칼라량으로 처리될 수 있고 에어리얼 이미지는 홉킨스 공식 수학식 3을 이용하여 정확하게 계산될 수 있다고 가정한다. 하지만, 포토리소그래피 프로세스에 사용된 NA가 보다 높으면, 이러한 가정은 부적절한 것으로 판명될 수 있다. 높은 NA 체제에서 고려된 광파의 벡터 특성을 요구하는 몇 가지 이유가 있다. 무엇보다도, 입구 퓨필의 출구 퓨필로의 두드러진 광파 편광 변환이 있다. 둘째, 에어/레지스트 인터페이스에서의 광파 편광에 대한 반사성의 종속성이 보다 눈에 띄게 된다. 이러한 보정들을 고려하는 높은 NA 묘화 공식화가 존재하지만, 훨씬 더 수반된 높은 NA 묘화 공식화에 대한 최적의 분해가 이용가능하지 않다. 이러한 분해 기법이 없다면, OPC 모델은 높은 NA 체제로 전개될 수 없다.
도 4는 벡터 고유 분해 모델을 구현하는 예시적인 방법을 예시한다. 도 4에 예시된 바와 같이, 묘화시스템의 파라미터들이 수용된다(단계 S400). 상기 파라미터들은 퓨필 함수(K)를 결정하는데 사용된다(단계 S401). 높은 NA 묘화 공식화에서, 낮은 NA 경우들에 적용가능한 스칼라 모델에서의 스칼라 함수인 퓨필 함수(K)는 9개의 요소들로 이루어진 매트릭스로 표현되는 것이 바람직하다.
각각의 Kij 요소는 광학 묘화 시스템 뿐만 아니라 웨이퍼에 대한 필름 스택들에 따라 좌우된다. 주파수 도메인에서 명시적으로 표현하면 다음과 같다.
여기서, (α',β')는 출구 퓨필에서의 각좌표들(주파수)이고, z'는 에어/레지스트 인터페이스에 대한 레지스트에서의 평면 위치이다. W(α',β')는 수차 함수이고, Δ는 디포커스이다.
N은 묘화시스템에서의 축소율이고, 그 공통값들은 대부분의 상업적인 포토리소그래피 노광 툴들에서 4 또는 5이다.
Qkj(α',β')는 대상물 공간에서의 j 성분으로부터 이미지 공간 내의 k 성분으로의 광 편광 변환을 고려하며, Gik(α',β';z')는 필름 스택들에서의 광 간섭의 효과를 고려한다. Qkj(α',β') 및 Gik(α',β';z')에 대한 상세 표현식들은, 본 명세서에서 참고문헌으로 채택하고 있는, Donis G. Flagello, Tom Milster, Alan E. Rosenbluth의 J. Opt. Soc. Am. A13 (1995), 53 및 Michael S. Yeung, Derek Lee, Robert Lee 및 A.R. Neureuether의 SPIE, Vol. 1927, (1993), 452에서 찾을 수 있다. 레지스트 내의 광 필드는 다음과 같다:
여기서, Bi(α',β',z';α'0, β'0)는 조명이 (α'0,β'0 )인 경우 (α',β')의 평면파로부터 i(i=x,y,z)의 편광을 갖는 깊이(z')의 평면에서의 레지스트내의 광 필드이고, F{E0j(x0,y0); α'-α'0, β'-β'0}는 주파수{α'-α' 0, β'-β'0}에서 추정되는, 대상물 공간에서 편광 j를 갖는 마스크의 푸리에 변환이다.
조명이 에 의해 특징지어진다고 가정하면, 깊이(z')의 평면에서의 레지스트내의 에어리얼 이미지는 다음과 같다:
여기서,
및 수학식 18에서의 (x,y)는 파장 λ로 정규화되었다.
임을 알 수 있다.
이 부등식은 Mercea의 법칙의 직접적인 어플리케이션을 무효화한다. 그러므로, 스칼라 EDM에서 사용될 수 있는 최적의 분해 접근법은 허미션 연산자가 구성될 수 있도록 상이한 요소들의 적절한 재그룹화(re-grouping)에 의해서만 달성될 수 있다.
비록 T j,j (f,g;f',g';z') 자체가 허미션 연산자가 아닐지라도, 다음과 같은 관계가 성립한다:
수학식 21은 총 9개의 적분 항으로 구성된다. 하지만, 4 또는 5의 축소율을 갖는 진보된 포토리소그래피 노광 툴에서의 z 구성요소 광 필드는 대상물 공간내의 x 및 y 구성요소 광 필드에 비해 비교적 작다. 이 가정으로, 다음과 같은 수학식을 얻을 수 있다:
더욱이, 노광 툴내의 조명은 통계학적 관점보다 양호한 편광을 가지지 않는다. 레지스트에 의해 수용되는 광 세기는 시간-평균화된 양(time-averaged quantity)이며, 따라서, Fj(f,g)F* k(f,g)는 시간-평균화된 양으로 해석되어야 한다. 이러한 이해로, 다음과 같은 수학식을 얻는다:
수학식 25는 시간-평균화된 양을 명확히 표시하였다. 여기서, 굴절률과 흡수 계수와 같은 레지스트 특성이 노광 중에 일정하게 유지되었다고 가정하면, Tjk(f,g;f',g;z')는 묘화 시스템, 즉 광학 묘화 시스템 및 필름 스택을 특징짓는 시간 독립적 양(time independent quantity)이다. 이 가정은 모든 레지스트, 특히 진보된 포토리소그래피에서 채택된 화학적 증폭 레지스트(chemical amplified resist)에 대해 정당화되었다.
동일한 조명 소스에서부터 발산된 광 필드만이 서로에 대해 간섭될 수 있으며 또한 그 편광이 랜덤하게 변동하기 때문에, 다음과 같은 수학식, 즉
을 용이하게 얻을 수 있다.
여기서, F는 단지 마스크 투과 함수의 푸리에 변환이다.
수학식 26 및 수학식 27로, 수학식 25를 더욱 간단히 할 수 있다:
이는 다음의 수학식, 즉
을 증명하기에 용이하다.
즉 커널은:
허미션 연산자이고, Mercea의 법칙에 따라 분해될 수 있다.
수학식 30을 수학식 28에 대입하면, 다음과 같은 수학식을 얻는다:
수학식 31은 고유 분해 기법하에서 특정 평면 z=z'에서의 광 세기 분포를 계산하는 공식을 제공한다. 수학식 28은 도 4의 단계 S402에 사용되는 것이 바람직하다. 하지만, OPC 모델링에서, z-평균화 광 세기 분포는 중요한 팩터이며, 따라서, z-평균 과정이 수행되어야 한다. 직접적인 방식은 먼저 레지스트 두께에 걸쳐 Ti,i(f,g;f',g';z')(i=z,y)를 평균내는 것이다.
주목할 것은, z-평균화된 양을 계산하는 다른 근사법 존재한다는 것이며,
여기서, n은 레지스트의 굴절률이고, λ0는 진공에서의 파장이며, z0은 레지스트내의 어떤 위치 평면, 바람직하게는 레지스트 필름의 중간 평면이다.
따라서, 구현을 위해서는 다음의 적분 방정식을 풀어야만 한다.
z'에 종속적이지 않은 완전한 세트의 함수{φn}로, 레지스트 필름내의 z-평균화 광 세기 분포가 계산될 수 있다.
수학식 35가 본 발명의 확장된 벡터 EDM의 최종 결과이며, 도 4의 단계 S406에 사용되는 것이 바람직하다.
이 고유 분해 모델 이론은 스캐너/스테퍼로부터의 실제 일루미네이터 프로파일을 요구하지 않을지라도, 이 실제 일루미네이터 프로파일은 탑 햇 일루미네이터 프로파일로부터 크게 벗어나지는 않는다. 하지만, 실제 일루미네이터로부터 계산된 고유 함수는 일반적으로 탑 햇 일루미네이너 프로파일에 대응하는 것들보다 유효하다. 또한, 주문 제작된 일루미네이터와 같이, 낮은 k1 포토리소그래피에서의 도약적인 또는 진보된 조명 설계를 채택하는 것이 빠르게 성장하고 있는 추세이다.
도 5는 도 1 내지 도 4에 예시된 고유 분해 모델을 구현하는 예시적인 처리시스템을 예시한다. 도 4에 예시된 바와 같이, 예시적인 마스크 최적화 유닛은 입력(1003)으로부터 입력을 수용하는 프로세서(1000)를 포함할 수 있다. 이 프로세서(1000)는 종래의 마이크로프로세서일 수 있거나, 또는 EEPROM 또는 EPROM 또는 제작된 집적 회로와 같이 특별히 설계된 처리유닛일 수 있다. 입력(1003)은 키보드 또는 마우스와 같은 여하한의 종류의 전자 입력 장치일 수 있거나 또는 메모리 또는 인터넷 커넥션일 수 있다. 프로세서(1000)는 ROM(1002) 및 RAM(1001)으로부터 저장된 프로토콜, 예컨대 도 1 내지 도 4에 예시된 처리를 구현하는 프로토콜을 검색하는 것이 바람직하다. 프로세서(1000)의 계산된 결과치들은 디스플레이부(1004)상에 표시될 수 있고 마스크 제작 유닛에 제공될 수 있다.
도 6은 본 발명의 도움으로 디자인된 마스크에 사용하기 적절한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
B. 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(특히 이 경우 방사선시스템은 방사선소스(LA)도 포함한다);
C. 마스크(M)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
D. 기판(W)(예를 들어, 레지스트코팅된 실리콘웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템 PL에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT); 및
E. 기판(W)의 타겟부(C)(1이상의 다이를 포함)상으로 마스크(MA)의 조사된 부분을 묘화시키는 투영시스템("렌즈")(PL)(예를 들어, 굴절, 커탑트릭 또는 카다디옵트릭 광학시스템)을 포함한다.
도시된 바와 같이, 상기 장치는 (예를 들어, 투과마스크를 채택한) 투과형으로 구성된다. 하지만, 일반적으로 상기 장치는 (예를 들어, 반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안례로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 그 예로서는 프로그램가능한 거울 어레이 또는 LCD 매트릭스가 있다.
상기 소스(LA)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더와 같은 컨디셔닝수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기분포의 외측반경 및/또는 내측반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정가능수단(AM)을 포함하여 이루어진다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기분포를 갖게 된다.
도 6과 관련하여, 상기 소스(LA)는 리소그패피 투영장치의 하우징내에 놓이지만(예를 들어, 방사선소스(LA)가 흔히 수은램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향거울에 의해) 장치내부로 들어오게 할 수도 있다. 후자의 시나리오는 흔히 방사선소스(LA)가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초한) 엑시머레이저인 경우이다. 조명 소스는 거울 어레이 또는 LCD로 만들어질 수 있다. 본 발명 및 청구항은 이들 시나리오를 모두 포함한다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 통과한다. 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)의 초점을 맞춘다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 6에 명확히 도시되지는 않았지만, 긴 행정모듈(long stroke module)(개략위치설정) 및 짧은 행정모듈(미세위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 웨이퍼스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은 행정모듈에만 연결될 수도 있고 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
B. 스텝모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
C. 스캔모드에서는, 주어진 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대방향으로 동시에 이동하는 데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 명세서에 개시된 개념은 서브 파장 피처들을 묘화하는 여하한의 포괄적인 묘화 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 또한, 주로 보다 작은 크기의 파장을 생성할 수 있는 묘화 기술을 나타내는데 특히 유용할 수 있다. 신생 기술(emerging technology)은, 사용시에 ArF 레이저를 사용하여 193㎚의 파장, 심지어는 플루오르 레이저를 사용하여 157㎚ 파장을 생성할 수 있는 EUV(극자외선) 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 싱크로트론을 이용하거나 고에너지 전자로 물질(고체 또는 플라즈마 중 어느 하나)을 때림으로써 20 내지 5㎚의 범위내에 있는 파장을 생성하여 이 범위내의 광자들을 생성할 수 있다. 대부분의 물질이 이 범위내에서 흡수되기 때문에, 조명은 몰리브덴 및 실리콘으로 된 다층-스택(multi-stack)을 갖는 반사형 거울들에 의해 생성될 수 있다. 이 다층-스택 거울은 몰리브덴과 실리콘의 쌍으로 된 40개의 층을 가지며, 각 층의 두께는 파장의 4분의 1이다. 이보다 더 작은 파장은 X-레이 리소그래피로 생성될 수 있다. 통상적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 물질이 x-레이 파장에서 흡수되기 대문에, 피처가 프린트되거나(포지티브 레지스트) 또는 프린트되지 않는(네거티브 레지스트) 물질을 흡수하는 얇은 부분을 한정한다(define).
본 명세서에서 개시된 개념은 실리콘 웨이퍼와 같은 기판상에 묘화하는데 사용될 수 있지만, 개시된 개념은 여하한의 종류의 리소그래피 묘화 시스템, 예를 들어 실리콘 웨이퍼 이외의 기판상에 묘화하는데 사용될 수 있는 시스템에 사용될 수 있음을 이해하여야 한다.
프로세서(1000)의 소프트웨어 기능성(functionality)은 실행가능한 코드를 포함하는 프로그래밍을 포함하는 것이 바람직하며, 이는 도 1 내지 도 4에 상술된 프로세스를 구현하는데 사용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행될 수 있다. 작동시, 상기 코드 및 그와 관련된 데이터 기록은 범용 컴퓨터 플랫폼내에 저장될 것이다. 하지만, 그 이외의 시간에는, 상기 소프트웨어는 다른 로케이션에 저장되고 및/또는 적절한 범용 컴퓨터 시스템으로의 로딩을 위해 전달될 수 있다. 그러므로, 상술된 실시예들은 1이상의 기계-판독가능한 매체에 의해 수행되는 코드의 1이상의 모듈의 형식으로 1이상의 소프트웨어 제품과 관련된다. 컴퓨터 시스템의 프로세서에 의한 이러한 코드의 실행은 기본적으로 본 명세서에서 서술되고 예시된 실시예들로 수행되는 방식으로 플랫폼이 카탈로그 및/또는 소프트웨어 다운로딩 기능을 구현할 수 있게 한다.
본 명세서에서 사용되는 바와 같이, 컴퓨터 또는 기계와 같은 "판독가능한 매체"라는 용어는, 실행을 위해 프로세서에 명령어를 제공하는데 관여한 여하한의 매체를 지칭한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하나 상기의 것들로 제한되지 않는 다양한 형식을 취할 수 있다. 비휘발성 매체는, 예를 들어 서술된, 서버 플랫폼의 하나로서 작동하는 여하한의 컴퓨터(들)내의 여하한의 저장 디바이스와 같이 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 이러한 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적 전송 매체는 컴퓨터 시스템내에 버스를 포함하는 와이어들을 포함해 동축 케이블(coaxial cable); 구리선 및 섬유 광학기를 포함한다. 반송파 전송 매체는 무선 주파수(RF)와 적외선(IR) 데이터 커뮤니케이션 중에 생성된 파와 같이 전기 또는 전자기 신호, 또는 탄성파 또는 광 파의 형식을 취할 수 있다. 그러므로, 컴퓨터-판독가능한 매체의 일반적인 형식은, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 자기 테이프, 여하한의 자기 매체, CD-ROM, DVD, 여하한의 광학 매체, 펀치 카드, 페이퍼 테이프, 홀의 패턴을 갖는 여하한의 물리적 매체와 같이 보편적으로 덜 사용되는 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 여하한의 메모리 칩 또는 카트리지, 데이터 또는 명령어를 전달하는 반송파, 이러한 반송파를 전달하는 케이블 또는 링크, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여하한의 매체를 포함한다. 컴퓨터 판독가능한 매체의 이들 형식 중 대다수는, 실행을 위해 프로세스에 1이상의 명령어의 1이상의 시퀀스를 전달하는 것과 관련될 수 있다.
도 7 및 도 8은 본 발명의 일정한 임계값 고유 분해 모델을 이용한 4개의 고유 함수를 이용하여 도출된 예시적인 이미지를 예시한다. 도 7은 "탑-햇" 주문형 조명의 일례로서 헥스-폴 일루미네이터(hex-pole illuminator)를 예시한다. 도 8은 평가된 제1, 제2, 제3 및 제4 대응 고유 주파수를 예시한다. 보다 고차수의 고유 함수는 본 발명의 방법을 이용하여 도출될 수 있다.
도 9 및 도 10은 본 발명의 일정한 임계값 고유 분해 모델을 이용하는 4개의 고유 함수를 이용하여 도출된 예시적인 이미지를 예시한다. 도 9는 조명 소스로서 Quasar 조명 퓨필을 예시한다. 도 10은 평가된 제1, 제2, 제3 및 제4 대응 고유 함수를 예시한다. 보다 고차수의 함수는 상기 방법을 이용하여 도출될 수 있다.
도 18 내지 도 20b는 Quasar 조명과 248㎚ 노광 광을 갖는 예시적인 마스크 패턴으로 본 발명의 모델의 구현을 예시한다. 복수의 이미지가 획득되었으며 제1 및 제8 이미지의 캘리브레이션 결과 및 에러 통계는 도 19 및 도 20에 예시된다. 에러는 픽셀 크기 제한임을 유의한다. 에러는 피처 에지를 따라 한 픽셀씩 계산된다. CD 측정 윈도우는 항상 CD 측정 중에 정의되며, 통상적으로 측정 윈도우내에는 상당한 픽셀 수가 존재하므로, CD 에러 분포는 상당히 좁다.
본 명세서에서 제안된 구현 방법 및 최적의 고유 함수는 정밀한 모델 OPC에 대한 디자인으로 언급된다. 하지만, 이 방법은 정밀한 모델 OPC 용도로 제한되지 않는다. 이 방법은, 예를 들어 리소그래피에서 광범위한 용도로 확장될 수 있으며, 비-프린트된 피처와 비-스캐터링 바아 등등과 같은 어시스트 OPC 피처의 자동 배치를 위한 간섭 맵(interference map)의 생성으로 제한되지 않는다.
본 발명은, 기술적 사상과 기본 특성으로부터 벗어나지 않으면서 다른 특정한 형식으로 구현될 수 있다. 그러므로, 본 발명은 예시된 바와 같은 모든 관점에서 고려될 수 있고 이로 제한되지 않으며, 본 발명의 범위는 상술된 서술내용에 의해서라기보다는 첨부된 청구항에 의해 정해지고, 청구항의 균등론의 범위 및 의미내에서 행해지는 모든 변형례들은 그 안에 포함되도록 의도된다.
본 발명에 따르면, 피처 크기가 감소하더라도 최소의 계산 시간으로 원하는 이미지를 정확하게 형성할 수 있는 마스크 구현예를 제공할 수 있다.
도 1은 본 발명에 따른 예시적인 스칼라 고유 분해 모델을 예시한 도면;
도 2는 도 1의 스칼라 고유 분해 모델을 구현하기 위한 예시적인 프로세스를 예시한 도면;
도 3은 본 발명에 따른 가변 임계값을 갖는 스칼라 고유 분해 모델을 구현하기 위한 예시적인 프로세스를 예시한 도면;
도 4는 벡터 고유 분해 모델을 구현하기 위한 예시적인 프로세스를 예시한 도면;
도 5는 도 1 내지 도 3에 예시된 고유 분해 모델들을 구현하기 위한 예시적인 처리 시스템을 예시한 도면;
도 6은 본 발명의 도움을 받아 디자인된 마스크를 사용하기에 적합한 리소그래피 투영장치를 개략적으로 도시한 도면;
도 7 및 도 8은 탑 햇 조명(top hat illumination)을 구비한 일정한 임계값 고유 분해 모델을 이용하는 4개의 고유함수들을 이용하여 도출된 예시적인 이미지들을 예시한 도면;
도 9 및 도 10은 쿼사(quasar) 조명을 구비한 일정한 임계값 고유 분해 모델을 이용하는 4개의 고유함수들을 이용하여 도출된 예시적인 이미지들을 예시한 도면;
도 11 내지 도 15는 본 발명의 원리들을 따라 결정된 예시적인 마스크 패턴들로부터 예시적인 외형들의 세그먼트들을 연결시키는 프로세스를 예시한 도면;
도 16은 추출된 외형으로부터 CD 값들을 얻는 것을 예시한 도면;
도 17은 GDSII 포맷으로 예시적인 마스크 패턴의 외형들을 나타내는 것을 예시한 도면; 및
도 18 내지 도 20b는 Quasar 조명 및 248nm 노광광(exposure light)을 이용하여, 예시적인 마스크 패턴을 구비한 본 발명의 모델의 구현예를 예시한 도면이다.

Claims (28)

  1. 포토리소그래피 프로세스를 모델링하는 방법에 있어서,
    타겟 패턴, 및 상기 타겟 패턴을 묘화하는데 활용되는 묘화 시스템의 특성들을 나타내는 파라미터들을 수용하는 단계;
    상기 파라미터들에 기초하여, 생성될 것으로 예상되는 에어리얼 이미지의 복수의 고유 함수를 사용하여, 마스크 레이아웃에 의하여 레지스트 상에 생성될 것으로 예상되는 에어리얼 이미지 세기 분포를 결정하는 단계; 및
    상기 에어리얼 이미지에 기초하여 시스템 의사 세기 함수(SPIF)를 결정하는 단계를 포함하는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  2. 제 1 항에 있어서,
    외형(contour)들을 생성하도록 상기 SPIF에 일정한 임계값을 적용하는 단계;
    예상된 외형들과 상기 생성된 외형들을 비교하는 단계; 및
    상기 생성된 외형들이 상기 예상된 외형들의 미리결정된 톨러런스 내에 있지 않는 경우 각각의 고유 함수와 관련된 각 항의 가중치들을 조정하여 새로운 SPIF를 생성하는 단계를 더욱 포함하는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  3. 제 2 항에 있어서,
    상기 SPIF에 적용하는 일정한 임계값을 적용하는 상기 단계, 상기 생성된 외형들을 비교하는 상기 단계, 및 각각의 고유 함수와 관련된 각 항의 가중치들을 조정하는 단계가, 미리결정된 횟수만큼 또는 상기 생성된 외형들이 상기 예상된 외형들의 미리결정된 톨러런스내에 있을 때까지 반복되는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  4. 제 3 항에 있어서,
    상기 일정한 임계값은 각각의 SPIF에 대해 동일한 일정한 임계값인 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  5. 제 3 항에 있어서,
    상기 일정한 임계값은 각각의 SPIF에 대해 변하는 가변 임계값인 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  6. 제 1 항에 있어서,
    에어리얼 이미지를 결정하는 상기 단계는 광파의 벡터특성화를 활용하는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  7. 제 1 항에 있어서,
    에어리얼 이미지를 결정하는 상기 단계는 상기 광학 묘화 시스템의 특성들을 나타내는 퓨필 함수를 활용하는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  8. 제 7 항에 있어서,
    상기 퓨필 함수는 다음의 함수에 의해 생성되는데:
    여기서, (α',β')는 출구 퓨필내의 각 좌표들이고, z'은 에어/레지스트 인터페이스에 대한 레지스트 내의 평면 위치이고, W(α',β')는 수차 함수이고, Δ는 디포커스이고, N은 묘화 시스템의 축소율이고, Qkj(α',β')는 대상물 공간내의 j번째 성분으로부터 이미지 공간 내의 k번째 성분으로의 광 편광 변환을 나타내며, Qjk(α',β'; z')는 필름 스택 내의 광 간섭의 효과를 나타내며; 그리고
    인 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  9. 제 8 항에 있어서,
    에이리얼 이미지를 결정하는 상기 단계는 고유 벡터 분해를 이용하여 평면 z에서의 광 세기 분포를 결정하는 단계를 포함하는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  10. 제 9 항에 있어서,
    에이리얼 이미지를 결정하는 상기 단계는 z-평균화된 광 세기 분포를 결정하는 단계를 더욱 포함하는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  11. 제 10 항에 있어서,
    상기 z-평균화된 광 세기 분포는 다음의 함수:
    에 따라 결정되는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  12. 제 6 항에 있어서,
    상기 묘화 시스템은 0.7과 같거나 그보다 큰 개구수를 가지는 것을 특징으로 하는 포토리소그래피 프로세스 모델링 방법.
  13. 마스크 레이아웃을 모델링하는 시스템에 있어서,
    상기 마스크 레이아웃, 및 상기 마스크 레이아웃이 사용될 것으로 의도되는 묘화 시스템의 특성들을 나타내는 파라미터들을 수용하는 입력부; 및
    상기 파라미터들에 기초하여, 생성될 것으로 예상되는 에어리얼 이미지의 고유 함수들을 사용하여, 상기 마스크 레이아웃에 의하여 레지스트 상에 생성될 것으로 예상되는 에어리얼 이미지 세기 분포를 결정하고, 그리고 상기 에어리얼 이미지를 SPIF로 변환시키는 명령어들을 실행하는 프로세서를 포함하는 것을 특징으로 하는 마스크 레이아웃 모델링 시스템.
  14. 제 13 항에 있어서,
    상기 에어리얼 이미지는 일정한 임계값 고유 분해 모델을 사용하여 결정되는 것을 특징으로 하는 마스크 레이아웃 모델링 시스템.
  15. 제 13 항에 있어서,
    상기 에어리얼 이미지는 가변 임계값 고유 분해 모델을 사용하여 결정되는 것을 특징으로 하는 마스크 레이아웃 모델링 시스템.
  16. 제 13 항에 있어서,
    상기 에어리얼 이미지는 벡터 고유 분해 모델을 사용하여 결정되는 것을 특징으로 하는 마스크 레이아웃 모델링 시스템.
  17. 컴퓨터 판독가능한 매체에 있어서,
    상기 마스크 레이아웃, 및 상기 마스크 레이아웃이 사용될 것으로 의도되는 묘화 시스템의 특성들을 나타내는 파라미터들을 수용하는 단계;
    상기 파라미터들에 기초하여, 생성될 것으로 예상되는 에어리얼 이미지의 복수의 고유 함수를 사용하여, 상기 마스크 레이아웃에 의하여 레지스트 상에 생성될 것으로 예상되는 에어리얼 이미지 세기 분포를 결정하는 단계; 및
    상기 에어리얼 이미지에 기초하여 시스템 의사 세기 함수(SPIF)를 결정하는 단계를 포함하여 이루어지는 포토리소그래피 프로세스를 모델링하는 방법을 수행하는 명령어들을 포함하는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  18. 제 17 항에 있어서,
    외형들을 생성하도록 상기 SPIF에 일정한 임계값을 적용하는 단계;
    예상된 외형들과 상기 생성된 외형들을 비교하는 단계; 및
    상기 생성된 외형들이 상기 예상된 외형들의 미리결정된 톨러런스 내에 있지 않는 경우 각각의 고유 함수와 관련된 각 항의 가중치들을 조정하여 새로운 SPIF를 생성하는 단계를 수행하기 위한 명령어들을 더욱 포함하는 컴퓨터 판독가능한 매체.
  19. 제 18 항에 있어서,
    상기 명령어들은, 상기 SPIF에 적용하는 일정한 임계값을 적용하는 상기 단계, 상기 생성된 외형들을 비교하는 상기 단계, 및 각각의 고유 함수와 관련된 각 항의 가중치들을 조정하는 단계가 미리결정된 횟수만큼 또는 상기 생성된 외형들이 상기 예상된 외형들의 미리결정된 톨러런스내에 있을 때까지 반복되도록 명령하는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  20. 제 19 항에 있어서,
    상기 일정한 임계값은 각각의 SPIF에 대해 동일한 일정한 임계값인 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  21. 제 19 항에 있어서,
    상기 일정한 임계값은 각각의 SPIF에 대해 변하는 가변 임계값인 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  22. 제 17 항에 있어서,
    에어리얼 이미지를 결정하는 상기 단계는 광파의 벡터특성화를 활용하는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  23. 제 17 항에 있어서,
    에어리얼 이미지를 결정하는 상기 단계는 상기 광학 묘화 시스템의 특성들을 나타내는 퓨필 함수를 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  24. 제 23항에 있어서,
    상기 퓨필 함수는 다음의 함수에 의해 생성되는데:
    여기서, (α',β')는 출구 퓨필내의 각 좌표(angular coordinate)들이고, z'은 에어/레지스트 인터페이스에 대한 레지스트 내의 평면 위치(plane position)이고, W(α',β')는 수차 함수(aberration function)이고, Δ는 디포커스이고, N은 묘화 시스템의 축소율(reduction factor)이고, Qkj(α',β')는 대물 공간(object space)내의 j번째 성분으로부터 이미지 공간 내의 k번째 성분으로의 광 편광 변환(light polarization conversion)을 나타내며, Qjk(α',β'; z')는 필름 스택 내의 광 간섭의 효과를 나타내며; 그리고
    인 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  25. 제 24 항에 있어서,
    에이리얼 이미지를 결정하는 상기 단계는 고유 벡터 분해를 이용하여 평면 z에서의 광 세기 분포를 결정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  26. 제 25 항에 있어서,
    에이리얼 이미지를 결정하는 상기 단계는 z-평균화된 광 세기 분포를 결정하는 단계를 더욱 포함하는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  27. 제 26 항에 있어서,
    상기 z-평균화된 광 세기 분포는 다음의 함수:
    에 따라 결정되는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
  28. 제 22 항에 있어서,
    상기 묘화 시스템은 0.7과 같거나 그보다 큰 개구수를 가지는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
KR1020040089990A 2003-11-05 2004-11-05 고유 분해 기반 opc 모델 KR20050043713A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51708303P 2003-11-05 2003-11-05
US60/517,083 2003-11-05
US60571604P 2004-08-31 2004-08-31
US60/605,716 2004-08-31

Publications (1)

Publication Number Publication Date
KR20050043713A true KR20050043713A (ko) 2005-05-11

Family

ID=34437349

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040089990A KR20050043713A (ko) 2003-11-05 2004-11-05 고유 분해 기반 opc 모델

Country Status (6)

Country Link
US (1) US7398508B2 (ko)
EP (1) EP1530083A3 (ko)
JP (1) JP4524174B2 (ko)
KR (1) KR20050043713A (ko)
CN (1) CN1661479A (ko)
TW (1) TW200523524A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100860088B1 (ko) * 2005-12-29 2008-09-25 에이에스엠엘 마스크툴즈 비.브이. 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치
KR100889124B1 (ko) * 2006-07-12 2009-03-16 캐논 가부시끼가이샤 원판 데이터 작성 방법 및 원판 데이터 작성 프로그램

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7292315B2 (en) 2003-12-19 2007-11-06 Asml Masktools B.V. Optimized polarization illumination
US7310796B2 (en) * 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
US7331033B2 (en) * 2004-08-27 2008-02-12 Applied Materials, Israel, Ltd. Simulation of aerial images
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
WO2006118098A1 (ja) * 2005-04-26 2006-11-09 Renesas Technology Corp. 半導体装置およびその製造方法ならびに半導体製造用マスク、光近接処理方法
US7458058B2 (en) * 2005-06-10 2008-11-25 Texas Instruments Incorporated Verifying a process margin of a mask pattern using intermediate stage models
KR100655428B1 (ko) * 2005-10-24 2006-12-08 삼성전자주식회사 광근접효과보정 시스템 및 방법
US7523435B2 (en) * 2005-12-01 2009-04-21 Intel Corporation Pixelated masks for high resolution photolithography
CN100462995C (zh) * 2005-12-10 2009-02-18 腾讯科技(深圳)有限公司 一种图像文件的验证及使用方法
WO2007088542A2 (en) * 2006-02-01 2007-08-09 Applied Materials Israel Limited Method and system for evaluating a variation in a parameter of a pattern
US7378202B2 (en) 2006-02-21 2008-05-27 Mentor Graphics Corporation Grid-based resist simulation
JP5050365B2 (ja) * 2006-02-23 2012-10-17 富士通セミコンダクター株式会社 フォトマスクの製造方法
US8521481B2 (en) * 2006-08-30 2013-08-27 Asml Masktools B.V. Method, program product and apparatus for modeling resist development of a lithography process
KR100809705B1 (ko) * 2006-09-26 2008-03-06 삼성전자주식회사 반도체 소자의 패턴 예측을 위한 이미지 콘투어 형성방법
US7716627B1 (en) * 2006-09-28 2010-05-11 Guada, Inc. Solution-dependent regularization method for quantizing continuous-tone lithography masks
US8120753B2 (en) * 2006-11-08 2012-02-21 Asml Masktools B.V. Method, program product and apparatus for generating a calibrated pupil kernel and method of using the same in a lithography simulation process
US7562337B2 (en) * 2006-12-11 2009-07-14 International Business Machines Corporation OPC verification using auto-windowed regions
US8365107B2 (en) 2007-01-18 2013-01-29 Nikon Corporation Scanner based optical proximity correction system and method of use
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
JP5479328B2 (ja) * 2007-06-04 2014-04-23 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
JP5395340B2 (ja) * 2007-08-06 2014-01-22 株式会社東芝 プロセスモデル作成方法、プロセスモデル作成プログラム及びパターン補正方法
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US7831954B2 (en) * 2007-09-25 2010-11-09 Synopsys, Inc. Flash-based updating techniques for high-accuracy high efficiency mask synthesis
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
US8161421B2 (en) * 2008-07-07 2012-04-17 International Business Machines Corporation Calibration and verification structures for use in optical proximity correction
US8006203B2 (en) * 2008-08-28 2011-08-23 Synopsys, Inc. Bulk image modeling for optical proximity correction
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US7877897B2 (en) * 2008-12-16 2011-02-01 Skechers U.S.A., Inc. Ii Shoe
US9892221B2 (en) * 2009-02-20 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system of generating a layout including a fuse layout pattern
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8620643B1 (en) 2009-07-31 2013-12-31 Lester F. Ludwig Auditory eigenfunction systems and methods
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
CN102033423B (zh) * 2009-09-28 2013-05-29 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
US8355807B2 (en) * 2010-01-22 2013-01-15 Synopsys, Inc. Method and apparatus for using aerial image sensitivity to model mask errors
US8812145B2 (en) 2010-01-22 2014-08-19 Synopsys, Inc. Modeling mask errors using aerial image sensitivity
TWI403822B (zh) * 2010-04-14 2013-08-01 Hon Hai Prec Ind Co Ltd 投影機對比度增強系統及其增強方法
CN102262352B (zh) * 2010-05-27 2014-05-07 中芯国际集成电路制造(上海)有限公司 制作掩膜版的方法、对布局图形进行光学邻近修正方法
US8415077B2 (en) 2010-08-13 2013-04-09 International Business Machines Corporation Simultaneous optical proximity correction and decomposition for double exposure lithography
US20120192125A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Correcting and Optimizing Contours for Optical Proximity Correction Modeling
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8589830B2 (en) * 2012-03-07 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced optical proximity correction
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9311700B2 (en) 2012-09-24 2016-04-12 Kla-Tencor Corporation Model-based registration and critical dimension metrology
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US10469398B2 (en) 2014-03-04 2019-11-05 International Business Machines Corporation Selecting forecasting model complexity using eigenvalues
US9262820B2 (en) 2014-05-19 2016-02-16 United Microelectronics Corporation Method and apparatus for integrated circuit design
US10310372B1 (en) 2017-02-27 2019-06-04 Cadence Design Systems, Inc. Full-chip hierarchical inverse lithography
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
CN107844644B (zh) * 2017-10-26 2021-09-14 上海集成电路研发中心有限公司 一种建立晶圆形貌opc模型的方法
CN107908071B (zh) * 2017-11-28 2021-01-29 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法
CN107942614B (zh) * 2017-12-22 2020-12-25 上海集成电路研发中心有限公司 孔层辅助图案生成方法及校正函数生成方法
CN109976087B (zh) * 2017-12-27 2022-08-23 上海集成电路研发中心有限公司 掩模图案模型的生成方法及掩模图案的优化方法
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
EP3731018A1 (en) * 2019-04-23 2020-10-28 ASML Netherlands B.V. A method for re-imaging an image and associated metrology apparatus
CN110334387B (zh) * 2019-05-09 2022-11-25 重庆大学 一种基于bp神经网络算法的室内光照预估方法
US11061321B1 (en) * 2019-06-24 2021-07-13 Synopsys, Inc. Obtaining a mask using a cost function gradient from a Jacobian matrix generated from a perturbation look-up table
US11100395B2 (en) * 2019-12-05 2021-08-24 Sas Institute Inc. Analytic system for interactive direct functional principal component analysis
US10963788B1 (en) * 2019-12-05 2021-03-30 Sas Institute Inc. Analytic system for interactive graphical model selection
CN111985095A (zh) * 2020-08-12 2020-11-24 内蒙古第一机械集团股份有限公司 一种装配工艺参数优化方法
CN112949810A (zh) * 2021-02-20 2021-06-11 新疆大学 用于可见光无线技术改进粒子群优化组合波束拟合方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4894790A (en) * 1986-02-05 1990-01-16 Omron Tateisi Electronics Co. Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) * 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) * 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) * 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) * 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
JP2910716B2 (ja) * 1997-01-16 1999-06-23 日本電気株式会社 光強度計算のパラメトリック解析方法
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6223139B1 (en) * 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US7175940B2 (en) * 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
JP4102728B2 (ja) * 2002-07-26 2008-06-18 エーエスエムエル マスクツールズ ビー.ブイ. 自動光近接補正(opc)ルール作成
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
KR100719154B1 (ko) 2003-01-14 2007-05-17 에이에스엠엘 마스크툴즈 비.브이. 콘택홀 마스크를 위한 광근접성보정설계 방법
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
KR100927454B1 (ko) * 2003-10-31 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
US7506299B2 (en) * 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100860088B1 (ko) * 2005-12-29 2008-09-25 에이에스엠엘 마스크툴즈 비.브이. 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치
KR100889124B1 (ko) * 2006-07-12 2009-03-16 캐논 가부시끼가이샤 원판 데이터 작성 방법 및 원판 데이터 작성 프로그램

Also Published As

Publication number Publication date
CN1661479A (zh) 2005-08-31
EP1530083A3 (en) 2006-03-01
US7398508B2 (en) 2008-07-08
JP4524174B2 (ja) 2010-08-11
JP2005173595A (ja) 2005-06-30
TW200523524A (en) 2005-07-16
EP1530083A2 (en) 2005-05-11
US20050149902A1 (en) 2005-07-07

Similar Documents

Publication Publication Date Title
KR20050043713A (ko) 고유 분해 기반 opc 모델
US10846442B2 (en) Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration
KR100824031B1 (ko) 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
KR100725621B1 (ko) 캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법
KR100760037B1 (ko) 소스 및 마스크 최적화 방법
TWI402631B (zh) 微影製程窗模擬之方法及系統
US8065636B2 (en) System and method for creating a focus-exposure model of a lithography process
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
US8849008B2 (en) Determining calibration parameters for a lithographic process
KR100839972B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
KR100860329B1 (ko) 이웃 영향을 팩터링하는 모델 기반 광 근접성 보정을수행하는 장치, 방법 및 컴퓨터 프로그램물
KR20060087446A (ko) 임계 치수 계산에 사용되는 레지스트 모델들의캘리브레이션을 개선하기 위한 방법, 프로그램물 및 장치
KR102649174B1 (ko) 협소화 대역폭을 이용한 이미징 방법 및 장치
TWI838957B (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之非暫時性電腦可讀媒體
TW202418147A (zh) 用於判定與半導體製造相關之光罩設計的深度學習模型
TW202240316A (zh) 依據微影設備或製程特徵所特徵化之表示選擇圖案

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application