KR20030038396A - 우선적인 화학 기상 증착 장치 및 방법 - Google Patents

우선적인 화학 기상 증착 장치 및 방법 Download PDF

Info

Publication number
KR20030038396A
KR20030038396A KR1020020066793A KR20020066793A KR20030038396A KR 20030038396 A KR20030038396 A KR 20030038396A KR 1020020066793 A KR1020020066793 A KR 1020020066793A KR 20020066793 A KR20020066793 A KR 20020066793A KR 20030038396 A KR20030038396 A KR 20030038396A
Authority
KR
South Korea
Prior art keywords
gas
reaction zone
injector
gases
substrate
Prior art date
Application number
KR1020020066793A
Other languages
English (en)
Inventor
브루스이. 메이어
니틴케이. 잉글
로버트에스. 머피
콜비디. 매트슨
사무엘에스. 쿠리타
Original Assignee
에이에스엠엘 유에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 유에스, 인코포레이티드 filed Critical 에이에스엠엘 유에스, 인코포레이티드
Publication of KR20030038396A publication Critical patent/KR20030038396A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45595Atmospheric CVD gas inlets with no enclosed reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학 종을 우선적으로 증착하는 화학 기상 증착 방법 및 장치는 반응 영역내의 반응 가스 존재 시간을 연장함으로써 형성된다. 이들 우선 증착 종은 반도체 웨이퍼 및/또는 다른 CVD 기판상 트렌치의 측면 및 하부상에 보다 빠르게 증착하여 리버스 활성 마스킹 같은 값비싼 포스트 처리 단계를 제거하고 보다 균일한 막의 형성을 촉진한다.

Description

우선적인 화학 기상 증착 장치 및 방법 {SYSTEM AND METHOD FOR PREFERENTIAL CHEMICAL VAPOR DEPOSITION}
본 출원은 2001년 11월 1일에 출원된 미합중국 예비 출원 일련 번호 60/355,494의 특징을 청구하고, 여기에 참조로써 통합된다.
본 발명은 가스 화합물을 하나의 표면에 전달하기 위한 장치 및 방법에 관한 것이다. 특히, 본 발명은 반도체 기판상 토포그래픽 피처의 보다 균일한 코팅을 조성하기 위하여 질화 실리콘 표면에 대해 실리콘 산화물 표면상 박막 또는 층으로서 테트라에틸 오소실리케이트(TEOS)(orthosilicate) 및 오존(O3)의 반응 생성물 같은 화학 종의 우선 화학 기상 증착 장치 및 방법을 제공한다.
화학 기상 증착(CVD)은 하나 이상의 화합물의 안정된 막 또는 층이 열반응 또는 임의의 가스 화합물의 분해에 의해 표면상에 증착되는 반도체 제조시 중요 요소이다. CVD 시스템은 많은 형태가 있다. 상기 처리 장치의 예는 미국특허번호 4,834,020, 5,122,391, 5,136,975 및 6,022,414에 기술되고 양수인에 의해 소유되고 여기에 참조로써 통합된다. 특허번호 4,834,020 및 5,122,391은 컨베이어 대기압 CVD(APCVD) 장치를 기술한다. 다른 CVD 장치는 플라즈마 강화 CVD(PECVD) 장치, 및 저압 CVD(LPCVD) 장치 같은 산업에서 폭넓게 사용된다.
CVD 장치의 하나의 중요한 부품은 가스 화합물을 표면에 전달하기 위해 사용되는 주입기이다. 가스는 기판상에 분배되어 반응하고, 기판의 표면상에 허용된 막을 증착한다. 주입기의 기능은 제어된 방식으로 목표된 위치에 가스를 분배하는 것이다. 가스의 제어된 분배는 가스의 사전 혼합 및 이전 반응을 부분적으로 최소화시킴으로써 완성 기회, 효과 및 가스의 균일한 반응을 최대화시킨다. 완전한 반응은 고품질 막 증착 가능성을 증가시킨다. 만약 가스 흐름이 제어되지 않으면, 화학 반응은 최적화되지 않고 그 결과 균일한 조성물을 가지지 않은 막이될 것이다. 웨이퍼상에 증착된 막이 균일한 조성물을 가지지 않을때, 웨이퍼로부터 제조된 반도체 또는 다른 장치의 적당한 기능은 손상된다. 따라서, 주입기 설계는 제어된 방식으로 가스의 목표된 흐름을 촉진시키는 것이 중요하다.
역사적으로, 대부분의 노력은 반응물 및 증착되지 않은 CVD 생성 화합물이 증착 영역으로부터 제거되는 속도를 최대화하는데에서 이루어졌다. 높은 반응성 선구체 화합물의 혼합물은 시간이 지나감에 따라, 제 2 및 제 3 제품의 비율을 증가시킨다. 부가적으로, 반응 시간이 길어질때, 증착되지 않은 제 1, 제 2, 및 제 3 제품은 작은 상으로, 또는 공수 입자로 핵화되기 시작한다. 기판상에 이들 입자의 증착은 최종 장치에 손상을 유발하거나 심지어 결함을 유도할수있는 불순물의 트랩핑을 증착된 막내에 유발할수있다. 게다가, 주입기 장치상 이들 입자 및 다른 외부 CVD 생성물의 증착은 막힘 및 원하지 않는 오염을 방지하기 위하여 보다 빈번한 관리를 요구한다.
CVD에 의해 증착된 도핑 및 비도핑 실리콘 이산화물 박막(소위 실리케이트 유리)은 전자 장치의 제조시 폭넓은 응용을 제공한다. CMOS 집적 회로(IC)에서 적당한 트랜지스터 장치 동작은 하나의 트랜지스터로부터 다른 트랜지스터로의 전기적 절연을 요구한다. 비도핑 실리케이트 유리(또한 USG 또는 SiOx라 불림)가 충전된 얕은 트렌치 절연(STI) 기술은 이전에 사용된 로컬 산화(LOCOS) 절연 방법에서 상당한 기술적 이익을 제공한다. 특히, 테트라에틸 오소실리케이트(TEOS) 및 오존(O3)으로부터 박막 USG의 증착은 트렌치 충전 재료로서 폭넓게 허용되었다. 증착된 SiOx에 회로 구조의 집적은 일반적으로 종종 복잡하고 값비싼 화학 기계적 폴리싱(CMP) 기술에 의해 상당한 포스트 증착 평탄화를 요구한다.
현재 물리적으로 높고 낮은 영역을 가지는 표면상에 USG를 증착하기 위하여 사용되는 현재 CVD 방법은 하부 기판 토포그래피에 손상을 초래하는 막 표면을 생성한다. 낮은 막 영역은 예상된 바와같이 트렌치이다. 표면을 평탄화하기 위하여 CMP 기술을 사용할때, 균일한 폴리싱 속도는 도 1a에 도시된 바와같이 트렌치 표면의 "디싱"을 유발한다. 디싱은 추후 포토리소그래피 단계 동안 장치의 품질 저하 및 포커스 깊이 문제를 유발한다. 트렌치 디싱을 감소시키기 위한 하나의 종래 기술은 도 1b에 도시된 바와같이 트렌치 에지 이상으로 비도핑 실리콘 산화물(USG)의 상승된 영역을 증착하기 위한 "리버스 활성" 포토리소그래피 단계를 포함한다. 이들 리버스 활성 리지(ridge)의 형성은 회로 구조에 영향을 미치지는 않지만, 상기 리지의 형성은 STI 처리 시퀀스에 값비싼 포토리소그래피 단계를 부가한다.
도 2는 표준 STI 장치 구조의 단면을 도시한다. 도시된 바와같이, USG 증착은 두개의 다른 표면상에서 이루어진다 : (1) 트렌치의 어느 한쪽면상 질화물(SiNY)마스크 층 및, (2) 트렌치 하부 및 측벽상 실리콘 또는 열적 실리콘 산화물. 트렌치 하부 및 측벽상 열적 실리콘 산화물은 열적 산화물 라이너라 불린다. 이런 열적 산화물 라이너는 처리 시퀀스의 선택에 따라 USG 증착 전 또는 후에 형성될수있다. 리버스 활성 마스킹을 달성하기 위한 부가의 값비싼 포토리소그래피 단계의 부가로 인해, 리버스 활성 마스킹 단계를 제거하는 장치 및/또는 방법의 개발은 경제적으로 바람직하다. SiOx가 마스크 질화물 하부 및 트렌치 측벽상에 보다 빠르게 증착하도록 하는 방법 및 장치는 트렌치가 "하부에서부터 충전"되도록 하는 것이다. 실리콘 질화물(SiNY)위 실리콘 또는 실리콘 산화물상 증착에 대한 우선순위 관리는 CMP 전에 리버스 활성 마스킹을 요구하지 않는 편평하게 증착된 표면을 발생시킨다. 따라서, SiNY증착 대신 실리콘(또는 실리콘 산화물)상 SiOx의 우선적 증착에 대한 방법 및 장치는 CVD 장치 및 방법에서 현재 상태의 기술에 비해 매우 바람직하다.
일반적으로, 본 발명의 목적은 우선적인 화학 기상 증착을 위한 방법 및 장치를 제공하는 것이다.
특히, 본 발명의 목적은 기판 표면의 나머지 부분에 비해 빠른 속도로 CVD에 의해 기판 표면의 임의의 영역상에 막 또는 층을 증착하기 위해 기판 표면에 충분히 제어된 방식으로 가스 화합물을 전달하기 위한 개선된 방법 및 장치를 제공하는 것이다.
본 발명의 다른 목적은 증착 막의 성장 속도가 표면의 제 2 영역보다 표면의 하나의 영역(예를들면, 트렌치의 하부 및 측면)에서 크도록 박막을 증착하기 위하여 기판에 TEOS+O3를 전달하는 주입기 및 장치를 제공하는 것이다.
도 1a 및 1b는 디싱(dishing) 문제와 상기 디싱 문제가 통상적인 SiOx충전 얕은 트렌치 절연(STI) 처리 시퀀스에 사용되는 값비싼 "리버스 활성" 마스크 단계에 대한 필요성을 어떻게 유발하는지를 도시하는 개략적인 다이어그램이다.
도 2는 통상적인 얕은 트렌치 절연 장치 구조를 도시하는 단면도이다.
도 3은 본 발명의 일실시예에 따른 배기 통로를 통하여 배기되기전 반응 가스에 대해 연장된 반응 시간을 제공하는 본 발명의 일실시예에 따른 CVD 장치의 개략적인 다이어그램이다.
도 4a 및 4b는 본 발명의 장치의 일방향 및 양방향 주입기의 단면도이다.
도 5는 두개의 주입구 양방향 주입기 어셈블리를 가진 본 발명의 장치의 일시예의 측입단면도이다.
도 6은 종래 기술 CVD 장치 및 주입기와, 본 발명에 따른 CVD 장치 및 주입기에 대한 주입구 출구로부터 거리의 함수로서 이론적인 화학종의 농도를 도시한 그래프이다.
도 7a 및 7b는 종래 기술과 본 발명 사이의 증착 영역 반응 가스 흐름 경로차이를 도시하는 단면도이다.
도 8a 및 8b는 도 5에 도시된 장치를 사용하여 본 발명에 의해 달성된 통상적인 처리 및 처리 시퀀스에 대한 STI 처리 시퀀스의 복잡도를 대비한 도면이다.
도 9는 본 발명의 양방향 CVD 장치가 어떻게 본 발명의 일실시예에 따른 에칭 세척을 수행할수있도록 구성될수있는지의 일실시예를 도시한 개략도이다.
도 10a 및 10b는 종래 기술 주입기를 사용한 우선 증착 시도 결과를 도시하는 SEM 사진 및 챠트이다.
도 11a 및 11b는 본 발명의 일실시예에 따른 방법 및 장치를 사용한 우선 증착에 대한 SEM 사진 및 챠트 결과이다.
도 12는 도 11a 및 11b에 도시된 데이타를 형성하기 위해 사용된 주입기 구조의 개략적인 다이어그램이다.
도 13은 도 4a의 장치에 대한 컴퓨터 흐름 다이나믹(CFD) 모델링 결과를 도시한다.
도 14는 도 4b의 장치에 대한 CFD 모델링 결과를 도시한다.
도 15는 양방향 주입기를 사용한 본 발명의 장치의 일실시예에 대한 부가적인 CFD 모델링 결과를 도시한다.
*도면의 주요 부분에 대한 부호의 설명*
10 : CVD 장치 12 : 기판
14 : 주입기 16 : 가스 전달 튜브
20 : 반응 영역 22 : 배기 구멍
26 : 기판 지지부
본 발명의 일실시예에서, 기판상에 막을 증착하기 위한 화학 기상 증착 장치가 제공된다. 기판의 표면은 적어도 제 1 재료의 영역 및 제 2 재료의 영역을 포함한다. 상기 장치는 하나 이상의 가스를 반응 영역에 제공하는 주입기를 포함한다. 상기 가스는 하나 이상의 가스 반응에 의해 하나 이상의 타켓 화합물 종의 형성을 촉진하기에 충분한 반응 영역 잔류 시간을 가진다. 이들 타켓 화학 종은 제 2 재료보다 빠른 속도로 기판 표면상 제 1 재료상에 증착된다.
본 발명의 다른 실시예는 적어도 하나의 제 1 재료 영역 및 제 2 재료 영역을 가진 기판상에 막을 증착하기 위한 화학 기상 증착 장치를 제공한다. 상기 장치는 하나 이상의 가스, 하나 이상의 가스를 수용하는 주입기에 인접한 반응기 영역, 반응 영역으로부터 가스를 제거하는 배기 통로, 및 측방향 속도로 반응 영역을 통하여 기판을 이동시키기 위한 전송 메카니즘을 제공하는 하나의 주입기를 포함한다. 가스는 기판 표면상 제 2 재료 증착보다 빠른 속도로 기판 표면상에 제 1 재료를 증착하는 하나 이상의 타켓 화합물의 형성을 촉진시키기에 충분한 잔류 시간을 반응 영역에 가스에 제공하는 속도로 배기 통로를 통하여 반응 영역으로부터 제거된다. 기판이 전송 메카니즘에 의해 반응 영역을 통하여 이동될때, 그 표면은목표된 기간 동안 하나 이상의 타켓 종에 노출된다.
본 발명의 다른 실시예에서, 적어도 하나의 제 1 재료 영역 및 제 2 재료 영역을 가지는 기판 표면상에 하나의 막을 우선적으로 증착하기 위한 방법이 제공된다. 하나 이상의 반응 가스는 체적을 가진 반응 영역에 제 1 흐름 속도로 전달된다. 이들 반응 가스는 하나 이상의 타켓 화합물 종의 가스 혼합물 및 하나 이상의 낭비되는 가스를 형성하도록 반응한다. 가스 혼합물은 배기 흐름 속도로 반응 영역으로부터 배기된다. 반응 영역 체적에 대한 배기 및 제 1 흐름 속도의 비율은 반응 영역에서 하나 이상의 반응 가스의 잔류 시간이 하나 이상의 가스로부터 하나 이상의 타켓 화합물 종의 형성을 촉진시키기에 충분하도록 제어된다. 하나 이상의 타켓 화합물 종은 기판 표면상에서 제 2 재료보다 제 1 재료에서 빠른 속도로 증착한다. 기판은 적어도 한번 반응 영역을 통하여 전달되어 하나 이상의 타켓 화합물 종에 그 표면을 노출시킨다.
본 발명의 다른 실시예에서, 얕은 트렌치 절연(STI) 웨이퍼 처리의 일부로서 기판상에 하나의 막을 우선적으로 증착하기 위한 하나의 방법을 제공한다.
본 발명의 다른 목적 및 장점은 본 발명의 상세한 설명, 하기에 제공된 첨부된 청구범위, 및 도면을 참조하여 보다 명확하게 될 것이다.
화학 반응이 동적 처리이기 때문에, 시간은 소스 재료로부터 최종 제품의 형성시 중요한 요소이다. 화합물 "잔류 시간"은 화합물 동적 효과를 폭넓게 설명하는 폭넓게 사용되는 용어이다. 잔류 시간은 반응 영역에서 화합물 종이 잔류하는 시간 양을 계산함으로써 결정될수있고, 일반적으로 체적 흐름 비율에 의해 나뉘어진 체적의 비율로서 계산된다. 플러그 흐름 반응기와 매우 유사한 CVD 장치에서, 제 1 오더 잔류 시간은 반응 영역에서 일정한 단면 영역을 가정함으로써 반응 영역내의 가스 흐름 속도와 가스 흐름 경로 길이의 곱으로서 계산될수있다. 계산적인 흐름 동적(CFD) 모델은 보다 정밀한 잔류 시간 계산을 위하여 통상적으로 사용된다.
발명자는 라인 방식으로 하나의 길이를 따라 가스를 전달하는 선형 주입기가 화합물 잔류 시간의 실질적인 제어를 위한 기회를 제공하는 것을 발견하였다. 잘 설계된 라이너 주입기는 미국특허 번호 6,022,414에 기술된 바와같이 전체 라인 길이를 따라 균일 가스 흐름을 촉진시킨다. 라이너 주입기 바탕 CVD 시스템은 스태픽 기판 또는 웨이퍼상 대부분의 길이를 따라 균일한 범(berm)형 증착 프린트를 형성한다. 부드러운 전송 동작으로 증착 영역을 통한 기판의 이동은 전체 기판 표면상에 실질적으로 균일한 증착 속도를 유발하여 모든 지점에서 기판이 유사한 화학 환경에 노출되도록 한다. 이런 특성은 실질적으로 균일한 속도로 2차원 영역상에서 반응 가스를 전달하는 "샤워 헤드" 주입기 같은 다른 CVD 가스 분배 헤드와 선형 주입기를 근본적으로 구별시킨다. 샤워 헤드형 CVD 주입기는 비도핑 공수 생성물 및 다른 반응 분산물에 대한 비균일한 제거에 의해 증착 불규칙성을 겪는다.
중요한 장점중에서 본 발명은 기판상 여러 영역상에 선택적 또는 우선적 증착을 촉진하기 위한 화합물 잔류 시간의 제어를 제공한다. 본 발명의 방법 및 장치에 따라, 화합물 잔류 시간은 하나 또는 둘의 가스 속도(흐름 속도 제어를 통해) 및 증착 영역 또는 반응 영역(흐름 속도 제어를 통해)에 의해 제어된다. 잔류 시간이 가스 속도 조절에 의해 제어 가능한 정도는 막 증착 균일성, 입자 생성 및 다른 잠재적인 제한 같은 다른 중요한 처리 고려사항에 의해 제한된다. 만약 증착 처리 요구가 가스 흐름 속도를 감소시킴으로써 달성될 수 있는것 보다 초과 잔류 시간을 요구하면, 반응 영역의 길이는 보다 큰 잔류 시간을 얻기 위하여 증가될수있다.
본 발명의 임의의 특징 및 장점은 도 3, 4 및 5에 도시되고, 여기서 본 발명의 CVD 장치(10)는 기판(12)상에 하나의 막을 증착하고 하나 이상의 주입기(14) 및 반응 영역(20)을 포함한다.
본 발명의 하나의 실시예는 기판 표면(12)상에 우선적으로 막을 증착하기 위한 화학 기상 증착 장치(10)를 제공한다. 기판 표면은 적어도 제 1 재료 영역 및 제 2 재료 영역(도시되지 않음)을 가진다. 주입기 슬롯(14)은 예를들어 하나 이상의 가스 전달 튜브(16)에 의해 제공된 하나 이상의 가스를 반응 영역(20)에 전달하여, 반응 가스는 가스의 반응에 의해 하나 이상의 타켓 화합물 종의 형성을 촉진하기에 충분한 잔류 시간을 가진다. 이들 하나 이상의 타켓 화합물 종은 제 2 재료상보다 빠른 속도로 기판 표면(12)상 제 1 재료상에 증착한다.
반응 영역(20)에서 가스의 잔류 시간은 반응 영역(20)의 체적 및 반응 영역(20)으로부터의 가스 배기 속도의 함수로서 바람직하게 제어된다. 가스는 적어도 하나의 배기 구멍(22)에 의해 반응 영역(20)으로부터 제거된다. 반응 영역은 적어도 하나의 상부 벽과 기판 또는 웨이퍼가 지지되는 기판 지지부(26)에 의해 형성된다. 주입구 슬롯(14)은 바람직하게 하나 이상의 가스가 상부 벽(24)을 통하여전달되도록 배치된다. 예를들어, 컨베이어 벨트, 하나 이상의 전송 가능 보트 또는 트레이, 일련의 롤러, 또는 아이템을 측면 방향으로 전송하기 위한 다른 유사한 시스템 같은 컨베이어 메카니즘(도시되지 않음)은 일단 기판(12) 표면이 목표된 시간 동안 하나 이상의 타켓 화합물 종에 노출되도록 반응 영역(20)을 통하여 기판(12) 및 기판 지지부(26)를 전달하기 위하여 포함된다.
도 4a 및 4b는 보다 큰 CVD 장치의 부품으로서 본 발명의 일방향(도 4a) 및 양방향(도 4b) 실시예를 도시하는 개략도이다. 일방향 실시예에서, 두개의 주입기 슬롯(14)이 제공된다. 그러나, 부가적인 시퀀스 주입기 슬롯은 CVD 처리기 경로를 통하여 기판 또는 웨이퍼의 각각의 경로에 대한 증착 속도를 증가시키기 위하여 부가될수있다. 각각의 주입기 슬롯(14)은 가스가 배기 구멍(22)을 통하여 배기되는 반응 영역(20)으로 공급된다. 이 실시예에서, 두개의 반응 영역(20)을 통한 흐름 방향은 반영된다. 각각의 반응 영역(20)을 통한 가스의 흐름은 주입기 슬롯(14)으로부터 하나의 배기 구멍(22)으로 하나의 방향으로 발생한다. 가스 흐름 방향은 도 4a 및 4b에 화살표에 의해 도시된다. 바람직하게, 예를들어 질소 또는 아르곤 같은 불활성 가스를 제공하는 중앙 버퍼 가스 주입기(30)는 가스 흐름을 제어하고 제한하며 반응 영역(20)을 통한 부드러운 박편 흐름을 향상시키기 위하여 각각의 반응 영역(20) 사이에 제공된다. 반응 영역(20)의 시퀀스의 각각의 단부에는 부가적인 단부 버퍼 가스 주입기 슬롯(32)이 있다. 가스는 하나 이상의 가스 전달 튜브(16)에 의해 주입기 슬롯(14)에 제공된다. 도 4a에 도시된 실시예에서, 두개의 가스 전달 튜브(16)는 오존을 제공하고 하나의 튜브(16)는 TEOS 같은 오르가노실리콘 화합물을 제공한다. 그러나, 다른 가스 및 가스 소스의 결합물 및 주입기(14)에 가스를 제공하는 다른 방법은 이런 시스템과 잘 호환될수있다. 도 4a에 도시된 CVD 시스템에서, 중앙 버퍼 가스 주입기(30)로부터 불활성 가스의 흐름 비율은 두개의 단부 버퍼 가스 주입기(32)에 비해 대략 2배이다. 반응 영역으로의 몇몇 부가적인 흐름은 장치의 어느 한쪽 단부에서 수평 화살표에 의해 도시된 바와같이 제공될수있다. 바람직한 실시예에서, 중앙 버퍼 가스 주입기 슬롯(30)은 대략 분당 5 표준 리터(SLPM)로 질소를 제공하고 두개의 단부 버퍼 가스 주입기(32)는 대략 2.5 SLPM으로 질소를 제공한다. 장치(도시되지 않음)의 웨이퍼 로드 및 언로드 영역으로부터 CVD 영역으로 부가적인 가스 흐름은 대략 1 SLPM의 가스 흐름 비율로 제공될수있다.
층 또는 막을 증착하기 위하여, 반도체 웨이퍼(12) 같은 기판은 기판 지지부(26)상에 지지되고 전송 메카니즘(도시되지 않음)에 의해 일련의 반응 영역(20)을 통하여 전송된다. 바람직한 실시예에서, 각각의 주입기 슬롯(14) 및 그것의 대응하는 배기 구멍(22) 사이의 간격은 대략 60 내지 80 mm, 바람직하게 대략 67 mm의 범위이다. 인접한 주입기(14) 사이의 간격은 대략 40 내지 55 mm의 범위이고 보다 바람직하게 대략 45 mm이다.
도 4b에 도시된 본 발명의 양방향 실시예에서, 두개의 주입기 슬롯(14)을 가진 CVD 장치가 도시된다. 일방향 실시예와 같이, 두개의 독립된 반응 영역(20)에 가스를 제공하는 두개의 주입기 슬롯(14)이 도시된다. 이 실시예에서 TEOS 같은 오존 및 오르가노실리콘 화합물은 하나 이상의 가스 전달 튜브(16)에 의해 각각의주입기에 제공된다. 그러나, 본 발명은 단지 두개의 반응 영역을 가진 장치 또는 TEOS 및 오존 공급 가스로부터 생성된 반응 및 증착 막에 대한 장치로 결코 제한되지 않는다. 부가적인 반응 영역(20)을 공급하는 부가적인 주입기 슬롯(14)은 CVD 툴에 대한 크기 제한에 따라 기판의 각각의 통로에 대한 막 증착 속도를 증가시키도록 부가될수있다. 중앙 버퍼 가스 주입기 슬롯(30)은 각각의 반응 영역(20) 사이에서 CVD 처리 영역의 어느 한쪽 단부에 배치된 단부 버퍼 가스 주입기 슬롯(32)에 의해 공급된 하나 이상의 불활성 가스의 흐름 속도에 비해 대략 두배인 흐름 속도로 질소 또는 아르곤 또는 다른 적당한 가스 같은 불활성 가스 또는 가스들을 제공한다. 본 발명의 양방향 실시예는 대략 중앙에 배치된 주입기 슬롯(14)으로부터 반응 영역(20)의 각각의 단부상에 배치된 두개의 배기 구멍(22)으로 각각의 반응 영역(20)을 통하여 가스가 흐르는 일방향 장치와 다르다. 각각의 반응 영역을 통한 가스 흐름은 중앙 주입기 슬롯(14)으로부터 2개의 방향으로 흐른다. 일방향 실시예와 같이, 중앙 가스 주입기 슬롯(30)으로부터 가스 흐름은 바람직하게 대략 5 SLPM일수있다. 단부 버퍼 가스 주입기 슬롯(32)으로부터의 가스 흐름은 바람직하게 대략 2.5 SLPM이다. 툴의 로드 및 언로드 영역으로부터 부가적인 가스 흐름은 이 실시예에서 바람직하게 대략 1 SLPM이다. 양방향 주입기의 바람직한 실시예에서, 각각의 주입기 슬롯(14)과 대응하는 배기 구멍(22) 사이의 간격은 25 내지 100 mm의 범위이고, 바람직하게 대략 35 mm이다. 인접한 주입기(14) 사이의 간격은 70-200 mm이고, 바람직하게 대략 100 mm이다.
부가적인 바람직한 특징은 두개의 인접한 양방향 주입기 시스템을 통합한 보다 완전한 CVD 장치의 부분으로서 도 5에 도시된다. 도 5에 도시된 장치는 상기된 일방향 실시예에 적용할수있다. 일방향 및 양방향 실시예 모드에서, 주입기 슬롯(14)이 슬롯의 실제 길이를 따라 가스의 균일한 흐름을 제공하는 연장된 슬롯으로서 가스 전달 표면에 형성되는 것이 바람직하다. 이와 같이, 하나 이상의 배기 구멍(22) 및 중앙(30) 및 단부(32) 버퍼 가스 주입기는 이상적으로 반응 영역을 한정하는 상부 벽에서 연장된 슬롯으로서 형성된다. 이들 연장된 슬롯을 통한 반응 영역(20)의 안팎으로 가스 흐름은 슬롯 또는 슬롯들의 실제 길이를 따라 바람직하게 균일하고 반응 영역으로의 흐름은 실질적으로 모두 평행하게 정렬된 연장된 슬롯에 수직인 축을 따라 지향된다.
컨베이어 벨트, 이동 가능한 보트 또는 트레이 장치, 제어 가능한 롤러의 베드(bed), 또는 기판을 측면 방향으로 전송하기 위한 다른 적당한 수단과 같은 컨베이어 메카니즘은 바람직하게 제공된다. 이런 컨베이어 장치는 기판 표면이 목표된 주기 동안 하나 이상의 타켓 화합물 종에 노출되도록 측방향 속도로 반응 영역을 통하여 기판을 이동시킬수있다. 이런 방식으로, 타켓 화합물 종에 대한 기판 표면상 제 1 및 제 2 재료의 노출은 반응 영역을 통한 기판의 측방향 속도인 반응 영역에서 가스의 잔류 시간과, 기판이 반응 영역을 통하여 통과되는 시간 양쪽에 대한 함수이다.
상기된 일방향 및 양방향 주입기 장치의 다른 바람직한 실시예에서, 각각의 다수의 주입기 부재(40)는 적어도 두개의 단부 표면 및 연장된 가스 전달 표면을 가진 하나의 연장된 부재(40)의 연장된 주입기 슬롯(14)으로 형성된다. 연장된 가스 전달 표면은 바람직하게 두개의 라운드된 측면 영역(42) 및 가스가 발산하는 중앙 리세스 영역 또는 주입기(20)를 포함한다. 가스는 하나 이상의 가스 전달 튜브(16)에 의해 각각의 주입기 부재(40)내에 공급된다. 라운드된 측면 영역(42) 및 중앙 영역의 총 폭은 대략 50 내지 200 mm의 범위이다. 가스 전달 표면은 반응 영역(20)과 직접 면하는 연장된 부재(40)의 길이를 따라 연장한다. 각각의 다수의 주입기 부재(40)는 구멍 부재(44)에 의해 이웃하는 주입기 부재(40) 또는 장치의 인접한 기판 로드 또는 언로드 영역으로부터 간격진다. 각각의 구멍 부재(44)는 하나 이상의 가스 전달 튜브(16)를 통하여 불활성 가스가 공급되는 중앙(30)이나 단부(32)의 버퍼 가스 주입기 슬롯을 포함한다. 각각의 구멍 부재(44)는 전면, 후면, 상부 및 단부 표면, 및 하부 외부 표면(50)을 가진 단일 부재를 포함한다. 외부 표면(50)은 평면 영역(51) 및 적어도 하나의 윤곽진 측면 영역(52)을 포함한다. 윤곽진 측면 영역(52)은 이웃하는 주입기 부재의 라운드 측면 영역(42)에 인접하고 또한 간격져서, 라운드 배기 구멍(22)은 주입기 부재(40) 및 구멍 부재(44) 사이에 형성된다. 발명자는 가스의 재순환을 감소시키고 반응 영역(20)을 통한 박편 흐름을 촉진시키는 구조를 발견하였다. 다수의 주입기 부재(40)의 반응 영역(20)으로부터 배기된 가스는 배기 출구 라인(60)을 가진 배기 분기관(58)을 통하여 장치로부터 제거된다. 모든 버퍼 가스 주입기 슬롯(30, 32)은 본 발명에서 수직 방식으로 각각의 구멍 부재(44)의 배기 표면(50)을 설정하도록 배치된다. 배기 분기관(58)은 분기된 "침니(chimney)" 영역의 부가를 통하여 종래 기술 분기관에 비해 개량되었다. 부가적으로, 본 발명의 주입기에서 배기 출구 라인(60)은 바람직하게 두개의 분리된 통로로 분할된다. 이것은 하나의 통로에 에칭 세척 가스를 도입하고, 동시에 다른 통로에 반응 가스 부산물을 배기할수있도록 한다.
본 발명의 다른 실시예는 기판 표면상 제 2 재료의 영역에서 보다 빠른 속도로 기판 표면상 제 1 재료 영역상에 막을 우선적으로 증착하기 위한 방법을 제공한다. 반응 가스는 제 1 흐름 속도로 반응 영역으로 전달된다. 하나의 체적을 가진 반응 영역에서, 반응 가스는 상기 표면상 제 2 및 다른 재료에 비해 기판 표면상 제 1 재료상에 우선적으로 증착하는 화합물 종을 포함하는 혼합물을 형성하도록 반응한다. 또한 혼합물에는 비증착된 실리콘 산화물 및 다른 반응 부산물 및 비반응 반응물 같은 다른 낭비되는 가스를 포함한다. 이 가스 혼합물은 하나 이상의 배기 통로를 통하여 배기 흐름 속도로 반응 영역으로부터 배기된다. 반응 영역의 체적에 대한 배기 흐름 비율의 비를 제어함으로써, 반응 영역에서 하나 이상의 반응 가스의 잔류 시간은 기판상 제 2 재료에서 보다 빠른 속도로 기판 표면상 제 1 재료에 증착하는 타켓 종의 형성을 촉진시키도록 제어될수있다. 일단 주입기에 의해 공급된 가스 및 배기 통로를 통해 배기된 가스의 흐름은 안정화되고 주입기와 하나 이상의 배기 통로 사이 거리의 함수로서 화합물 종 농도의 안정 상태 프로파일이 형성되면, 기판은 하나 이상의 타켓 종에 표면을 노출시키기 위하여 다수번 반응 영역을 통하여 전송된다.
하나 이상의 반응 가스는 예를들어 TEOS 같은 오르가노실리콘 화합물 및 오존 같은 산화 화합물을 제공하는 하나 이상의 가스 전달 튜브에 의해 공급되는 라이너 주입기를 통하여 전달된다. 만약 선형 주입기 슬롯이 사용되면, 배기 통로는일방향 또는 양방향 CVD 장치 실시예를 참조하여 상기된 바와같이 연장된 부재의 연장된 슬롯이다.
반응 영역 크기를 증가시키는 것은 기본적으로 반응 영역이 처리될 기판보다 실질적으로 클수없기 때문에 비선형 주입기에서 제한된다. 선형 주입기 시스템에서, 기판이 반응 영역을 통하여 전송되기 때문에 기판의 크기로부터 반응 영역 크기를 분리하는 것은 가능하다. 우선적인 증착을 위하여 사용되는 보다 느리게 형성되는 화합물 종의 형성은 도 6에 개념적으로 도시된 바와같이 가스 입구로부터 일정 거리에서 최고이어야 한다. 예를들어 TEOS 및 O3반응물에서 SiOx/SiO2의 전환은 일련의 중간 화합물 종을 통하여 진행한다. 우선적인 증착에 사용되는 종은 비우선적인 종보다 시간상 늦게 형성된다. 도 6에 도시된 바와같이 종래 기술에 비해 본 발명의 주입기 시스템 및 방법의 보다 넓은 반응 영역은 이들 우선적인 증착 종의 형성을 위하여 보다 많은 시간을 허용한다.
만약 우선적 증착 특성이 기판의 크기를 초과하는 화합물 종을 형성하기 위하여 연장된 잔류 시간이 필요하면, 목표된 종은 기판의 마진을 넘어서 형성되어 결국 증착되지 못한다. 따라서, 기판 너머 요구된 증착 종의 형성은 실제적으로 값어치가 없다. 이것은 전체 기판이 증착 영역에 동시에 노출되는 샤워 헤드형 반응 영역에서 부가적인 제한이다. "샤워헤드"형 반응 영역은 미리 기판보다 약간 크고, 기판은 중앙에 자리한다. 주입기 장치에서 반응 영역의 크기를 증가시키는 것은 실질적으로 기판에 대한 보다 오랜 잔류 시간 노출을 유발하지 않는다.
"완전 통과" 증착과 선형 주입기 증착 영역의 결합은 기판 크기가 제한되는 증착 영역에 대한 해결책을 제공한다. 완전 통과 코팅은 증착 영역이 기판 크기에 의해 제한되지 않는 가스 흐름을 제공한다. 완전 통과 증착시 CVD 가스는 주입기로 보내지고 그 다음 반응 영역으로 보내진다. 여기서 상기 가스들은 뜨거운 기판이 증착 영역 외측에서 기다리는 동안 시간적으로 안정화된다. 반응 영역을 통한 거리의 함수로서 안정 상태 농도 프로파일의 개선에 의한 가스 안정화후, 예를들어 컨베이어 벨트, 이동 가능 트레이 또는 보트, 일련의 제어 가능 롤러, 또는 기판을 지지 및 이동시키기 위한 몇몇 유사한 메카니즘 같은 선형 전송 시스템은 기판이 증착 영역을 완전히 통과할때까지 증착 영역을 통해 기판을 통과시킨다. 증착 영역으로부터 완전히 벗어난후, 기판 이동 방향은 리버스되고, 기판은 다시 증착 영역을 통하여 완전히 재전송될수있다. 이런 "후방 및 전방" 전송은 요구된 막 깊이를 형성하기 위하여 필요한 만큼 다수번 반복될수있다.
도 7a 및 7b는 예를들어 미국특허번호 6,022,414에 기술된 주입기 같은 종래 기술 선형 주입기 바탕 CVD 장치와 본 발명의 대표적인 양방향 주입기 실시예 사이의 몇가지 차이를 도시한다.
본 발명에 따라, 증착 또는 반응 영역(20)은 구멍 부재(44)의 대향하는 윤곽부 측면 영역(52) 사이 "노오즈 대 노오즈" 거리를 특징으로 하는 폭을 가진다. 이런 폭은 상당히 증가되어 증착 영역으로부터 가스의 제거 속도를 최대화하는 종래 기술과 대비하여 가스 잔류 시간을 증가시킨다. 예를들어, 노오즈 대 노오즈 거리의 폭은 도 7a에 도시된 종래 기술 장치에서 약 30 mm이지만 도 7b에 도시된본 발명에서 약 70 mm 이상이다. 보다 길거나 짧은 흐름 경로는 주어진 응용에서 사용될 가스 및 기판에 따라 본 발명의 시스템에 사용될수있다. 일실시예에서, 반응 영역(20)의 폭은 대략 50 내지 200mm 범위이다. 보다 바람직하게 반응 영역(20)의 폭은 약 65 내지 100mm의 범위이다. 본 발명의 장치의 구멍 부재(44)의 윤곽진 측면 영역(52)의 간격을 관장하는 중요한 파라미터는 우선 증착 종의 형성을 촉진하기에 필요한 반응 영역에서 반응 가스의 잔류 시간, 주입기 및 박편형의 재순환되지 않는 흐름이 반응 영역(20)을 통하여 유지될수있는 배기 흐름 속도 범위이고, 이 모두는 여기에 기술된 바를 바탕으로 과도한 실험없이 당업자에 의해 결정될수있다.
본 발명의 라운딩 측면 영역(42)은 본 발명의 바람직한 실시예의 중요한 개선요소이다. 종래 기술에서, 반응 영역은 매우 좁았고, 배기 경로의 윤곽부는 본 발명보다 심하게 곡선졌다. 종래 기술 주입기 장치에서 보다 작은 라운딩 측면 영역(140)을 가지는 이유는 도 6에 도시된 개념적 증착 화합물 종으로부터 발생된 모델링을 참조하여 이해될수있다. 종래 기술 주입기 장치는 기판의 전체 표면상에 균일한 벌크 증착을 촉진하도록 설계된다. 이와같이, 우선적으로 증착되는 종들이 기판 표면의 다른 영역보다 빠른 속도로 기판 표면의 임의의 영역상에 축적되는 경향이 있기 때문에 우선적으로 증착되는 종 보다 먼저 증착 영역으로부터 반응 가스 혼합물을 배기하는 것이 높은 수율로 이루는데 바람직하다. 대조하여, 본 발명은 SiNY마스크 층의 비에칭된 영역 같은 표면의 다른 영역에 비해 표면 트렌치 피처의측면 및 베이스상 노출된 SiOx같은 기판 표면의 임의의 영역상에 증착을 향상시키기 위하여 이들 종의 형성을 촉진하는 방법을 탐구한다. 반응 영역(20)의 연장은 우선 증착을 위하여 궁극적으로 책임감 있는 화합물 종의 형성을 촉진한다. 인접한 주입기 슬롯(14) 사이에서 대략 300 mm 간격까지의 보다 긴 증착 영역은 다른 주입기 몸체에 사용하기에 바람직할수있다.
SiOx를 형성하기 위한 O3와 TEOS의 화학 반응 메카니즘은 극히 복잡하다. 예를들어, ASML US 인코포레이티드 열적 시스템 TEOS-O3화학 모델은 30 이상의 화학 반응을 포함한다. 단지 이들중 소수의 중간 화합물이 우선 증착에 사용된다. 따라서, 이들 우선 화합물의 형성을 최대화하는 것은 목표된 우선 증착에 대한 열쇠이다. 게다가, 증착 온도 및 압력 같은 처리 조건외에, 증착 영역에서 화합물의 "잔류 시간"은 우선 증착을 형성하는 화합물 종의 형성에 중요하다. 화학 가스 주입기의 물리적 구조는 몇몇 방식에서 잔류 시간에 영향을 미친다. 미국특허번호 6,022,414에서와 같은 좁은 증착 영역은 비우선 막을 형성하는 화합물 종의 양을 증가시키지만, 빠른 전체 증착 속도를 유도한다. 발명자는 보다 넓은 증착 영역이 하부에서 상부까지 트렌치 충전을 수행하는 우선 증착에 필요한 화합물 종의 형성을 위한 시간을 증가시킨다는 것을 발견하였다.
상기된 바와같이, 통상적인 STI 처리 시퀀스("처리 모듈"로서 종래 기술에서 불림)는 비싸고 시간 소비적인 "리버스 활성" 마스크의 사용을 요구한다. 본 발명은 리버스 활성 마스크에 대한 필요성을 제거하기 위하여 CVD 반응의 선택적/우선적 증착 특성을 촉진시키는 방법 및 CVD 장치를 제공한다. 본 발명의 이런 방법은 절연 트렌치가 "하부에서 상부로 충전"되도록 한다. 열적 산화물 라이너의 포스트 USG 증착 형성과 결합된 하부에서 상부로의 충전은 리버스 액티브 마스크에 대한 필요성을 제거한다. 이런 새로운 방법은 50% 이상 모듈 비용을 감소시키면서 도 8a 및 8b에 도시된 바와같이 STI 처리 모듈 복잡성을 상당히 감소시킨다. 처리 단계의 수는 본 발명의 장치 및 방법이 사용될때 6 내지 4로 감소된다. 반도체 제조 기술에서 이것은 매우 상당한 개선으로서 간주된다. 도 8b에 도시된 바와같이 본 발명의 주입기에 의해 형성되는 본 발명 처리 시퀀스는 도 8에 도시된 종래 기술 시퀀스와 비교할때 50% 이상 제조 비용을 감소시킬수있다. 본 발명의 장치는 양수인에게 소유되고, 여기에서 참조로써 통합된 미국특허번호 6,387,764에 기술된 방법과 관련하여 바람직하게 사용될수있다. 특히, 본 발명의 주입기 및 시스템은 처리 시퀀스의 생산성을 개선시키는 우선 증착 화합물 종의 양을 상당히 증가시킨다.
본 발명의 예시적인 실시예의 다음 두개의 상세한 설명은 본 발명의 장점 및 특징을 도시하고 추가로 설명하기 위하여 제공된다. 상기 설명은 본 발명의 범위를 제한하고자 의도되지 않는다.
양방향 주입기 장치는 예를들어 테트라에틸 오소실리케이트 같은 하나 이상의 가스 오르고노실리콘 화합물, 및 예를들어 오존 같은 하나 이상의 산화 화합물을 기판 표면(12)상 반응 영역(20)에 전달하기 위하여 제공된다. 주입기 부재(40)는 두개의 라운딩 측면 영역(42) 및 중앙 리세스 영역을 포함하는 적어도 두개의 단부 표면 및 연장된 외부 가스 전달 표면을 가진 하나의 연장된 부재로 형성된다.라운딩 측면 표면(42) 및 중앙 영역의 총 폭은 바람직하게 대략 50-200 mm의 범위이다. 가스 전달 표면은 기판 표면(12)과 직접적으로 면하는 주입기 부재(40)의 길이를 따라 연장한다. 실질적으로 일정한 폭의 적어도 제 1 얇은 연장 주입기 슬롯(14)은 하나의 연장된 부재에 형성되고 가스를 수용하기 위하여 단부 표면 사이로 연장한다. 이런 주입기 슬롯(14)은 연속적이고, 방해받지 않는 방식으로 반응 영역(20)으로 분배하도록 캐리어 가스를 운반한다. 실질적으로 일정한 폭의 적어도 두개의 연장된 배기 통로(22)는 연장된 방식으로 형성된다. 이들 배기 통로(22)는 라운딩 측면 영역(42)의 각각의 에지를 따라 직접적으로 연장되고 중앙 리세스 영역으로부터 가능한한 멀리 배치된다. 배기 통로는 반응 영역(20)으로부터 소비된 가스 부산물을 제거한다.
선택적으로, 양방향 장치는 연장된 주입기 부재(40)에 형성되고 에천트 종을 수용하기 위한 단부 표면 및 주입기 부재(40)에 형성된 제 2 얇은 연장 주입기 슬롯(14) 사이로 연장하고 배기 통로(32) 및 제 2 주입기 슬롯(14)으로부터 에천트 종을 운반하기 위한 가스 전달 표면의 라운딩 측면 영역 또는 지역(42) 사이로 직접적으로 연장하고 중앙 리세스 영역으로부터 떨어져지향된 반응 영역과 주입기 부재(40)의 측면으로 에천트 종을 분배하는 제 2 연장된 통로를 더 포함할수있다.
양방향 주입기 장치의 부가적인 선택적 실시예에서, 가스 흐름은 하나 이상의 에천트 통로(22)에서 리버스될수있다. 이들 리버스 배기 출구 통로에서 가스 흐름은 배기 출구 통로중 적어도 하나를 통과하여 안쪽으로의 가스 흐름이 반응 영역(20)으로부터 소비된 에천트 부산물을 제거하기 위하여 통상적인 방향에 반대 방향으로 동시에 흐르는 동안 적어도 하나의 배기 출구 통로에 에천트 가스를 수용하기 위하여 가스가 통상적인 방향으로 흐르도록 리버스될수있다. 에천트 가스(예를들어, Si 및 O3) 슬롯(16) 안쪽 흐름은 정상적인 반응 가스 비율의 5-20%의 작은 불활성 가스(N2) 흐름으로 전환된다. 이런 실시예에 따라, 반응 가스의 흐름은 턴오프되고 약 10% N2흐름으로 대체된다. 다음, 에천트 반응 가스는 불활성 가스 입력부(32) 근처 리버스 흐름 배기 슬롯(66)으로 주입된다. 그 다음 에천트 가스는 내부 N2절연 입력부(30)와 가장 가까운 배기 슬롯(22)에 도달할때까지만, 일방향으로 증착 영역(20)을 통하여 이동한다. 반응되지 않은 에천트 및 부산물에 의한 가스 세척은 도 9에 도시된 바와같이 두개의 중앙 배기 슬롯을 통하여 배기함으로써 증착 영역(20)으로부터 제거된다. 에칭 세척 화합물 종의 상세한 것은 특허번호 WO0103858에서 발견될수있다. 에천트 흐름은 반응 영역(20)을 통하여 어느 한쪽 방향일수있고 인접한 흐름 셀과 동일하거나 미러 방향일수있다.
다른 실시예에서, 예를들어 테트라에틸 오소실리케이트 같은 가스 오르고노실리콘 화합물, 및 예를들어 오존 같은 하나 이상의 산화 화합물을 반응 영역의 기판 표면에 전달하기 위한 일방향 주입기 시스템이 제공된다. 주입기 장치는 적어도 두개의 단부 표면(42) 및 하나의 라운딩 측면 영역 및 에지 리세스 영역을 포함하는 연장된 외부 가스 전달 표면을 가진 하나의 연장된 주입기 부재(40)를 포함한다. 라운딩 측면 영역 및 에지 리세스 영역의 총 폭은 바람직하게 대략 25-100 mm의 범위이다. 가스 전달 표면은 기판과 면하는 연장된 부재의 길이를 따라 연장한다.
최소한 제 1 연장 통로 또는 주입기 슬롯(14)은 상기 연장된 부재에 형성된다. 주입기 슬롯(14)은 가스를 수용하기 위하여 단부 표면 사이로 연장된다. 연장된 부재에는 연속적이고 방해받지 않는 방식으로 반응 영역(20)으로 분배하기 위한 연장된 통로로부터 직접적으로 가스를 운반하기 위하여 가스 전달 표면의 제 1 연장 통로 및 에지 리세스 영역 사이로 직접적으로 연장되는 실제로 일정한 폭의 제 1 얇고 연장된 분배 슬롯이 형성된다. 실질적으로 일정한 폭의 적어도 하나의 제 2 연장 배기 통로는 반응 영역(20)으로부터 소비된 가스 부산물을 제거하기 위하여 연장된 부재에 형성된다. 상기 통로는 라운딩 측면 영역(42)의 에지를 따라 연장되고 에지 리세스 영역으로부터 가능한한 멀리 배치된다.
일방향 주입기 장치의 선택적인 다른 실시에에서, 상기 장치는 에천트 종을 수용하기 위하여 단부 표면 사이로 연장하는 연장된 주입기 부재에 형성된 적어도 하나의 제 2 배기 통로를 포함한다. 적어도 하나의 제 2 얇고 연장된 주입기 슬롯은 하나의 연장된 부재에 형성된다. 상기 슬롯은 제 2 연장 통로로부터 에천트 종을 운반하고 에지 리세스 영역으로부터 떨어져 지향된 연장된 외부 가스 전달 표면을 따라 주입기 장치940)의 측면으로 에천트 종을 분배하기 위한 가스 전달 표면의 라운딩 측면 표면 및 적어도 하나의 제 2 연장된 통로 사이로 직접적으로 연장한다.
실시예
상기된 본 발명의 다양한 실시예의 추가 테스트는 전체적인 성능 표준을 시험하도록 수행된다. 이들 표준은 입자 생성, 막 수축, 인시튜 주입기 세척의 용이성, 및 개선된 양방향 흐름 처리 파라미터의 연장을 포함하는 목표된 우선 증착 이상의 많은 요소를 포함한다.
도 10a 및 10b 및 도 11a 및 11b는 폭넓은 증착 영역이 증착 우선성을 관리하기 위하여 사용될수있다는 것을 가리키는 실험 결과를 도시한다. 도 10a의 판넬 ⅰ, ⅱ, 및 ⅲ에 제시된 스캐닝 전자 마이크로스코프(SEM) 사진은 도 7a에 도시된 바와같이 표준 CVD를 위하여 구성된 종래 기술 주입기로부터 하부 좌측에 실리콘 표면 및 상부 우측에 SiNy표면을 가진 넓은 스텝 마이크로구조상 스태틱 기판 증착을 도시한다. 선형 주입기는 수평 장치 중앙라인(도 10b의 x 축상 0 mm)의 어느 한측면상 대략 30 mm에 배치된다. 즉, 가스 주입 출구 중앙 라인은 도 10b에서 -30mm 및 +30mm에 배치된다. 도 10a의 SEM 사진 및 도 10b의 증착 집적 막 두께 트레이스가 설명하는 바와같이, 막 증착은 두개의 선형 주입기의 각각 바로 아래 반응 영역에 국한된다. 반응 화합물 종은 배기 통로를 통하여 반응 영역으로부터 효과적으로 제거되어, 두개의 반응 영역 사이의 영역에서 증착은 효과적으로 0으로 떨어진다. 모두 3개의 스태틱 프린트 서브 영역(도 10b에서 트레이스 1, 2 및 3)은 우선 증착의 표시를 나타내지 않는다.
도 10a 및 10b는 SEM 사진과 도 10a에 도시된 바와 유사한 기판에 대한 증착 속도 트레이스 및 집적 막 두께를 도시한다. 이 실시예에서, 도 12에 도시된 바와같은 본 발명에 따른 일방향 주입기가 사용된다. 이 경우 일방향 증착 영역(20)의물리적 폭은 약 75mm이다. 화합물 증착 폭을 도시하는 도 11b 그래프의 영이 아닌 부분은 대략 78mm이고; 물리적 폭과 매우 유사하다. 도 11a의 판넬 ⅰ, ⅱ, 및 ⅲ에 도시된 바와같이, 기판의 SiOx영역은 SiNY영역 보다 두꺼운 증착 막의 층을 수용한다. 도 11b는 스태틱 기판상 위치의 함수로서 증착 속도가 어떻게 도 10b에 제공되지 않은 테일을 가지는가를 도시한다. 부가적으로, 집적된 두께 트레이스는 가스 주입 출구 중앙라인(도 11b에서 -30mm로 도시됨)을 지나 대략 60 mm 내지 70 mm 만큼 멀리 막 두께의 연속적 축적을 도시한다. 도 11b에서 모두 3개의 주입기 프린트 영역은 적어도 몇몇 우선적인 작동을 나타낸다. 서브 영역(3)의 위치는 우선적인 작동이 주입구 출구 중앙 라인으로부터 15mm 이상 떨어져 시작되는 것을 제안한다. 본 발명은 부분적으로 선형 주입기 증착 폭을 연장함으로써 우선 증착을 제공한다. 도 10b의 벌크 증착과 비교할때 도 11b의 우선 증착은 : 서브 영역(3)과 관련된 "비틀림", 서브 영역 2를 한정하는 적당히 경사진 "선형" 영역, 및 서브 영역 1의 얕게 기울어진 "테일"과 관련된다. 도 10a에서 SEM 사진은 서브 영역 3에서 서브 영역으로 이동할때 우선 순위가 개선되고 증착 속도가 감소되는 것을 가리킨다. 따라서, 증착 영역(20)의 폭은 높은 높은 우선순위 및 높은 증착 속도의 상호 배타적인 결합을 최적화하도록 조절되어야 한다.
상세한 설명에서 상기된 바와같이, 우선 증착 영역내에서의 흐름은 도 4a에 도시된 바와같은 일방향, 또는 도 4b에 도시된 바와같은 양방향일수있다. 도 4a 및 4b 양쪽에서, 점선 박스 라벨 "CFD 모델링 영역"은 다음과 같은 가스 재순환없는 흐름 경로를 보장하기 위해 계산 흐름 동적(CFD) 모델링에 의해 시험된 흐름 경로를 나타낸다. 본 발명의 CVD 시스템 및 주입기는 CFD 탐구 회사 및 쳄킨으로부터의 반응 설계에 의한 "CFD-ACE" 소프트웨어 패키지를 사용하는 계산 흐름 동적(CFD) 모델링을 통해 시험된다. ASML US, Inc. 스태프에 의해 개발된 부가적인 알고리듬 또한 사용된다. CFD 모델링의 제 1 장점은 표준 증착 영역내의 가스 재순환 프리하드웨어 제거이다. 재순환은 작은 알고리듬 입자의 형성을 유도할수있다. 이들 입자는 기판 표면에 떨어질수있다. 이런 종류의 입자 오염은 전자 회로 동작에 극히 해로운 바와같은 반도체 처리 산업내에서 고려된다. 도 13 내지 15는 도 4a 및 4b에 각각 도시된 바와같이 본원 발명의 일방향(도 13)의 일실시예 및 양방향(도 14 및 도 15)의 일실시예에 대한 CFD 모델링 결과를 도시한다. 각각의 경우, 모델 출력에 의해 생성된 스트림 함수 및 상세 속도 벡터의 플롯은 재순환이 반응 가스 흐름 경로에서 발생하는 징후를 나타내지 않는다. CFD 모델링 패키지는 예를들어 CFD 리서치 코프, 플루언트 인코포레이트의 기술에서 잘 공지되었고, 루틴 컴퓨터 시뮬레이션에 의한 우선 증착 영역 및 화합물 증착의 크기를 최적화하기 위하여 사용될수있다.
따라서, 반도체 산업에 대해 중요한 개선점이 제공된다. 예시적인 실시예는 특정 구조를 참조하여 기술되었다. 당업자는 다양한 변화 및 변형이 청구범위의 범위에 유지되면서 이루어질수있다는 것을 인식할것이다.
본 발명의 특정 실시예의 다음 설명은 도시 및 설명을 위해 제공되었고, 비록 본 발명이 선행 실시예에 의해 도시되었지만, 그것에 의해 제한되도록 구성되지않는다. 상기 변형은 개시된 바와 똑같은 형태로 본 발명을 제한하기 위해 의도되지 않았고, 많은 변형, 실시예 및 다양성이 상기된 바를 기초로 가능하다. 본 발명의 범위가 여기에 개시된 일반적인 영역을 포함하고, 첨부된 청구범위 및 그것의 등가물에 의해 포함된다는 것이 의도된다.
본 발명은 우선적인 화학 기상 증착을 위한 방법 및 장치를 제공함으로써 CMP 전에 리버스 활성 마스킹을 요구하지 않는 편평하게 증착된 표면을 형성할 수 있는 효과를 제공한다.

Claims (13)

  1. 적어도 제 1 재료 영역 및 적어도 제 2 재료 영역을 가지는 기판상에 하나의 막을 증착하기 위한 화학 기상 증착 장치로서,
    주입기를 포함하고, 상기 주입기는 하나 이상의 가스를 반응 영역에 제공하고, 상기 하나 이상의 가스는 상기 반응 영역에서 상기 하나 이상의 가스 반응에 의해 하나 이상의 타켓 화합물 종의 형성을 촉진할 수 있는 잔류 시간을 가지며, 상기 하나 이상의 타켓 화합물 종은 상기 제 2 재료상에서 보다 상기 제 1 재료상에서 빠른 속도로 증착하는 것을 특징으로 하는 화학 기상 증착 장치.
  2. 제 1 항에 있어서, 상기 잔류 시간은 상기 반응 영역의 체적 및 상기 반응 영역으로부터의 가스 배기 속도의 함수인 것을 특징으로 하는 화학 기상 증착 장치.
  3. 제 1 항에 있어서, 상기 반응 영역은 적어도 하나의 상부 벽 및 기판 지지부에 의해 형성되는 체적을 가지며, 상기 반응 체적은 상기 주입기에 의해 상기 상부 벽을 통하여 전달되는 상기 하나 이상의 가스를 수용하는 것을 특징으로 하는 화학 기상 증착 장치.
  4. 제 4 항에 있어서, 상기 반응 영역을 통하여 적어도 한번 측방향 속도로 상기 기판 지지부를 전송하기 위한 컨베이어 메카니즘을 더 포함하여, 기판 표면은 상기 잔류 시간 및 상기 측방향 속도의 함수인 목표된 시간 동안 상기 하나 이상의 타켓 화합물 종에 노출되는 것을 특징으로 하는 화학 기상 증착 장치.
  5. 제 1 항에 있어서, 상기 주입기는 상기 하나 이상의 가스가 전송되는 연장된 가스 전달 슬롯을 포함하는 것을 특징으로 하는 화학 기상 증착 장치.
  6. 제 5 항에 있어서,
    상기 반응 체적으로부터의 배기 가스를 수용하기 위한 적어도 하나의 제 1 배기 통로를 포함하는데, 상기 배기 통로는 상기 연장된 가스 전달 슬롯에 실질적으로 평행하게 정렬된 채널을 포함하고, 및
    상기 주입기 슬롯 및 상기 배기 통로에 실질적으로 수직인 방향으로 상기 반응 챔버를 통하여 적어도 한번 상기 기판 표면을 전송하기 위한 컨베이어 메카니즘을 더 포함하는 것을 특징으로 하는 화학 기상 증착 장치.
  7. 제 6 항에 있어서, 상기 주입기는,
    적어도 두개의 단부 표면 및 연장된 외부 가스 전달 표면을 가진 하나의 연장된 부재를 더 포함하는 것을 특징으로 하는 화학 기상 증착 장치.
  8. 제 7 항에 있어서, 상기 연장된 외부 가스 전달 표면은,
    두개의 라운딩 측면 영역 및 중앙 리세스 영역을 더 포함하고, 라운딩 측면 영역과 중앙 영역의 총 폭은 대략 50 내지 200 mm 범위이고 상기 가스 전달 표면은 상기 반응 영역과 직접적으로 마주하는 상기 부재의 길이를 따라 연장되는 것을 특징으로 하는 화학 기상 증착 장치.
  9. 제 1 항에 있어서, 상기 하나 이상의 가스중 하나는 테트라에틸 오소실리케이트인 것을 특징으로 하는 화학 기상 증착 장치.
  10. 제 1 항에 있어서, 상기 하나 이상의 가스중 하나는 오존인 것을 특징으로 하는 화학 기상 증착 장치.
  11. 제 1 항에 있어서, 상기 기판은 반도체 기판인 것을 특징으로 하는 화학 기상 증착 장치.
  12. 적어도 제 1 재료의 영역 및 제 2 재료의 영역을 가진 기판 표면상에 하나의 막을 증착하는 방법으로서,
    제 1 흐름 속도로 하나 이상의 가스를 반응 영역으로 전달하는 단계를 포함하는데, 상기 반응 영역은 하나의 체적을 가지며;
    상기 하나 이상의 반응 가스가 반응하여 하나 이상의 타켓 화합물 종 및 하나 이상의 낭비 가스의 가스 혼합물을 형성하는 단계;
    배기 흐름 속도로 상기 반응 영역으로부터 상기 가스 혼합물을 배기하는 단계;
    상기 반응 영역에서 상기 하나 이상의 가스의 잔류 시간이 상기 하나 이상의 가스로부터 하나 이상의 타켓 화합물 종의 형성을 촉진시키도록 상기 반응 영역 체적에 대한 상기 배기 및 상기 제 1 흐름 속도의 비율을 제어하는 단계; 및
    상기 하나 이상의 타켓 화합물 종에 상기 표면을 노출시키기 위하여 적어도 한번 상기 반응 영역을 통하여 상기 기판을 전송하는 단계를 포함하는 것을 특징으로 하는 방법.
  13. 제 15 항에 있어서, 상기 낭비 가스는,
    상기 하나 이상의 반응 가스의 반응 부산물, 반응되지 않은 반응 가스, 및 증착되지 않은 타켓 화합물 종을 포함하는 것을 특징으로 하는 방법.
KR1020020066793A 2001-11-01 2002-10-31 우선적인 화학 기상 증착 장치 및 방법 KR20030038396A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33549401P 2001-11-01 2001-11-01
US60/335,494 2001-11-01

Publications (1)

Publication Number Publication Date
KR20030038396A true KR20030038396A (ko) 2003-05-16

Family

ID=23312014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020066793A KR20030038396A (ko) 2001-11-01 2002-10-31 우선적인 화학 기상 증착 장치 및 방법

Country Status (5)

Country Link
US (2) US20030113451A1 (ko)
EP (1) EP1308537A3 (ko)
JP (1) JP2003188160A (ko)
KR (1) KR20030038396A (ko)
CN (1) CN1424429A (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
DE10314574B4 (de) * 2003-03-31 2007-06-28 Infineon Technologies Ag Verfahren zur Herstellung einer Grabenisolationsstruktur
US20070045239A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Apparatus and method for processing a microfeature workpiece using a plasma
TWI275658B (en) * 2006-09-13 2007-03-11 Ind Tech Res Inst Method of improving surface frame resistance of a substrate
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
SG153674A1 (en) * 2007-12-11 2009-07-29 Nanyang Polytechnic A method of doping and apparatus for doping
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
CN102422390B (zh) * 2009-03-16 2015-05-13 奥塔装置公司 气相沉积反应器***及其方法
US8993056B2 (en) * 2009-12-17 2015-03-31 Savi Research, Inc. Method of gas distribution and nozzle design in the improved chemical vapor deposition of polysilicon reactor
WO2011088024A1 (en) * 2010-01-12 2011-07-21 Sundew Technologies, Llc Methods and apparatus for atomic layer deposition on large area substrates
FI124113B (fi) 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
FI20105905A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Suutinpää ja laite
TWI624560B (zh) * 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
KR20150078306A (ko) * 2013-12-30 2015-07-08 삼성디스플레이 주식회사 원자층 증착 장치 및 원자층 증착 방법
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10435788B2 (en) * 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US10422038B2 (en) * 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
FI129700B (en) * 2017-10-18 2022-07-15 Beneq Oy Nozzle head
KR102595355B1 (ko) * 2017-12-28 2023-10-30 삼성디스플레이 주식회사 증착 장치 및 그것을 이용한 증착 방법
TWI821314B (zh) * 2018-06-18 2023-11-11 美商應用材料股份有限公司 用於改良式泵吹洗及前驅物輸送之氣體分配組件
FR3084275B1 (fr) * 2018-07-30 2020-07-31 Centre Nat Rech Scient Tete et systeme compacts de depot en phase vapeur

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08227880A (ja) * 1995-02-21 1996-09-03 Nec Kyushu Ltd プラズマcvd装置
KR200149908Y1 (ko) * 1996-07-13 1999-06-15 구본준 가스 분사기
KR20000027055A (ko) * 1998-10-26 2000-05-15 윤종용 화학기상증착장비 및 그 장비를 사용한 화학기상증착방법
KR20000038764A (ko) * 1998-12-09 2000-07-05 신현준 반도체 웨이퍼의 박막증착용 가스주입장치

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5122391A (en) * 1991-03-13 1992-06-16 Watkins-Johnson Company Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
US5393563A (en) * 1991-10-29 1995-02-28 Ellis, Jr.; Frank B. Formation of tin oxide films on glass substrates
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5518959A (en) * 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
US20010012675A1 (en) * 1998-04-20 2001-08-09 Shye-Lin Wu Shallow trench isolation process
US6265289B1 (en) * 1998-06-10 2001-07-24 North Carolina State University Methods of fabricating gallium nitride semiconductor layers by lateral growth from sidewalls into trenches, and gallium nitride semiconductor structures fabricated thereby
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2002541664A (ja) * 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
US6206973B1 (en) * 1999-04-23 2001-03-27 Silicon Valley Group Thermal System Llc Chemical vapor deposition system and method
TW530097B (en) * 1999-05-21 2003-05-01 Silicon Valley Group Thermal Protective gas shield apparatus
US6171948B1 (en) * 1999-11-02 2001-01-09 Micron Technology, Inc. Method for filling structural gaps and intergrated circuitry
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08227880A (ja) * 1995-02-21 1996-09-03 Nec Kyushu Ltd プラズマcvd装置
KR200149908Y1 (ko) * 1996-07-13 1999-06-15 구본준 가스 분사기
KR20000027055A (ko) * 1998-10-26 2000-05-15 윤종용 화학기상증착장비 및 그 장비를 사용한 화학기상증착방법
KR20000038764A (ko) * 1998-12-09 2000-07-05 신현준 반도체 웨이퍼의 박막증착용 가스주입장치

Also Published As

Publication number Publication date
US20040231588A1 (en) 2004-11-25
JP2003188160A (ja) 2003-07-04
US20030113451A1 (en) 2003-06-19
CN1424429A (zh) 2003-06-18
EP1308537A2 (en) 2003-05-07
EP1308537A3 (en) 2004-03-31

Similar Documents

Publication Publication Date Title
KR20030038396A (ko) 우선적인 화학 기상 증착 장치 및 방법
CN110872701B (zh) 基板处理装置及半导体装置的制造方法
KR100481441B1 (ko) 반도체 장치의 제조방법 및 반도체 제조장치
Crowell Chemical methods of thin film deposition: Chemical vapor deposition, atomic layer deposition, and related technologies
EP0637058B1 (en) Method of supplying reactant gas to a substrate processing apparatus
EP1988188B1 (en) Apparatus and method for producing films
US20040105935A1 (en) Method of depositing thin film using hafnium compound
US20120267340A1 (en) Film deposition method and film deposition apparatus
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
KR20080028963A (ko) 실리콘 함유 필름의 증착 방법
US20030111013A1 (en) Method for the deposition of silicon germanium layers
US20060159847A1 (en) Method and apparatus for low temperature dielectric deposition using monomolecular precursors
JP2005123532A (ja) 成膜装置及び成膜方法
JPH04348031A (ja) 化学気相成長装置
US10128104B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US5545436A (en) CVD method and apparatus for making silicon oxide films
US20070287271A1 (en) Deposition of nano-crystal silicon using a single wafer chamber
US20210404064A1 (en) Showerhead for Process Tool
CN115074699A (zh) 基板处理装置、半导体装置的制造方法、基板处理方法和记录介质
EP1123423B1 (en) High rate silicon deposition method at low pressures
KR100795487B1 (ko) 층류유동제어장치 및 이를 구비한 화학기상증착반응기
US6555166B2 (en) Method for reducing the microloading effect in a chemical vapor deposition reactor
US5766785A (en) Method and apparatus for manufacturing a semiconductor device
JP3434972B2 (ja) 半導体素子の製造方法及びその装置
US5286523A (en) Method of processing substrates and substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee