KR20000062952A - 플라즈마 처리 방법 및 플라즈마 처리 장치 - Google Patents

플라즈마 처리 방법 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20000062952A
KR20000062952A KR1020000013827A KR20000013827A KR20000062952A KR 20000062952 A KR20000062952 A KR 20000062952A KR 1020000013827 A KR1020000013827 A KR 1020000013827A KR 20000013827 A KR20000013827 A KR 20000013827A KR 20000062952 A KR20000062952 A KR 20000062952A
Authority
KR
South Korea
Prior art keywords
plasma
frequency
region
lower electrode
magnetic field
Prior art date
Application number
KR1020000013827A
Other languages
English (en)
Other versions
KR100375910B1 (ko
Inventor
고지마아끼히로
오히와도꾸히사
Original Assignee
니시무로 타이죠
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 니시무로 타이죠, 가부시끼가이샤 도시바 filed Critical 니시무로 타이죠
Publication of KR20000062952A publication Critical patent/KR20000062952A/ko
Application granted granted Critical
Publication of KR100375910B1 publication Critical patent/KR100375910B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

플라즈마 밀도와 이온 에너지를 독립적으로 제어한다.
진공 용기(1) 내에 대향 배치된 상부 전극(2) 및 하부 전극(3)과, 하부 전극(3)에, 27.12 ㎒의 주파수의 전력을 인가하는 제1 고주파 전원(4)과, 3.1 ㎒의 주파수의 전력을 인가하는 제2 고주파 전원(5)과, 상부 전극(2)과 하부 전극(3)간에 자장을 형성하는 다이폴 링(9)으로 구성된다.

Description

플라즈마 처리 방법 및 플라즈마 처리 장치{PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS}
본 발명은 고주파 방전에 의해 발생시킨 플라즈마에 의해 피처리체에 소정의 처리를 실시하는 플라즈마 처리 방법 및 플라즈마 처리 장치에 관한 것이다.
종래, 반도체 소자 제조 공정에서의 미세 가공에서 널리 이용되고 있는 드라이 에칭 방법의 하나로서 반응성 이온 에칭(RIE)법이 있다. 이 RIE법에서, 플라즈마에 자계를 사용함으로써, 플라즈마를 고밀도화하여, 에칭 속도의 고속화, 미세 가공의 고정밀화를 도모하도록 한 마그네트론 RIE법이 알려져 있다 [미국 특허 제5,444,207호, 일본 특허 공개 공보 평6-53117호, 제13회 드라이 프로세스 심포지엄 예고집 99∼103쪽 전기학회 (1991년, 도꾜) 등].
이하, 종래 이용되고 있는 마그네트론 플라즈마 처리 장치에서, 도 5에 도시한 마그네트론 에칭 장치를 예로 들어 설명한다.
도 5에 도시한 바와 같이, 진공 용기(1)의 상단 내벽의 상부 전극(2) 상에 부착된 애노드와, 이것에 대향 배치된 피처리 기판 지지대를 겸하는 하부 전극(3) (캐소드)을 배치하며, 고주파 전원(31)이 발생하는 전력을 정합 회로(32)를 통해 상부 전극(2)과 하부 전극(3)간에 인가하도록 구성되어 있다.
그리고, 이들 전극(2, 3)에 의해 형성되는 전계 E에 의해, 전극간에 플라즈마를 형성하고, 하부 전극(3) 표면에 유기된 자기 바이어스 전계에 의해, 플라즈마 중으로부터 가속된 반응성 이온이 피처리 기판 표면에 충돌함으로써 에칭 반응이 진행된다.
또한, 마그네트론 RIE에서는, 자기 바이어스 전계와 직교하는 방향으로 다이폴 링(9)으로부터 자계 B가 인가된다. 도면에서는 자력선 B의 모습을 모식적으로 도시한다. 이와 같이, 전계 E와 자계 B를 직교시킴으로써 플라즈마 중의 전자를 로렌츠힘에 의해 E×B 방향으로 드리프트시킬 수 있다. 이 드리프트 운동으로 플라즈마 중의 전자에게 긴 거리를 주행시킴으로써, 전자가 중성의 분자, 원자와 충돌하는 빈도가 높아져, 플라즈마 밀도가 상승한다. 또한, 자계를 인가하는 것 자체로 전자를 플라즈마 중에 가두어, 그 전자의 수명 (진공 용기의 내벽, 전극이나 피처리 기판에 충돌할 때까지의 시간)을 길게 하는 결과, 플라즈마 밀도를 더욱 향상시킬 수 있다.
이상과 같이 플라즈마를 고밀도화함으로써, 단지 에칭 속도를 높이는 것 이외에, 중성종(中性種)과 피에칭막의 반응 (등방성 반응)을 억제하므로, 가스 압력을 낮추어도, 손상이나 선택비 (기재막이나 마스크의 선택비)를 저하시키는 원인이 되는 이온 에너지를 충분히 낮게 유지할 수 있다.
이와 같이 마그네트론 RIE는 우수한 특성을 가지기 때문에, 현재 여러 박막 가공에 사용되고 있다. 그러나, 마그네트론 RIE에서는 자계를 인가하기 때문에, 피처리 기판에 입사되는 이온은 그 방향성이 흩어지며, 피처리 기판 표면에 경사지게 입사하여 이방성이 높은 에칭이나, 가공 사이즈가 적고 이른바 애스펙트비가 높은 패턴의 에칭에서는 그 에칭 속도가 저하된다는 마이크로 로딩 효과의 문제가 있다.
또한, 도 5에 도시한 바와 같이 종래형의 마그네트론 RIE 장치는, 캐소드에 접속된 고주파 전원은 1 대이며, 이 1 대의 고주파 전원을 사용하여 플라즈마의 생성과 피처리 기판에 입사되는 이온의 에너지를 제어하고 있다. 이 때문에, 전극간에 생성된 플라즈마 밀도(Ne)와 독립적으로 피처리 기판에 입사되는 이온의 에너지를 제어하는 것은 불가능하며, 프로세스의 제어 범위가 좁다.
이상 설명한 바와 같이 종래의 마그네트론 RIE 장치는, 캐소드에 접속된 고주파 전원은 1 대이며, 이 1 대의 고주파 전원을 사용하여 플라즈마의 생성과 피처리 기판에 입사되는 이온의 에너지를 제어하고 있다. 이 때문에, 전극간에 생성된 플라즈마 밀도(Ne)와 독립적으로 피처리 기판에 입사되는 이온의 에너지를 제어하는 것은 불가능하며, 프로세스의 제어 범위가 좁다.
본 발명은 상기 과제를 해결하기 위해 이루어진 것으로서, 그 목적으로 하는 바는, 플라즈마 밀도와 이온의 에너지를 독립적으로 제어할 수 있는 플라즈마 처리 방법 및 플라즈마 처리 장치를 제공하는 것이다.
본 발명의 청구항 1에 따른 발명은, 처리실 내에 대향 배치된 상부 전극과 하부 전극간에 고주파 전력을 인가하여 얻어지는 플라즈마에 의해 상기 하부 전극 상에 배치되는 피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 방법에 있어서, 플라즈마 밀도가 주파수에 비례하는 영역에서의 주파수와, 플라즈마 밀도가 주파수의 2승에 비례하는 영역에서의 주파수를 갖는 전력을 상기 하부 전극에 인가하여 상기 피처리체의 표면과 실질적으로 직교하는 전계 E를 형성하여 플라즈마 처리를 행한다.
또한, 본 발명의 청구항 5에 따른 플라즈마 처리 장치는, 처리실 내에 대향 배치된 상부 전극 및 하부 전극과, 플라즈마 밀도의 주파수 특성이 주파수에 비례하는 영역에서의 주파수와, 플라즈마 밀도의 주파수 특성이 주파수의 2승에 비례하는 영역에서의 주파수를 가지는 전력을 상기 하부 전극에 인가하는 전원과, 상기 상부 전극과 상기 하부 전극간에 자장을 형성하는 자계 발생 수단을 구비하여 이루어진다.
본 발명의 바람직한 형태를 이하에 개시한다.
(1) 플라즈마 밀도가 주파수에 비례하는 영역에서의 주파수란, 플라즈마 밀도(Ne)와는 독립적으로 플라즈마 중의 이온이 추종할 수 있는 주파수이며, 플라즈마 밀도가 주파수의 2승에 비례하는 영역에서의 주파수란, 전극간에 생성된 플라즈마 밀도(Ne)를 제어하는 주파수이다.
(2) 플라즈마 밀도가 주파수의 2승에 비례하는 영역이란, 주파수가 14 ㎒ 이상의 영역을 말하며, 바람직하게는 27.12 ㎒ 이상이다.
(3) 플라즈마 밀도가 주파수에 비례하는 영역이란, 주파수가 14 ㎒ 이하의 영역을 말하며, 바람직하게는 5.424 ㎒ 이하이다.
(4) 하부 전극에 인가되는 전력의 파형은, 구형파(矩形波), 삼각파 또는 정현파이다.
(5) 플라즈마 밀도(Ne)를 제어하는 주파수란, 초단파(VHF)대의 주파수이다.
(6) (1)에서의 이온이 추종할 수 있는 주파수란, 장파(LF) 또는 단파(HF)대의 주파수이다.
(7) 전원은, 장파(LF) 또는 단파(HF)대의 발진 전의 출력을 초단파(VHF)대측으로부터 고 임피던스로 하고, 초단파(VHF) 전력이 장파(LF) 또는 단파(HF)측으로 누설되지 않는 구조를 가진다.
(8) 반도체 장치의 제조 장치란 RIE 장치이다.
(9) 전계 E에 직교하여, 피처리체 표면에 평행한 자계 B를 형성하는 자계 발생 수단을 가진다.
(10) 플라즈마를 생성하는 영역을 둘러싸는 영역에 자계 발생 수단을 설치하며, 자계 발생 수단의 S극 및 N극을, 플라즈마를 생성하는 영역을 사이에 두고 주회(周回)시킴으로써 상기 전계 E에 실질적으로 직교하는 기준면을 갖는 자계 B를 형성하여 플라즈마 처리를 행한다.
(11) 상기 플라즈마를 생성하는 영역에, 상기 상부 전극으로부터 상기 하부 전극을 향해 상기 피처리체 표면에 실질적으로 직교하는 자계를 발생시킨다.
(작용)
본 발명에서는, 단일 하부 전극에, 플라즈마 밀도가 주파수에 비례하는 영역에서의 주파수와, 플라즈마 밀도가 주파수의 2승에 비례하는 영역에서의 주파수를 갖는 전력을 인가한다. 이에 의해, 플라즈마 밀도(Ne)를 제어하는 주파수와, 플라즈마 밀도와는 독립적으로 플라즈마 중의 이온이 추종할 수 있는 주파수가 제공되므로, 플라즈마의 생성을 제어하는 고주파 전력과 피처리체에 입사되는 이온 에너지를 제어하는 고주파 전력을 분리할 수 있다. 이 결과, 플라즈마 밀도를 일정하게 유지한 채, 이온의 입사 에너지를 독립적으로 제어할 수 있다. 따라서, 프로세스의 제어 범위가 넓어지며, 그 결과 에칭 사이즈가 작아지면 에칭 속도가 저하되는 마이크로 로딩 효과를 억제할 수 있다.
또한, 종래의 단일 주파 전력 인가와 비교하여, 피처리체에 입사되는 이온의 각도 분산이 억제되며, 플라즈마 처리로서 에칭을 행하는 경우에는, 패턴 사이즈에 의한 에칭 속도의 변화는 없어지며, 에치 스톱이 일어나고 있는 영역에서도 에칭이 진행되어, 에치 스톱이 해소된다.
또한, 고주파를 인가하는 전극을 단일의 하부 전극으로 함으로써, 하부 전극에 배치된 피처리체 근방에서 고밀도의 플라즈마를 발생시킬 수 있어, 플라즈마 처리 효율이 향상된다.
또한, 고주파에 27.12 ㎒ 이상의 주파수와 5.424 ㎒ 이하의 주파수를 중첩하여 인가한 경우에는, 하이 패스 및 로우 패스 필터의 제어 조건으로부터 동일 전극에 2 개의 주파수를 인가할 수 있다. 이 5.424 ㎒ 이하의 주파수의 전력에 의해, 이온의 에너지를 제어할 수 있다.
또한, 전계 E와 자계 B를 직교시킴으로써 플라즈마 중에서의 전자를 로렌츠 힘에 의해 E×B 방향으로 드리프트시킬 수 있어, 플라즈마 중에서의 전자를 장거리 운동시킬 수 있다. 이에 의해, 플라즈마 밀도가 향상된다.
또한, 상기 플라즈마 처리를 행할 때, DRM에 의해, 즉 플라즈마 생성 영역을 둘러싸고 주회가능한 자계 발생 수단을 사용하여 행함으로써, 자장을 인가하기 위한 자석을 작게 할 수 있으므로, 장치 전체를 소형화할 수 있다.
도 1은 본 발명의 제1 실시예에 따른 플라즈마 처리 장치의 모식도.
도 2는 플라즈마 밀도와 이온 에너지의 관계를 도시한 도면.
도 3은 동 실시예에 따른 플라즈마 처리에서의 이온 에너지와 플라즈마 밀도의 관계를 도시한 도면.
도 4는 동 실시예에 따른 플라즈마 처리 장치의 변형례를 도시한 도면.
도 5는 종래의 플라즈마 처리 장치의 모식도.
〈도면의 주요 부분에 대한 부호의 설명〉
1 : 진공 용기
2 : 상부 전극
3 : 하부 전극
4 : 제1 고주파 전원
5 : 제2 고주파 전원
6, 7 : 정합 회로
8 : 필터
9 : 다이폴 링
10 : 냉각용 배관
11: 절연물
12 : 가스 공급계
13 : 가스 배기계
14 : 보호 링
15 : 배플판
16 : 게이트 밸브
21 : 자장 발생 수단
이하, 도면을 참조하면서 본 발명의 실시예를 설명한다.
(제1 실시예)
도 1은 본 발명의 제1 실시예에 따른 플라즈마 처리 장치의 전체 구성을 도시한 모식도이다. 본 실시예에서는, 플라즈마 처리로서 에칭을 행하는 경우를 도시한다.
도 1에 도시한 바와 같이, 처리실인 진공 용기(1)의 상단 내벽에는, 상부 전극(2)이 배치되어 있다. 또한, 진공 용기(1) 내에는 이 상부 전극(2)과 대향 배치되며, 피처리 기판 지지대를 겸하는 하부 전극(3)이 배치되어 있다.
이 하부 전극(3)측에는 제1 고주파 전원(4) 및 제2 고주파 전원(5)이 설치되어 있다. 이들 고주파 전원(4, 5)은, 일 단이 접지에 접지되며, 다른 단이 각각 정합 회로(6, 7)에 접속되어 있다. 이들 정합 회로(6, 7)는 모두 필터(8)를 통해 하부 전극(3)에 접속되어 있다. 고주파 전원(4)은 27.12 ㎒의 초단파(VHF)대 전원이며, 고주파 전원(5)은 3.1 ㎒의 단파(HF)대의 전원이다. 또한, 상부 전극(2)은 접지에 접지되며, 고주파 전원(4, 5)에 의해 상부 전극(2) 및 하부 전극(3)간에 전계 E를 발생시킨다. 이 전계 E는 도시 생략한 피처리 기판 표면에 대해 수직인 방향으로 형성된다.
진공 용기(1)의 외측면에는, 다이폴 링(9)이 설치되어 있다. 다이폴 링(9)은 S극과 N을 가진다. 이 S극과 N극은 진공 용기(1) 내의 상부 전극(2)과 하부 전극(3)간에 개재된 플라즈마 생성 영역을 사이에 두고 주회할 수 있는 구성으로 되어 있다. 이 다이폴 링(9)에 의해, 도시 생략한 피처리 기판 표면에 평행한 방향으로 자계 B가 발생하며, 전계 E와 직교한다.
또한, 기판 지지대로서의 하부 전극(3)의 내부에는 냉각용 배관(10)이 설치되며, 도시 생략한 액체 공급계로부터 액체가 공급됨으로써 기판 온도를 효율좋게 제어하도록 구성되어 있다. 이와 같이 냉각용 배관(10)을 설치하는 것은, 본 실시예에 의한 마그네트론 플라즈마가 고밀도이며, 플라즈마로부터 하부 전극(3) 상에 배치된 도시 생략한 피처리 기판에 제공되는 열이, 종래의 장치에 비해 많기 때문이다.
또한, 진공 용기(1)의 내벽은, 상부 전극(2)의 근방에 배치된 절연물(11)을 통해 하부와의 사이를 절연 분리하도록 구성되어 있다. 또한, 상부 전극(2)의 상부에서 진공 용기(1) 외에, 반응 가스를 도입하기 위한 가스 공급계(12)가 설치되어 있다. 또한, 진공 용기(1)의 하측에는 반응 가스를 배기하는 가스 배기계(13)가 설치되어 있다.
또한, 하부 전극(3) 상의 피처리 기판 주변부에는, 보호 링(14)이 설치되어 있다. 이 보호 링(14)은, 반응성 이온 등과 전기적으로 절연된 절연 재료에 의해 형성되어 있으며, 이에 의해 플라즈마에 의해 발생한 반응성 이온 등을, 그 내측의 피처리 기판에만 효과적으로 입사시켜, 하부 전극(3)이 직접 플라즈마에 노출되지 않도록 되어 있다. 이 보호 링(14)의 재료는, SiC, Al2O3(알루미나), AlN, BN 등의 세라믹 재료, 다이아몬드계 카본이나 흑연 등, 여러 구조의 탄소, Si, 유기물, 금속, 합금 등이 피에칭막이나 반응 가스에 맞추어 선택된다. 또한, 본 발명에서는 네오디뮴계(Nd-Fe) 자석을 사용하였으나, 그 외에 예를 들면 Sm-Co계, 페라이트, 아르니코 등의 영구 자석 재료를 적당히 필요한 자계 강도, 내성이나 중량 등을 고려하여 선택하는 것이 바람직하다.
또한, 하부 전극(3)과 진공 용기(1)의 내벽간에는, 복수의 구멍이 설치된 배플판(15)이 하부 전극(3)의 가장자리부에 형성되어 있다. 이 배플판(15)에 의해, 가스 공급계(12)로부터 도입된 가스의 배기류를 정류하고, 진공 용기(1)로부터 처리 가스를 균일하게 배기한다.
또한, 하부 전극(3)은 상하 방향으로 승강 이동가능하며, 진공 용기 내로의 웨이퍼의 출입은, 하부 전극(3)을 다이폴 링(9)보다 낮추고, 게이트 밸브(16)를 통해 로드 로크 기구 및 반송 기구를 사용하여 행해진다.
다음으로, 하부 전극(3)에 인가한 전력의 주파수를 27.12 ㎒ 및 3.1 ㎒로 한 이유를 도 2를 참조하여 설명한다.
도 2는 플라즈마 밀도의 주파수 의존성의 실험 결과를 도시한 도면이며, 가로축은 주파수, 세로축은 플라즈마 밀도이다. 직경 30 ㎝, 높이 50 ㎝의 진공 용기 내에 직경 15 ㎝의 RF 전극을 삽입하고, 진공 용기를 접지 전극으로 하는 평행 평판형 전극에서, 100 ㎑∼300 ㎒의 범위로 주파수를 변화시키면서 플라즈마의 생성을 행하였다. 방전 가스로서는 C4F8/CO/Ar/O2혼합 가스계를 사용하며, 압력을 40 mTorr, RF 전원 전압 Vpp는 일정하게 하였다. 플라즈마 밀도의 측정에는 35 ㎓의 마이크로파 간섭계를 사용하며, 도면은 방전 주파수에 대한 플라즈마 밀도의 변화를 도시하고 있다.
도 2에 도시한 바와 같이, 약 14 ㎒ 이상의 주파수에서는, 플라즈마 밀도는 주파수의 2승에 비례하고 있음을 알 수 있으며, 이에 반해 약 14 ㎒ 이하의 주파수에서는, 플라즈마 밀도는 주파수에 비례하고 있음을 알 수 있다. 여기서, 플라즈마 밀도가 주파수의 2승에 비례하고 있는 영역에서는, 이온의 입사 에너지는 낮고, 이에 반해 플라즈마 밀도가 주파수에 비례하고 있는 영역에서는, 플라즈마 밀도가 주파수의 2승에 비례하고 있는 영역에 비교하여 이온의 입사 에너지가 높으며, 이 임계점인 약 14 ㎒를 경계로 하여, 그 경계보다 큰 주파수와 작은 주파수를 중첩하여 하부 전극에 제공함으로써, 이온 에너지의 제어와 플라즈마 밀도의 제어를 독립적으로 행할 수 있음을 알 수 있다. 따라서, 14 ㎒ 이상의 주파수 및 14 ㎒ 이하의 주파수를 중첩한 전력을 전극에 인가하는 것이 필요해진다.
다음으로, 본 실시예에 따른 플라즈마 처리 장치를 사용하여 실리콘 산화막을 에칭하는 방법에 대해 설명한다.
우선, 하부 전극(3)을 다이폴 링(9)의 높이로부터 하강시키고, 도시 생략한 로드 로크 기구 및 반송 기구로부터 게이트 밸브(16)를 통해 피처리 기판을 진공 용기(1) 내의 하부 전극(3) 상에 반송하고, 도시 생략한 정전 처크에 의해 쿨롱력에 의해 피처리 기판을 흡인하여 하부 전극(3)에 고정한다. 그리고, 이 하부 전극(3)을 다이폴 링(9)의 높이까지 상승시킨다. 이 하부 전극(3)은, 상부 전극(2)과의 간격이 27 ㎜가 되는 위치에 설정된다. 피처리 기판은 실리콘 기판으로 이루어지며, 진공 용기(1) 내에 반입되기 전에, 이미 0.2 ㎛의 실리콘 질화막 및 1 ㎛의 실리콘 산화막이 적층되어 형성되며, 또한 이 실리콘 산화막 표면에 레지스트 홀 패턴이 형성되어 있다. 이 피처리 기판은, 냉각용 배관(10)으로부터 공급되는 액체에 의해 60℃가 되도록 제어된다.
이어서, 가스 배기계(13)에 의해 진공 용기(1) 내를 1 ×10-6Torr 정도로 진공 배기한다. 그리고, 가스 공급계(12)로부터 C4F8/CO/Ar/O2가스를 도입하고, 고주파 전원(4, 5)에 의해 상부 전극(2) 및 하부 전극(3)간에 27.12 ㎒의 초단파(VHF)대 전력과 3.1 ㎒의 단파(HF)대 전력을 각각 700 W 및 1500 W 인가한다. 이 고주파 전력의 인가에 의해, 상부 전극(2) 및 하부 전극(3) 간의 영역에, 피처리 기판 표면에 대해 수직인 전계 E가 형성된다.
한편, 다이폴 링(9)을 180 rpm으로 주회시키면서 상부 전극(2) 및 하부 전극(3)간에 자장을 인가한다. 이 때 다이폴 링(9) 내부에서의 자계 강도는 200 G로 한다. 자계 강도는, 200 G로 한정되는 것은 아니며, 예를 들면 방전 주파수가 67.8 ㎒와 같이 보다 높은 것을 사용한 경우에는, 방전의 효율이 높아지기 때문에 자계 강도는 작아도 된다. 이 자장 인가에 의해, 상부 전극(2) 및 하부 전극(3) 간의 영역에, 피처리 기판 표면에 수평한 방향으로 자장 B가 형성된다.
여기서, 가스는 플라즈마의 회전을 방지하기 위해, 슬릿이 개방된 금속판으로 개구부가 덮여진 배플판(15), 가스 배기계(13) 그리고 도시 생략한 컨덕턴스 밸브 (개구율이 가변되며 배기 속도를 조절 가능한 밸브)를 통해 도시 생략한 진공 펌프에 배기된다. 컨덕턴스 밸브의 조정에 의해, 반응실 내 압력을 40 mTorr로 한다.
이와 같이 하여, 27.12 ㎒의 초단파(VHF)대 전원과 3.1 ㎒의 단파(HF)대의 전원이 발생하는 전력을 각각의 정합 회로(6, 7)와 필터(8)를 통해 상부 전극(2)과 하부 전극(3)간에 인가하고, 이에 의해 형성되는 전계 E와 진공 용기(1)의 외측면에 배치된 다이폴 링(9)에 의해 형성되는 피처리 기판 표면에 평행한 자계 B와 직교하는 공간 내에, 가스 공급계(12)로부터 반응성 가스가 공급되며, 방전에 의해 27.12 ㎒의 초단파(VHF)대 전원 전력에 의해 플라즈마가 형성되며, 피처리 기판 표면에 유기된 자기 바이어스와 3.1 ㎒의 단파(HF)대의 전원 전력으로부터의 전계에 의해 플라즈마 중으로부터 인출되는 에너지가 제어된 이온이, 피처리 기판에 충돌하여 에칭 반응을 진행시킨다.
상부 전극(2) 및 하부 전극(3)에는 피처리 기판 표면에 수직인 방향으로 전계 E가 인가되며, 이 전계 E는 자계 B와 직교한다. 이에 의해, 플라즈마 중의 전자를 로렌츠 힘에 의해 E×B 방향으로 드리프트시킬 수 있다. 따라서, 상부 전극(2) 및 하부 전극(3)간에 전자가 장거리 진행하며, 전자가 중성의 분자 또는 원자와 충돌하는 경향이 높아지며, 플라즈마 밀도가 향상된다. 또한, 자계를 인가함으로써, 전자를 플라즈마 중에 가두어, 그 전자의 수명 [진공 용기(1)의 내벽, 전극(2, 3)이나 피처리 기판에 충돌할 때까지의 시간]을 길게 할 수 있으며, 플라즈마 밀도를 더 향상시킬 수 있다.
또한, 2 개의 주파수의 고주파 전력을 모두 하부 전극(3) 측에 인가함으로써, 하부 전극(3) 근방, 즉 피처리 기판 표면 근방에서 고밀도 플라즈마가 보다 효율적으로 형성되므로, 반응이 더욱 촉진된다.
또한, 인가되는 2 개의 고주파 전력을 27.12 ㎒와 3.1 ㎒로 함으로써, 27.12 ㎒의 주파수에 의해 플라즈마 밀도를 제어하고, 3.1 ㎒의 주파수에 의해 이온의 에너지를 제어한다. 따라서, 플라즈마 생성과 이온의 입사 에너지를 각각 독립적으로 제어할 수 있게 된다. 또한, 본 실시예에서는 3.1 ㎒의 주파수를 인가하였지만, 5.424 ㎒ 이하의 주파수이면 하이 패스 및 로우 패스 필터의 제어가 가능하므로, 플라즈마 생성과 이온 에너지 제어를 독립적으로 행할 수 있다. 또한, 제1 고주파 전원(4)의 주파수도, 27.12 ㎒ 이상이면 된다.
또한, 이와 같이 플라즈마 밀도와 이온의 에너지를 제어할 수 있는 것은, 플라즈마 제어 범위를 넓히는 것을 의미한다. 즉, 플라즈마 밀도를 일정하게 유지한 채 이온의 에너지를 자유롭게 제어하는 것이 가능하므로, 종래와 같이, 필요시 되는 플라즈마 밀도에 대해 이온의 에너지가 일의적으로 정해지는 일이 없고, 바람직한 실험 조건을 용이하게 실현가능해진다. 그 결과, 에칭 사이즈가 작아지면 에칭 속도가 저하되는 마이크로 로딩 효과를 억제할 수 있다.
그리고, 종래 사용되고 있던 주파수인 13.56 ㎒에 대해 2 배의 주파수를 인가함으로써 저 소비 전력으로 에칭이 가능해진다. 즉, 플라즈마 밀도는 방전 주파수의 2승에 비례하는 것이며, 주파수를 2 배로 하면, 플라즈마 밀도는 4 배가 되므로, 동일 플라즈마 밀도를 얻기 위해서는 높은 주파수에 의해 플라즈마를 생성하는 편이, 낮은 주파수에 의해 생성하는 경우와 비교하여 낮은 전력으로 가능하기 때문이다.
에칭 처리 후는 고주파 전원(4, 5)으로부터 인가되는 전력을 오프로 하고, 가스의 공급을 정지하여, 진공 용기(1) 내에 남은 가스를 배기한 후, 로드 로크 기구를 사용하여, 진공 용기(1) 외부로 꺼낸다.
이 때의 실리콘 산화막 에칭 속도는, 0.1 ㎛φ의 홀에서 0.61 ㎛/min이며, 이 사이즈까지 에칭 속도의 저하 (마이크로 로딩 효과)가 없다. 또한, 기재의 실리콘 질화막과의 선택비는 25, 마스크인 레지스트와의 선택비는 7이다.
종래형인 13.56 ㎒만을 인가한 경우에는, C4F8/CO/Ar/O2가스를 사용하며, 전극간에 1,700 W, 반응실 내 압력을 40 ㎜Torr로 하고, 0.3 ㎛φ의 홀에서 0.58 ㎛/min의 에칭 속도가 얻어지지만, 이 때, 0.1 ㎛φ의 홀에서는 에칭은 진행하지 않고 에치 스톱 현상이 일어나며, 기재의 실리콘 질화막과 선택비는 17, 마스크인 레지스트와의 선택비는 5이었다. 이와 같이, 본 발명에서는, 기재의 실리콘 질화막과의 선택비에서 47%, 마스크인 레지스트와의 선택비에서 40%의 개선이 확인되며, 마이크로 로딩 효과를 저감시킬 수 있다.
도 3은 본 실시예에 도시한 조건 하에서의 이온의 입사 에너지 (-Vdc)와 플라즈마 밀도(Ne)의 관계를 도시한 도면이다. 가로축은 이온의 입사 에너지, 세로축은 플라즈마 밀도이다. 또한, 비교를 위해, 종래의 플라즈마 처리를 행한 경우의 관계도를 여러 가지 도시하고 있다.
도 3에 도시한 바와 같이, 종래의 플라즈마 처리, 즉 27.12 ㎒, 3.1 ㎒, 13.56 ㎒의 단일 주파수의 전력을 인가한 경우에는, 이온 에너지의 증가에 따라 플라즈마 밀도가 증가한다는 우측 상향의 직선 상으로 거의 정해져 있었으나, 본 실시예와 같이 중첩 고주파 전력을 인가하고, 또한 27.12 ㎒ 이상의 고주파를 인가함으로써, 이온의 입사 에너지의 증가에 대해 플라즈마 밀도는 그만큼 증가하지 않는다. 이것은, 원하는 플라즈마 밀도를 실현하기 위해서는, 임의의 이온 에너지를 사용할 수 있다는 것을 의미한다. 따라서, 프로세스 제어 범위가 넓어짐을 알 수 있다.
본 발명은 상기한 실시예에 한정되는 것은 아니다. 고주파 전원(4, 5)으로부터 인가되는 전력의 주파수는, 높은 측의 주파수로서 약 14 ㎒ 이상이면 되고, 또한 이 주파수와의 관계로부터, 낮은 측의 주파수는 약 14 ㎒ 이하이면 된다. 또한, 높은 측의 주파수로는 27.12 ㎒ 이상, 낮은 측의 주파수로는 5.424 ㎒ 이하인 것이 바람직하다. 이것은, 하이 패스 및 로우 패스 필터의 제어 조건으로부터, 높은 측의 주파수와 낮은 측의 주파수를 5 배 이상 떨어뜨릴 필요가 있기 때문이다. 또한, 단일의 하부 전극(3)에 인가되는 전력의 주파수는, 2 종류의 경우에 한정되지 않으며, 실험 조건 등에 의해 3 종류 이상으로 해도 좋다.
또한, 다이폴 링(9)이 아니어도 통상의 자석에 의한 마그네트론 플라즈마이어도 좋고, 자석을 설치하지 않는 구성이어도 본 발명을 적용 가능하다. 그리고, 도 4에 도시한 바와 같이, 다이폴 링(9) 대신 자장 발생 수단(21)을 상부 전극(2)과 하부 전극(3)에 개재된 영역을 둘러싸도록 설치하고, 세로 방향의 자장 B'를 인가하는 구성에 의해 피처리 기판 근방에 고밀도의 플라즈마를 형성하여도 좋다. 또한, B' 이외의 구성은 도 1과 동일하므로 설명을 생략한다.
하부 전극에 적어도 2 종류의 상이한 주파수의 전력을 인가하여, 피처리체의 표면과 실질적으로 직교하는 전계 E를 형성하여 플라즈마 처리를 행하고, 플라즈마 밀도가 주파수에 비례하는 영역에서의 주파수와, 플라즈마 밀도가 주파수의 2승에 비례하는 영역에서의 주파수를 갖는 전력을 상기 하부 전극에 인가함으로써, 플라즈마 밀도(Ne)를 제어하는 주파수와, 플라즈마 밀도와는 독립적으로 플라즈마 중의 이온이 추종할 수 있는 주파수가 제공되므로, 플라즈마의 생성을 제어하는 고주파 전력과 피처리체에 입사되는 이온 에너지를 제어하는 고주파 전력을 분리할 수 있어, 플라즈마 밀도를 일정하게 유지한 채, 이온의 입사 에너지를 독립적으로 제어할 수 있다.

Claims (8)

  1. 처리실 내에 대향 배치된 상부 전극과 하부 전극간에 고주파 전력을 인가하여 얻어지는 플라즈마에 의해 상기 하부 전극 상에 배치되는 피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 방법에 있어서,
    플라즈마 밀도가 주파수에 비례하는 영역에서의 주파수와, 플라즈마 밀도가 주파수의 2승에 비례하는 영역에서의 주파수를 갖는 전력을 상기 하부 전극에 인가하여 상기 피처리체의 표면과 실질적으로 직교하는 전계 E를 형성하여 플라즈마 처리를 행하는 플라즈마 처리 방법.
  2. 제1항에 있어서, 플라즈마 밀도가 주파수의 2승에 비례하는 영역에서의 상기 주파수를 27.12 ㎒ 이상으로 하고, 플라즈마 밀도가 주파수에 비례하는 영역에서의 상기 주파수를 5.424 ㎒ 이하로 하는 플라즈마 처리 방법.
  3. 제1항에 있어서, 상기 플라즈마를 생성하는 영역에, 상기 상부 전극으로부터 상기 하부 전극을 향해 상기 피처리체 표면에 실질적으로 직교하는 자계를 발생시켜 플라즈마 처리를 행하는 플라즈마 처리 방법.
  4. 제1항에 있어서, 상기 플라즈마를 생성하는 영역을 둘러싸는 영역에 자계 발생 수단을 설치하고, 상기 자계 발생 수단의 S극 및 N극을, 상기 플라즈마를 생성하는 영역을 사이에 두고 주회(周回)시킴으로써 상기 전계 E에 실질적으로 직교하는 기준면을 갖는 자계 B를 형성하여 플라즈마 처리를 실시하는 플라즈마 처리 방법.
  5. 처리실 내에 대향 배치된 상부 전극 및 하부 전극과,
    플라즈마 밀도의 주파수 특성이 주파수에 비례하는 영역에서의 주파수와, 플라즈마 밀도의 주파수 특성이 주파수의 2승에 비례하는 영역에서의 주파수를 가지는 전력을 상기 하부 전극에 인가하는 전원과,
    상기 상부 전극과 상기 하부 전극간에 자장을 형성하는 자계 발생 수단
    을 구비하여 이루어지는 플라즈마 처리 장치.
  6. 제5항에 있어서, 플라즈마 밀도가 주파수의 2승에 비례하는 영역에서의 상기 주파수를 27.12 ㎒ 이상으로 하고, 플라즈마 밀도가 주파수에 비례하는 영역에서의 상기 주파수를 5.424 ㎒ 이하로 하는 플라즈마 처리 장치.
  7. 제5항에 있어서, 상기 자계 발생 수단은, 상기 플라즈마를 생성하는 영역에, 상기 상부 전극으로부터 상기 하부 전극을 향해 상기 피처리체 표면에 실질적으로 직교하는 자계를 발생시키는 것인 플라즈마 처리 장치.
  8. 제5항에 있어서, 상기 자계 발생 수단은 S극 및 N극을 가지며, 상기 S극 및 N극이 상기 플라즈마를 생성하는 영역을 사이에 두고 대향배치되어 이루어지며, 상기 S극 및 N극은 상기 플라즈마를 생성하는 영역을 사이에 두고 주회하는 플라즈마 처리 장치.
KR10-2000-0013827A 1999-03-19 2000-03-18 플라즈마 처리 방법 및 플라즈마 처리 장치 KR100375910B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP11076354A JP2000269196A (ja) 1999-03-19 1999-03-19 プラズマ処理方法及びプラズマ処理装置
JP1999-076354 1999-03-19

Publications (2)

Publication Number Publication Date
KR20000062952A true KR20000062952A (ko) 2000-10-25
KR100375910B1 KR100375910B1 (ko) 2003-03-15

Family

ID=13603039

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0013827A KR100375910B1 (ko) 1999-03-19 2000-03-18 플라즈마 처리 방법 및 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US6433297B1 (ko)
JP (1) JP2000269196A (ko)
KR (1) KR100375910B1 (ko)
TW (1) TW454228B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338912A (ja) * 2000-05-29 2001-12-07 Tokyo Electron Ltd プラズマ処理装置および処理方法
AU2002227418A1 (en) * 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
JP2003234331A (ja) 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP4131793B2 (ja) * 2001-12-10 2008-08-13 東京エレクトロン株式会社 高周波電源及びその制御方法、並びにプラズマ処理装置
JP4129855B2 (ja) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
TW551782U (en) * 2002-10-09 2003-09-01 Ind Tech Res Inst Microwave plasma processing device
US20040256353A1 (en) * 2003-04-24 2004-12-23 Tokyo Electron Limited Method and system for deep trench silicon etch
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7615164B2 (en) * 2004-06-23 2009-11-10 Micron Technology, Inc. Plasma etching methods and contact opening forming methods
JP2006135029A (ja) * 2004-11-04 2006-05-25 Sharp Corp ドライエッチング装置
JP4865352B2 (ja) * 2006-02-17 2012-02-01 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
JP4646880B2 (ja) * 2006-09-08 2011-03-09 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP5514413B2 (ja) 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
JP5309601B2 (ja) * 2008-02-22 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
EP2332163A1 (en) * 2008-10-01 2011-06-15 Oerlikon Solar AG, Trübbach Radiofrequency plasma reactor and method for manufacturing vacuum process treated substrates
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US9443753B2 (en) 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
DE102011107598B4 (de) 2011-07-11 2018-07-05 Protec Carrier Systems Gmbh Mobiler Halter für wenigstens einen Wafer und Herstellungsverfahren
JP6207880B2 (ja) * 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
WO2014064779A1 (ja) * 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5633839A (en) 1979-08-29 1981-04-04 Hitachi Ltd Plasma treatment and device therefor
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPH04369835A (ja) * 1991-06-19 1992-12-22 Sony Corp ドライエッチング方法
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JPH10251849A (ja) * 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치

Also Published As

Publication number Publication date
JP2000269196A (ja) 2000-09-29
TW454228B (en) 2001-09-11
KR100375910B1 (ko) 2003-03-15
US6433297B1 (en) 2002-08-13

Similar Documents

Publication Publication Date Title
KR100375910B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR100394484B1 (ko) 플라즈마 처리 방법 및 장치
KR100403074B1 (ko) 마그네트론 플라즈마 처리 장치
US5605637A (en) Adjustable dc bias control in a plasma reactor
US6346915B1 (en) Plasma processing method and apparatus
JP4377698B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2008263226A (ja) プラズマエッチング処理装置
JPH08107101A (ja) プラズマ処理装置及びプラズマ処理方法
KR20000062605A (ko) 플라즈마 처리방법 및 장치
KR100600177B1 (ko) 마그네트론 플라즈마 처리 장치
JPH11135438A (ja) 半導体プラズマ処理装置
JPH06224154A (ja) プラズマ処理装置
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP3499104B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4013674B2 (ja) プラズマドーピング方法及び装置
KR20010021139A (ko) 평활한 표면을 갖도록 폴리실리콘을 에칭하는 방법
KR100325404B1 (ko) 플라스마 처리 장치
JP3973283B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3663392B2 (ja) プラズマエッチング処理装置
JP3174982B2 (ja) プラズマ処理装置
JP2003274633A (ja) 処理反応炉のためのリニア誘導プラズマポンプ
JP4388455B2 (ja) プラズマエッチング処理装置
JP2004140391A (ja) プラズマ処理装置および方法
JP3037848B2 (ja) プラズマ発生装置およびプラズマ発生方法
US6432730B2 (en) Plasma processing method and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20140203

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20150120

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20160121

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee