KR20000062563A - 원자 가스로 재료층을 형성하기 위한 방법 및 장치 - Google Patents

원자 가스로 재료층을 형성하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20000062563A
KR20000062563A KR1020000007501A KR20000007501A KR20000062563A KR 20000062563 A KR20000062563 A KR 20000062563A KR 1020000007501 A KR1020000007501 A KR 1020000007501A KR 20000007501 A KR20000007501 A KR 20000007501A KR 20000062563 A KR20000062563 A KR 20000062563A
Authority
KR
South Korea
Prior art keywords
atomic
gas
substrate
molecular
atomic gas
Prior art date
Application number
KR1020000007501A
Other languages
English (en)
Inventor
엘리 위에
리-퀀 지아
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20000062563A publication Critical patent/KR20000062563A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Abstract

원자 가스를 이용하여 기판상에 재료층을 형성하기 위한 방법이 제공되어 있다. 기판은 상승된 온도로 가열되며 원자 가스에 노출된다. 원자 가스는 기판 상에 재료층을 형성하기 위해 기판의 표면에서 반응한다. 원자 가스 공급원은 바람직하게 분자 가스를 고 반응성 원자 가스로 해리시키는 원격의 마이크로파 플라즈마 가스 공급원을 포함한다. 게이트 품질 실리콘 이산화물, 산소질화물, 및 실리콘 질화물은 감소된 온도(예를 들어, 대략 600-650℃)에서 각각 O2, O2및 N2또는 NH3, 및 N2또는 NH3의 해리에 의해 형성되어질 것이다. 감소된 형성 온도로 인해, 세라믹 히터 등의 균일한 가열 기구는 보다 균일한 재료층이 얻어지도록 기판 가열에 이용될 수 있다. 원자를 분자 가스로의 재결합을 감소시키기 위해서는, 원자 가스 공급원 및 기판 사이의 통로 길이가 감소되어야 하며, 또는 가스 원자를 공간적으로 분리시키기 위해 원자 가스를 희석시키는데 비활성 가스가 이용될 수 있다. 또한, 원자 가스 공급원과 기판 사이의 통로의 일부분은 가스 원자 재결합을 방지하기 위해 보호성 피복물로 피복되어질 것이다.

Description

원자 가스로 재료층을 형성하기 위한 방법 및 장치 {METHOD AND APPARATUS FOR FORMING MATERIAL LAYERS FROM ATOMIC GASSES}
본 발명은 반도체 장치 처리에 관한 것이며, 특히 원자 가스로부터 반도체 장치 재료 층을 형성하기 위한 것이다.
고성능, 고밀도의 전자학의 경향은 금속 산화물 반도체(MOS:metal-oxide semiconductor) 장치의 래터럴 치수(lateral dimensions) 의 계속적인 스케일링 (scaling)을 초래한다. 래터럴 장치의 칫수가 감소됨에 따라, MOS장치의 게이트 절연 두께(예를 들어, 실리콘 이산화물 두께)는 MOS 장치의 적절한 작동을 위해 충전 저장 용량을 충부하게 보유하기기 위해 감소되어져야 한다.
최근의 래터럴 장치의 칫수에 대한 요구는 구동 전압이 비례적으로 감소되지 않고 게이트 절연체가 100Å 이하로 유지되어져야 한다. 보다 얇은 게이트 절연층과 동일하거나 유사한 구동 전압의 조합이 각각의 연속하는 MOS 장치 발생용으로 증가된 장치의 전기장을 초래한다. 따라서, 증가된 전기장 및 절연파괴 강도(dielectric breakdown strength)와 관련된 고온 캐리어(hot-carrier) 손상은 MOS 장치의 차후 스케일링에 대한 주 관심사이다. 부가적으로, 감소된 MOS 장치의 치수는 활성 입자를 이용하고 실리콘 이산화물 게이트 절연체가 성장된 종래의 로에 손상을 가하는 이온화 복사를 발생하는 반응 이온 에칭 및 e-비임 리소그래피등의 광범위한 제조 기법을 이용한다. 더욱이, 얇은 실리콘 이산화물은 붕소 확산에 대한 부적합한 방벽으로, 붕소로 도핑처리된 p+ 다결정 실리콘 게이트 전자의 사용에 문제가 발생한다.
실리콘 이산화물 게이트 절연체는 900℃를 초과하는 온도에서 성장하는 로이다. 상승된 온도에서, 실리콘 이산화물 필름 성장은 차후에 발생되어질 MOS 공정의 열예산(예를 들어, 650℃)을 초과한다. 더욱이, (산화 로에서 고유의 산소 유동 및 불균일한 웨이퍼 가열로 인해)필름 두께의 균일도 변동은 차후에 발생되어질 MOS 장치(예를 들어, 50Å 이하의 산화물 장치)에 허용되지 않을 정도로 상당히 높다. 따라서, 고온 캐리어 손상, 실리콘 이산화물의 절연파괴 강도 및 확산 방벽 특성은 반복가능한 두께로 보상되어야 하며, 충분한 두께 균일도가 요구된다.
게이트 절연체로서 "순수"실리콘 이산화물의 이용에 잠재적인 대안책은 암모니아 또는 질소 산화물로 어닐링된 실리콘 이산화물 등의 "질화된 산화물"또는 "산소질화물"을 이용하는 것이다. (고품질의 Si/SiO2계묜을 형성하기 위해)실리콘 웨이퍼 상에 성장한 얇은 실리콘 이산화물층, 및 실리콘 웨이퍼 상에 증착된 실리콘 질화물 층을 포함하는 산화물/질화물 층이 또한 이용될 수 있다.
산소질화물(oxynitride)은 질소 부화 환경(예를 들어, NH3또는 N2O)에서 후 성장 어닐링 단계를 거쳐 Si/SiO2계면에서 소량의 질소(예를 들어, 1-5 원자%)를 포함한다. 계면 질소는 산소질화물의 고온-캐리어 및 복사 손상 저항을 개선시키며, 산소질화물의 방벽 확산 특성을 강화시킨다. 그러나, 산소질화물은 일반적으로 추가 어닐링 단계에 직접 노출된 실리콘 질화물이 성장된 로이며 (또는 질소 산화물에서 로 성장에 의해 직접 형성되며), 순수 실리콘 이산화물에서와 같이 두께 균일도 문제로부터 어려움을 겪는다. 암모니아 어닐링은 장치 성능으로부터 유해한 영향을 미치는 산소질화물 내에 수소 유도된 전자 함정 (hydrogen-induced electron traps)을 또한 발생시킨다.
실리콘 이산화물에 있어서 또 다른 대안책은 실리콘 질화물이다. 실리콘 질화물은 보다 얇은 층의 실리콘 질화물이 절연 파괴없이 두꺼운 실리콘 이산화물층과 동일한 충전 저장 용량을 갖도록 실리콘 이산화물보다 높은 절연 상수를 갖는다. 따라서, 실리콘 질화물 게이트 절연체는 (예를 들어, 차후 발생되어질 MOS 장치용) 실리콘 이산화물 게이트 절연체보다 스케일이 가능하다. 더욱이, 실리콘 질화물은 실리콘 이산화물 또는 산소질화물보다 우수한 장기간 신뢰성 및 우수한 수분 및 불순물 확산 방벽을 나타낸다. 그러나, 실리콘 질화물은 10년간의 연구에도 불구하고, 실리콘 질화물 게이트 절연체의 상업상 이용을 실행할 수 있도록 하는 수소 유동 전자 함정으로부터 어려움을 겪고 있다.
종래의 실리콘 질화물은 로에 근거한 저압 화학 증기 증착(LPCVD:low pressure chemical vapor deposition) 공정에 의해 실리콘 기판 상에 성장하지 않고 증착된다. 특히, 암모니아(NH3) 및 실리콘 테트라클로라이드(SiCl4) 는 반도체 웨이퍼 상에서 실리콘 질화물(Si3N4)및 염산(HCl)을 형성하기 위해 대략 900℃의 로 내에서 반응한다. 증착 공정중에, 암모니아는 증착된 실리콘 질화물 필름내에서 전자 함정을 발생시키는 수소를 유리시킨다. 전자 함정은 증착된 실리콘 질화물의 전기 특성에 악영향을 끼치며 게이트 절연체로서 실리콘 질화물 필름을 비효율적으로 초래한다.
또한, LPCVD 실리콘 질화물 공정은 다수의 반도체 제조자들의 주도하에 "그린(green)"으로 양립할 수 없는 환경적으로 친화적이지 못한 가스(예를 들어, NH3, SiCl4)를 이용하게 된다. 더욱이, 종래의 실리콘 이산화물 및 산소질화물 성장에서와 같이, LPCVD 실리콘 질화물 증착은 로에 근거로 하고 있으며 유사한 두께의 균일도 문제점으로부터 어려움을 겪는다. 종래의 게이트 절연체의 또 다른 단점은 게이트 절연체를 형성하기 위해 이용되는 공정의 열 예산이다. 에를 들어, 종래에는 실리콘 이산화물 및 산소 질화물의 성장, 및 LPCVD 에 의한 실리콘 질화물의 증착은 차후에 발생되는 MOS 공정의 열 예산(예를 들어, 650℃)을 초과하는 상승된 온도(예를 들어, 900℃ 이상)에서 수행된다.
따라서, 두께 비균일도로부터 어렴움을 겪지 않고, 차후에 발생되는 MOS 공정의 열 예산 제한을 초과하지 않고, 바람직하게 실리콘 이산화물보다 우수한 신뢰성 및 방벽 확산 특성을 갖는 개선된 게이트 절연체에 대한 필요성이 요구된다.
도 1은 본 발명에 따른 원자 가스 재료 층의 형성을 위해 배열된 반도체 웨이퍼 처리 시스템의 측면도.
도 2는 도 1의 반도체 웨이퍼 처리 시스템을 이용하는 반도체 장치를 제조하기 위한 자동화된 기구의 평면도.
*도면의 주요 부분에 대한 부호의 설명*
11: 처리 시스템 13 : 처리 챔버
15 : 원자 가스 공급원 19 : 펌프
27 : 가스 분포판 29 : 웨이퍼 지지대
31 : 세라믹 가열기 35 : 마이크로파 플라즈마 시스템
37 : 마그네트론 헤드 41 : 어플리케이터
45 : 보호성 피복물 51 : 웨이퍼 핸들러 챔버
53 : 웨이퍼 핸들러 59 : 제어기
종래 기술의 필요성을 설명하기 위해서는, 원자 가스를 이용하여 기판(예를 들어, 반도체 기판) 상에 재료층을 형성하기 위한 신규한 방법이 제공된다. 특히, 기판은 상승된 온도(예를 들어, 600-650℃)에서 가열되는 동시에 원자 가스에 노출된다. 원자 가스는 기판 상에 재료층을 형성하기 위해 기판의 표면에서 반응한다.
원자 가스의 공급원은 바람직하게 분자 가스를 고 반응성 원자 가스로 해리시키는 원격의 마이크로파 플라즈마 공급원에 작동 결합된(즉, 작동하기 위해 결합된) 분자 가스 공급원(예를 들어, O2, N2, NH3, 등)을 포함한다. 원자 가스의 고 화학 전위로 인해, 원자 가스는 감소된 온도에서 기판상에 재료층을 형성하기 위해 가열된 기판 표면에서 용이하게 반응한다.
신규한 재료층의 형성 방법은 종래의 로에 근거한 형성 방법의 온도(900℃ 이상)보다 낮은 온도(600-650℃ 이상)에서 (예를 들어, O2의 해리를 통해)실리콘 이산화물, (예를 들어, O2및 N2또는 NH3의 해리를 통해)산소질화물, 및 (N2또는 NH3 의 해리를 통해)실리콘 질화물 모두를 성장시키기 위해 특히 적합하다. 증착된 실리콘 이산화물 필름은 현재 오존이 산화제로서 상업적으로 적용되는 테트라에틸 오르토실리케이트(TEOS) 및 원자 산소로 형성될 수 있다.
본 발명의 중요한 잇점은 (예를 들어, 수소 유도 전자 함정이 거의 없는)게이트 품질 실리콘 질화물 재료 층 보다 낮은 열 예산에서 (예를 들어, 분자 질소의 해리를 거쳐)원자 질소로 성장된다는 것이다. 더욱이, 이용된 낮은 게이트 절연체 형성 온도로 인해, 세라믹 히터 등의 보다 균일한 가열 기구가 재료 층의 형성중에 이용될 수 있다. 이로 인해 재료층의 두께 균일도는 로를 기저로 한 형성 방법보다 강화된다. 따라서, 감소된 두께 불균일도 및 우수한 신뢰성 및 방벽 확산 특성을 갖는 개선된 게이트 절연체는 차후에 발생되어질 MOS 공정의 열 예산 제한범위 내에서 형성될 수 있다.
사용된 원자 가스는 보다 안정된 분자 형태를 선호함으로 인해, 원격의 마이크로파 플라즈마 공급원으로부터 기판에 이르기까지 도중에 가스 원자를 가스 분자로의 재결합을 감소시키기 위해(예를 들어, 성장율을 강화시키고, 재료층 화학양론 상에서 보다 정확한 제어를 제공하기 위해 등.) 일부 기술이 제공된다. 예를 들어, 원자 가스 공급원과 기판 사이의 통로 길이는 최소화되며, 분자 가스 공급원 및/또는 형성된 원자 가스는 이들의 재결합을 방지하기 위해 가스 원자를 분리시키는 비활성 가스(예를 들어, 아르곤)으로 희석되어질 것이다. 원자 가스 공급원과 기판 사이의 통로의 모두 또는 일부분은 또한 이용가능한 원자 가스 재결합 장소(예를 들어, 보호성 피복물)의 수를 감소시키는 재료로 피복되어질 것이다.
본 발명의 또 다른 목적, 특성, 및 잇점은 바람직한 시리에, 첨부된 특허청구의 범위, 및 도면을 참조하여 보다 상세히 설명되어질 것이다.
도 1은 본 발명에 따른 원자 가스 재료 층의 형성을 위해 배열된 반도체 웨이퍼 처리 시스템(11)("처리 시스템:11")을 도시한 측면도이다. 처리 시스템(11)은 전방라인(21) 및 트로틀 밸브(23)를 거쳐 펌프(19), 및 입구 파이프(17)를 거쳐 원자 가스 공급원(15)에 작동결합된 처리 챔버(13)를 포함한다. 적합한 처리 시스템은 어플라이드 머티어리얼스 인코포레이티드에 의해 제조된 GIGAFILLTM처리 시스템이며, 1996년 11월 13일 출원된 미국 특허 출원 번호 제 08/748,883호에 공동 양도되어 있으며, 본 명세서에 참고로 기술되어 있다.
처리 챔버(13)는 원자 가스 공급원(15)으로부터 원자 가스를 수용하기 위한 입구 파이프(17)에 작동 결합된 입구(25), 및 처리 챔버(13) 내에 위치된 반도체 웨이퍼의 표면을 따라 원자 가스를 균일하게 분포시키기 위해 입구(25)에 작동 결합된 가스 분포판(27)을 포함한다. 처리 챔버(13)는 상기 챔버 내에 처리 중에 반도체 웨이퍼를 지지하고 가열시키기 위해 장착된 가열 기구(예를 들어, 세라믹 히터(31))를 갖추고 있으며 가스 분포판(27) 아래에 위치된 웨이퍼 지지대(29)를 더 포함한다. 세라믹 가열기(31)는 대략 800℃의 최대 가열 온도를 가지며, 바람직하게 알루미늄 질화물 등의 재료를 포함하고 있다. 상이한 재료 및 상이한 온도 최대값을 갖는 다른 가열 기구가 이용될 수 있다.
원자 가스 공급원(15)은 원격 마이크로파 플라즈마 시스템(35)에 작동 결합된 분자 가스 공급원(33)을 포함한다. 분자 가스 공급원(33)은 바람직하게 형성되어질 재료 층에 따라 O2, N2, 또는 NH3등의 공급 가스를 포함한다. 공급 가스는 (하기에 기술되어진) 아르곤 등의 비활성 가스로 희석된다.
원격의 마이크로파 플라즈마 시스템(35)은 튜너(39)에 작동 결합된 마그네트론 헤드(37); 그리고 튜너(39), 입구 파이프(17), 및 마그네트론 헤드(37)에 작동 결합된 마이크로파 어플리케이터(41)를 포함한다. 특히, 마그네트론 헤드(37), 튜너(39), 및 마이크로파 어플리케이터(41)는 마그네트론 헤드(37)에 의해 발생된 마이크로파 에너지를 마이크로파 어플리케이터(41)에 안내하는 도파관(43a-c)을 거쳐 작동 결합된다.
마그네트론 헤드(37)는 대략 0 내지 3000 와트 사이의 전력으로 대략 2.5 GHz에서 집중된 펄스 또는 연속 웨이브 마이크로파를 발생시킨다. 임의의 종래의 마그네트론 헤드는 마그네트론 헤드(37)로서 이용될 수 있다.
마그네트론 헤드(37)에 의해 발생된 마이크로파는 도파관 시스템(43a-c)으로 출력되고 제1 도파관 단면(43a), 제 2 도파관 단면(43b), 제 3 도파관 단면(43c)을 통해 마이크로파 어플리케이터(41)로 이송된다. 튜너(39)는 제 1 도파관 단면(43a)에 작동 결합되고 원격의 마이크로파 플라즈마 시스템(35)이 (예를 들어, 마그네트론 헤드(37)에 역으로 마이크로파 전력의 반사를 감소시키기 위해) 제 3 도파관 단면(43c)의 임피던스 특성과 매칭되는 종래의 마이크로파 튜닝 부재(예를 들어, 스터브 튜너 등)을 포함한다. 마이크로파 전력은 마이크로파 어플리케이터(41)에 효율적으로 전달된다.
작동중에, 처리 시스템(11)을 이용하는 실리콘 반도체 웨이퍼(32) 상에 실리콘 질화물 층을 성장시키기 위해서는, 반도체 웨이퍼(32)가 처리 챔버(13) 내부로 로딩되어, 웨이퍼 지지대(29) 상에 위치되며, 처리 챔버(13)는 펌프(19)를 거쳐 진공화된다. 처리 챔버(13)의 기준 압력은 트로틀 밸브(23)를 조절함으로써 설정된다. 다른 챔버 압력의 이용된다 하더라도 대략 0.4 torr의 기준 압력이 바람직하다.
처리 챔버(13)의 진공화중에, 반도체 웨이퍼(32)의 온도를 성장 온도로 상승시키기 위해 세라믹 히터(31)에 전력이 인가된다. 하기에 보다 상세히 기술되어질 원자 질소의 높은 화학 전위로 인해, 실리콘 질화물 성장은 LPCVD 를 거쳐 실리콘 질화물 성장에 요구되는 온도보다 상당히 낮은 온도에서 발생된다. 따라서, 실리콘 질화물 성장은 800℃이하에서 수행되며, 바람직하게 대략 600 내지 650℃의 범위 내에서 수행된다. 따라서, 세라믹 가열기(31)는 바람직하게 600 내지 650℃에서 가열된다.
반도체 웨이퍼(32)가 성장 온도에 도달한 후에, 그리고 처리 챔버(13)가 소정의 기저 압력에서 안정화된 이후에, 마그네트론 헤드(37)은 마이크로파 어플리케이터(41)에 마이크로파 전력을 인가시키기 위해 작동되며, 분자 가스는 분자 가스 공급원(33)으로부터 마이크로파 어플리케이터(41)로 유동된다. 마이크로파 어플리케이터(41)에 인가된 마이크로파 전력 수치는 실리콘 질화물 성장에 영향을 미치기 위해 처림 챔버(13) 내에 원자 질소의 충분한 농도를 발생시키기 위해 요구되는 전력 수치이다. 적절한 전력 수치는 하기에 기술되어질 여러가지 요소(예를 들어, 마이크로파 어플리케이터(41) 내에 원자 질소의 농도, 마이크로파 어플리케이터(41)와 반도체 웨이퍼(32) 사이의 거리, 마이크로파 어플리케이터(41)로부터 반도체 웨이퍼(32)까지 이동함에 따라 원자 질소에 의해 부딪히는 재료, 등)에 따라 달라진다. 1000 내지 3000 와트 사이의 전력 수치가 바람직하다.
실리콘 질화물 성장에 바람직한 분자 가스는 분자 질소(N2)이다. 암모니아(NH3)가 또한 사용될 수 있다. 그러나, 암모니아는 실리콘 질화물 성장중에 수소 유도 전자 함정(electron trap) 형성을 초래하며 N2와 같이 환경 친화적이지 못하다.
분자 가스 공급원(33)으로부터의 분자 질소는 마이크로파 어플리케이터(41) 내부로 이동하며 마그네트론 헤드(37)에 의해 마이크로파 어플리케이터(41)에 인가된 마이크로파 에너지에 의해 원자 질소로 해리된다. 특히, 마이크로파 어플리케이터(41) 내의 윈도우(도시되지 않음)는 제 3 도파관 단면(43c)으로부터 마이크로파가 마이크로파 어플리케이터(41)의 외부를 통해 통과하고 그 내부의 분자 질소와 상호작용하도록 한다. 플라즈마 점화 시스템(예를 들어, 자외선 광)은 질소 플라즈마의 초기 이온화에 이용될 수 있으며, 그리고 나서 마이크로파 에너지는 플라즈마를 유지시킨다. 질소의 극소 부분만이 이온화되며, 플라즈마는 희석 가스가 존재한다면 (아르곤이 희석 가스로 이용된다면 아르곤 이온 등의) 다른 이온화된 종을 포함할 수 있다. 따라서, 어플리케이터(41)는 마이크로파 어플리케이터(41)로부터 처리 챔버(13)의 입구 파이프(17)까지 이동하는 원자 질소의 유동을 발생시킨다.
질소 가스 원자는 보다 안정한 분자 형태(N2)를 더 선호한다. 이와 같이, 질소 원자는 두개의 질소 원자가 공간적으로 근접해 있다면 N2를 형성하기 위해 또 다른 질소 원자와 쉽게 재결합할 것이다. 따라서, 또 다른 도전 형태는 원자 질소가 분자 질소를 형성하기 위해(예를 들어, 실리콘 질화물의 성장 속도를 증진시키고 실리콘 질화물 화학양론비를 보다 제어하기 위해 등) 재결합하기 이전에, 충분하고 제어된 양의 원자 질소를 (실리콘 질화물 층이 성장된)반도체 웨이퍼(32)로 이송시키는 것이다.
원자 질소의 재결합을 감소시키기 위해서는, 마이크로파 어플리케이터(41)와 반도체 웨이퍼(32) 사이의 통로 길이는 마이크로파 어플리케이터(41)를 처리 챔버(13)에 가능한 근접하게 연결함으로써 (예를 들어, 처리 챔버(13) 또는 처리 챔버(13)에 직접 인접한 마이크로파 어플리케이터(41)를 장착시키기 위해 연결기를 갖춘 마이크로파 어플리케이터(41)를 제공함으로써) 최소화될 수 있다. 분자 가스 공급원(33)은 질소 가스 원자가 반도체 웨이퍼(32)로 이송됨에 따라 마이크로파 어플리케이터(41) 내에 발생된 질소 가스 원자를 분리시키는 (아르곤 등의) 비활성 가스로 희석될 수 있다. 유사하게, 분리된 비활성 가스원은 마이크로파 어플리케이터(41)에 결합될 수 있으며 마이크로파 어플리케이터(41) 내에서 발생된 질소 가스 원자를 분리시키는 비활성 가스를 공급하기 위해 이용된다. 부가적으로, 마이크로 어플리케이터(41) 및 반도체 웨이퍼(32) 사이의 모든 통로 또는 통로의 일부분은 질소 가스 원자가 부착되는 것을 방지하고 연속하는 질소 가스 원자용 재결합 사이트로 제공되는 보호성 피복물로 피복될 수 있다. 도 1에서, 입구(25)와 마이크로파 어플리케이터(41)(마이크로파 어플리케이터(41)를 포함하는) 사이의 전체 통로는 질소 가스 원자 부착을 저지하는 보호성 피복물(45)(예를 들어, 알루미늄 질화물)로 피복된다. 입구(25), 처리 챔버(13), 및 가스 분포판(27)은 반도체 웨이퍼(32)에 달하는 원자 질소의 농도를 보다 강화시키기 위해 보호성 피복물(45)로 피복되어질 것이다.
원자 질소가 높은 화학 전위를 갖는 원자 질소로 인해 가열된 반도체 웨이퍼(32)의 상부면에 도달하게 되면, 원자 질소는 웨이퍼 상에서 실리콘 질화물 재료층을 형성하기 위해 실리콘 웨이퍼(32)와 쉽게 반응한다.
본 발명에 따른 중요한 잇점은 게이트 품질 실리콘 질화물이 실리콘 질화물 내에 수소로 유도된 전자 함정을 발생시키지 않고 (분자 질소의 해리로부터 형성된 원자 질소를 거쳐) 반도체 웨이퍼(32) 상에서 성장될 수 있다는 것이다. 더욱이, 저 성장 온도(및 바람직하지 못한 불순물(dopant) 확산을 방지하는 낮은 열 예산)로 인해, 세라믹 히터(31)와 히터의 강화된 온도 균일도가 실리콘 질화물 성장중에 이용될 수 있다. 이로 인해 실리콘 질화물 두께 균일도는 로에 근거한 형성 방법으로 강화된다. 부가적으로, 실리콘 질화물 층의 형성에 복잡하고 환경적으로 친화적이지 못한 질소 또는 실리콘 전조가스는 요구되지 않는다.
실리콘 질화물 이외에도, 처리 시스템(11)은 마이크로파 어플리케이터(41) 내에서 분사 산소의 해리를 거쳐 게이트 품질 실리콘 질화물을 성장시키는데 이용될 수 있다. 실리콘 이산화물의 성장을 위해, 분자 가스 공급원(33)은 마이크로파 어플리케이터(41)에 분자 산소를 공급하는 분자 산소 공급원을 포함한다. 마이크로파 어플리케이터(41)는 산소 플라즈마를 발생시키고, 원자 산소 스트림은 입구 파이프(17)를 거쳐 처리 챔버(13)로 유동한다. 원자 산소 재결합을 감소시키기 위한 유사한 방법이 바람직하게 이용된다(예를 들어, 마이크로파 어플리케이터(41)와 반도체 웨이퍼(32) 사이의 감소된 통로 길이, 보호성 피복물(45), 분자 산소 공급원을 비활성 가스로 희석 등).
원자 산소는 가열된(예를 들어, 대략 600-650℃) 반도체 웨이퍼(32)의 상부면에 도달하며, 원자 산소의 높은 화학 전위로 인해 실리콘 이산화물을 형성하기 위해 실리콘 웨이퍼(32)와 쉽게 반응한다. 세라믹 히터(31)의 온도 균일도는 로에 근거한(furnace-based) 실리콘 이산화물과 비교하여 가열기에 근거한(heater-based) 실리콘 이산화물의 두께 균일도를 보다 강화시킨다. 따라서, 개선된 두께 균일도를 갖는 실리콘 이산화물은 실질적으로 감소된 온도에서 성장되어질 것이다.
실리콘 질화물 및 실리콘 이산화물 이외에도, 처리 시스템(11)은 마이크로파 어플리케이터(41) 내에 분자 산소 및 분자 질소의 해리를 거쳐 게이트 품질 산소질화물(oxynitride)을 성장시키기는데 이용될 수 있다. 이러한 적용예에서, 분자 가스 공급원(33)은 분자 산소 공급원 및 분자 질소 공급원을 포함할 수 있다(또는 질소 및 산소로 직접 해리된 질소 산화물 공급원을 포함할 수 있다). 마이크로파 어플리케이터(41)는 산소 및 질소 기저 플라즈마를 발생시킨다. 원자 질소 스트림 및 원자 산소 스트림은 입구 파이프(17)를 거쳐 처리 챔버(13)로 유동한다. 질소 재결합 및 산소 재결합은 바람직하게 전술한 것과 같이 제한된다.
원자 질소 및 원자 산소는 가열된(예를 들어, 대략 600-650℃) 반도체 웨이퍼(32)의 상부면에 도달하며, 원자 질소 및 원자 산소의 높은 화학 전위로 인해, Si/SiO2계면에서 질소 농도를 갖는 실리콘 이산화물 층이 쉽게 형성된다. Si/SiO2계면에서 질소 농도는 실리콘 이산화물 성장중에 존재하는 원자 질소 및 원자 산소의 상대적인 량에 의해 제어된다. 세라믹 히터(31)의 온도 균일도는 로에 근거한 산소질화물과 비교하여 가열기에 근거한 산소질화물의 두께 균일도를 보다 강화시킨다. 따라서, 개선된 두께 균일도 산화질화물은 실질적으로 감소된 온도에서 성장될 수 있다.
본 발명의 또 다른 잇점은 각각의 반도체 웨이퍼가 재료층이 형성(예를 들어, 실리콘 질화물, 실리콘 이산화물, 산화질화물 등)되기 이전에 동일한 처리 조건에 노출된다는 것이다. (예를 들면, 각각의 웨이퍼는 마이크로파 어플리케이터(41) 내에서 발생된 불소 종으로 동일하게 세정될 것이다.) 예를 들어, 본 명세서에 참고로 기술된 미국 특허 제 5,812,403호를 참조하라. 로 기저 형성 공정은 로 내에서 재료 층이 형성되기 이전에 각각의 웨이퍼가 상이한 시간동안 상이한 처리 조건 및 동일한 처리 조건에 노출되도록 로 내부로 웨이퍼 로딩 이전에 습식 세정(ex-situ wet cleaning)을 요한다. 로 기저 형성 처리는 전술한 바와 같이 온도 및 가스 분포 불균일도로 인해 손상을 당한다. 따라서, 공정 균일도는 본 발명을 거쳐 강화된다.
처리 시스템(11)은 실리콘 이산화물 층의 증착 중에 오존(O3) 및 TEOS 대신에 원자 산소 및 TEOS 를 이용함으로서 실리콘 이산화물 증착(예를 들어, 화학 증기 증착(CVD))의 효율을 개선시키는데 또한 이용될 수 있다. 원자 산소는 전술한 바와 같이 마이크로파 어플리케이터(41)를 거쳐 발생된다. 원자 산소가 반도체 웨이퍼(32)의 표면에서 (예를 들어, 가열된 반도체 웨이퍼(32)에서 O3에서 원자 산소로의 중간 해리 단계를 요하지 않고)TEOS와 직접 반응할 수 있으므로 인해, 실리콘 이산화물의 증착 속도는 증착 온도를 증가시키지 않고 강화된다.
도 2는 반도체 장치를 제조하기 위한 자동화된 기구(47)의 평면도이다. 상기 기구(47)는 한쌍의 로드 로크(49a,49b), 및 웨이퍼 핸들러(53)를 구비한 웨이퍼 핸들러 챔버(51)를 포함한다. 웨이퍼 핸들러 챔버(51) 및 웨이퍼 핸들러(53)는 다수의 처리 챔버(55,57)에 작동 결합된다. 가장 중요하게는, 웨이퍼 핸들러 챔버(51) 및 웨이퍼 핸들러(53)는 도 1의 처리 시스템의 처리 챔버(13)에 작동 결합된다. 전체 기구(47)는 로드 로크(49a,49b), 및 처리 챔버(55,57,13)중에 반도체 웨이퍼 전달을 제어하는 프로그램을 갖는 제어기(59)에 의해 제어되며, 처리를 제어한다.
제어기(59)는 도 1을 참조하여 기술된 처리 변수에 따라 처리 챔버(13) 내에 실리콘 질화물, 실리콘 이산화물 또는 산화질화물 성장 또는 실리콘 이산화물 증착을 수행하기 위한 프로그램을 갖추고 있다. 특히, 프로그램은 분자 가스 공급원(33)으로부터 마이크로파 어플리케이터(41)까지 분자 가스의 유동율, 마이크로파 어플리케이터(41)에 인가된 마이크로파 전력 수치, 처리 챔버(13)의 기저 압력, 세라믹 히터(31)의 온도, 및 재료층의 형성 시간뿐만 아니아 다른 관련 처리 변수를 제어한다. 게이트 절연 성장이 기구(47)의 진공 환경으로부터 반도체 웨이퍼를 제거하지 않고 반도체 웨이퍼 상에서 수행될 수 있으며, 웨이퍼 오염의 잠재적 요인은 감소되며 장치의 수율은 증가된다.
전술한 사항은 본 발명의 바람직한 실시예만을 기술한 것으로사, 본 발명의 영역 내에서 전술한 장치 및 방법의 변형예를 당업자들은 쉽게 인지할 것이다. 예를 들어, 다른 분자 가스 공급원은 실리콘 이산화물, 실리콘 질화물, 산화질화물, 또는 다른 재료층의 형성에 이용될 수 있다. 또 다른 정확한 처리 조건(예를 들어, 마이크로파 전력, 챔버 기저 압력, 분자 가스 유동율, 처리 온도, 등)은 다수의 요소(예를 들어, 사용된 공정 가스, 공정 가스의 희석 여부, 마이크로파 어플리케이터(41)와 반도체 웨이퍼(32) 사이의 거리, 사용된 보호성 피복물(45)의 형태, 형성된 층에 대한 타겟 두께, 에칭 특성, 화학양론, 밀도 등, 열 예산 제한요소 등)에 따라 달라지며, 당업자들은 처리 시스템(11)을 거쳐 소정의 재료 층의 형성에 영향을 미치기 위해 상기 요소 및 또 다른 요소를 보상하기 위해 처리 조건을 변경시키는 방법을 인지할 것이다.
상기 설명에서는 본 발명의 바람직한 실시예를 참조하여 본 발명을 상세하게 설명하였지만, 본 기술 분야의 숙련된 당업자들은 하기의 특허 청구범위에 기재된 본 발명의 사상 및 영역을 벅어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
원자 가스를 이용하여 기판상에 재료층을 형성하는 본 발명으로 인해, 감소된 형성 온도로 보다 균일한 재료층을 얻을 수 있다.

Claims (28)

  1. 기판 상에 재료층을 형성하기 위한 방법에 있어서,
    재료층이 증착되어질 기판을 제공하는 단계와,
    상기 기판의 온도를 상승시키는 단계와,
    원자 가스 공급원을 제공하는 단계와,
    상기 원자 가스 공급원으로부터 상기 상승된 온도의 기판까지 원자 가스를 이송하는 단계와, 그리고
    상기 원자 가스로 상기 기판 상에 재료층을 형성시키는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 기판의 제공 단계는 반도체 웨이퍼를 제공하는 방법.
  3. 제 1항에 있어서, 상기 기판의 온도를 상승시키는 단계는 상기 기판의 온도를 650℃ 이하의 온도로 상승시키는 방법.
  4. 제 1 항에 있어서, 상기 기판의 온도를 상승시키는 단계는 세라믹 히터를 제공하는 단계, 상기 세라믹 히터 위에 기판을 위치시키는 단계, 및 상기 기판을 상기 세라믹 히터로 가열시키는 단계를 포함하는 방법.
  5. 제 1 항에 있어서, 원자 가스 공급원의 제공 단계는
    분자 가스 공급원을 제공하는 단계, 및
    원자 가스 공급원을 형성하기 위해 상기 분자 가스 공급원에 작동 결합되어 상기 분자 가스를 해리시키기 위한 마이크로파 플라즈마 시스템을 제공하는 단계를 포함하는 방법.
  6. 제 5항에 있어서, 상기 분자 가스 공급원은 질소 가스 공급원을 포함하며, 상기 원자 가스로 상기 기판 상에 재료층을 형성하기 위한 단계는 원자 질소로 상기 기판상에 실리콘 질화물층을 성장시키는 방법.
  7. 제 6항에 있어서, 상기 기판의 온도를 상승시키는 단계는 상기 기판의 온도를 600 내지 650℃로 상승시키는 방법.
  8. 제 5항에 있어서, 상기 분자 가스 공급원은 암모니아 공급원을 포함하며, 상기 원자 가스로 기판 상에 재료층을 형성하기 위한 단계는 원자 질소로 상기 기판 상에 실리콘 질화물층을 성장시키는 방법.
  9. 제 5항에 있어서, 상기 분자 가스 공급원은 분자 산소 공급원을 포함하며, 상기 원자 가스로 기판 상에 재료층을 형성하기 위한 단계는 원자 산소로 실리콘 이산화물층을 성장시키는 방법.
  10. 제 5항에 있어서 상기 분자 가스 공급원은 분자 산소 공급원 및 분자 질소 공급원을 포함하며, 상기 원자 가스로 기판 상에 재료층을 형성하기 위한 단계는 원자 산소 및 원자 질소로 산소질화물층을 성장시키는 방법.
  11. 제 5항에 있어서, 상기 분자 가스 공급원은 산소 공급원을 포함하며, 상기 원자 가스로 기판 상에 재료층을 형성하기 위한 단계는 화학 증기 증착을 통해 원자 산소 및 TEOS로 실리콘 이산화물층을 증착시키는 방법.
  12. 제 1 항에 있어서, 상기 원자 가스 공급원으로부터 상기 상승된 온도의 기판까지 원자 가스를 이송하는 중에 원자 가스로부터 분자 가스의 형성을 감소시키는 단계를 더 포함하는 방법.
  13. 제 12항에 있어서, 상기 원자 가스로부터 분자 가스의 형성을 감소시키는 단계는 상기 원자 가스 공급원 및 상기 기판 사이의 상기 통로 길이를 감소시키는 방법.
  14. 제 12항에 있어서, 상기 원자 가스로부터 분자 가스의 형성을 감소시키는 단계는 상기 원자 가스 공급원과 상기 기판 사이의 통로의 적어도 일부분을 보호성 피복물로 피복시키는 방법.
  15. 제 12항에 있어서, 원자 가스로부터 분자 가스의 형성을 감소시키는 단계는 원자 가스 공급원을 비활성 가스로 희석시키는 방법.
  16. 제 1항의 방법에 의해 형성된 반도체 장치.
  17. 제 5항의 방법에 의해 형성된 반도체 장치.
  18. 기판 상에 재료층을 형성하기 위한 장치에 있어서,
    처리 챔버,
    재료층이 형성되어질 기판을 지지하기 위해 상기 처리 챔버에 작동 결합된 기판 지지대,
    상기 기판 지지대에 의해 지지된 기판을 가열하기 위해 상기 기판 지지대에 작동가능하게 결합된 가열 기구,
    상기 처리 챔버에 원자 가스를 발생시키고 공급하기 위해 상기 처리 챔버에 작동가능하게 결합된 원자 가스 발생기, 및
    상기 원자 가스 발생기에 의해 발생된 상기 원자 가스로부터 분자 가스의 형성을 감소시키기 위해 상기 원자 가스 발생기에 작동가능하게 결합된 재결합 감소 메커니즘을 포함하는 장치.
  19. 제 18항에 있어서, 상기 처리 챔버는 반도체 웨이퍼 처리 챔버로 구성되는 장치.
  20. 제 18항에 있어서, 상기 가열 기구는 세라믹 히터로 구성되는 장치,
  21. 제 18항에 있어서, 상기 원자 가스 발생기는 원자 가스를 발생시키기 위해 분자 가스 공급원에 결합되어 상기 분자 가스를 해리시키기 위한 마이크로파 플라즈마 시스템을 포함하는 장치.
  22. 제 18항에 있어서, 상기 원자 가스 발생기는 원자 질소를 발생시키기 위해 분자 질소 공급원에 결합되어 상기 분자 질소를 해리시키기 위한 마이크로파 플라즈마 시스템을 포함하는 장치.
  23. 제 18항에 있어서, 상기 원자 가스 발생기는 원자 산소를 발생시키기 위해 분자 산소 공급원에 결합되어 상기 분자 산소를 해리시키기 위한 마이크로파 플라즈마 시스템을 포함하는 장치.
  24. 제 18항에 있어서, 상기 재결합 감소 메커니즘은 상기 기판 지지대와 상기 원자 가스 발생기 사이의 거리를 감소시키기 위해 형성된 연결기를 포함하는 장치.
  25. 제 18항에 있어서, 상기 재결합 감소 메커니즘은 상기 기판 지지대와 상기 원자 가스 발생기 사이의 통로의 적어도 일부분을 덮기 위한 보호성 피복물을 포함하는 장치.
  26. 제 18항에 있어서, 상기 재결합 감소 메커니즘은 상기 원자 가스 발생기에 의해 발생된 원자 가스 원자를 공간적으로 분리시키는 비활성 가스를 제공하기 위해 비활성 가스 공급원을 포함하는 장치.
  27. 반도체 웨이퍼 처리 기구에 있어서,
    적어도 하나의 로드 로크,
    상기 적어도 하나의 로드 로크에 작동가능하게 결합된 웨이퍼 핸들러 챔버,
    상기 웨이퍼 핸들러 챔버에 작동가능하게 결합된 제 18항의 장치, 및
    상기 웨이퍼 핸들러 챔버 내에 위치된 웨이퍼 핸들러를 포함하며,
    상기 웨이퍼 핸들러는 상기 적어도 하나의 로드 로크 및 상기 제 18항의 장치에 작동가능하게 결합되어 있으며, 상기 적어도 하나의 로드 로크와 상기 제 18항의 장치 사이에 반도체 웨이퍼를 이송하는 반도체 웨이퍼 처리 기구.
  28. 제 27항에 있어서, 상기 적어도 하나의 로드 로크, 상기 웨이퍼 샌들러 챔버, 상기 제 18항의 장치, 및 상기 웨이퍼 핸들러에 작동 결합된 제어기를 더 포함하며, 상기 제어기는
    상기 웨이퍼 핸들러를 거쳐 상기 적어도 하나의 로드 로크로부터 상기 기판 지지대까지 반도체 웨이퍼를 로딩하는 단계와,
    상기 반도체 웨이퍼의 온도를 상기 가열 기구를 거쳐 처리 온도로 상승시키는 단계와,
    상기 원자 가스 발생기로부터 원자 가스를 발생시키는 단계와,
    상기 처리 챔버에 원자 가스를 공급하는 단계와, 그리고
    상기 원자 가스로 상기 반도체 웨이퍼 상에 재료층을 형성하는 단계로 프로그래밍되는 반도체 웨이퍼 처리 기구.
KR1020000007501A 1999-02-17 2000-02-17 원자 가스로 재료층을 형성하기 위한 방법 및 장치 KR20000062563A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/251,701 US20010052323A1 (en) 1999-02-17 1999-02-17 Method and apparatus for forming material layers from atomic gasses
US9/251,701 1999-02-17

Publications (1)

Publication Number Publication Date
KR20000062563A true KR20000062563A (ko) 2000-10-25

Family

ID=22953053

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000007501A KR20000062563A (ko) 1999-02-17 2000-02-17 원자 가스로 재료층을 형성하기 위한 방법 및 장치

Country Status (6)

Country Link
US (2) US20010052323A1 (ko)
EP (1) EP1030352A3 (ko)
JP (1) JP2000311893A (ko)
KR (1) KR20000062563A (ko)
SG (1) SG90094A1 (ko)
TW (1) TW479312B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100797432B1 (ko) * 2000-12-28 2008-01-23 다다히로 오미 반도체 장치 및 그 제조 방법
KR101116727B1 (ko) * 2009-06-25 2012-02-22 주식회사 하이닉스반도체 반도체소자의 절연막 형성방법

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
JP5068402B2 (ja) * 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
KR100746120B1 (ko) * 2001-01-22 2007-08-13 동경 엘렉트론 주식회사 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법
JP3696119B2 (ja) * 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6706643B2 (en) * 2002-01-08 2004-03-16 Mattson Technology, Inc. UV-enhanced oxy-nitridation of semiconductor substrates
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
KR100800639B1 (ko) * 2003-02-06 2008-02-01 동경 엘렉트론 주식회사 플라즈마 처리 방법, 반도체 기판 및 플라즈마 처리 장치
JP4372443B2 (ja) 2003-04-01 2009-11-25 東京エレクトロン株式会社 処理装置および処理方法
CN101194345B (zh) * 2005-06-08 2010-05-19 国立大学法人东北大学 等离子体氮化处理方法和处理装置、半导体装置制造方法
EP2024532A4 (en) * 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
JP2012112984A (ja) * 2009-02-25 2012-06-14 Nec Corp 光導波路、光導波回路およびその製造方法
JP6105204B2 (ja) * 2012-02-10 2017-03-29 株式会社日立ハイテクサイエンス Tem観察用試料作製方法
JP6527482B2 (ja) * 2016-03-14 2019-06-05 東芝デバイス&ストレージ株式会社 半導体製造装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58188128A (ja) * 1982-04-27 1983-11-02 Fujitsu Ltd 分子線結晶成長方法
US4543271A (en) * 1984-07-02 1985-09-24 Hughes Aircraft Company Silicon oxynitride material and photochemical process for forming same
US4753818A (en) * 1986-07-25 1988-06-28 Hughes Aircraft Company Process for photochemical vapor deposition of oxide layers at enhanced deposition rates
EP0431160B1 (en) * 1988-03-16 1995-05-17 Kabushiki Kaisha Toshiba Process for producing thin-film oxide superconductor
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
JP3021488B2 (ja) * 1989-10-26 2000-03-15 三洋電機株式会社 高機能薄膜の製造方法
US5858184A (en) * 1995-06-07 1999-01-12 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JPH10247624A (ja) * 1997-03-05 1998-09-14 Asahi Chem Ind Co Ltd n型単結晶ダイヤモンドおよびその製造方法、人工ダイヤモンドの製造方法
JP3153162B2 (ja) * 1997-10-08 2001-04-03 松下電子工業株式会社 シリコン酸化膜の形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100797432B1 (ko) * 2000-12-28 2008-01-23 다다히로 오미 반도체 장치 및 그 제조 방법
KR101116727B1 (ko) * 2009-06-25 2012-02-22 주식회사 하이닉스반도체 반도체소자의 절연막 형성방법

Also Published As

Publication number Publication date
EP1030352A3 (en) 2001-10-10
EP1030352A2 (en) 2000-08-23
TW479312B (en) 2002-03-11
US20020119673A1 (en) 2002-08-29
SG90094A1 (en) 2002-07-23
JP2000311893A (ja) 2000-11-07
US20010052323A1 (en) 2001-12-20

Similar Documents

Publication Publication Date Title
US6165916A (en) Film-forming method and film-forming apparatus
KR101295604B1 (ko) 고품질 저온 질화규소층 형성 방법 및 장치
KR101163264B1 (ko) 플라즈마 프로세싱을 사용하여 하이-k 층을 포함하는 게이트 유전체 스택을 변형하는 방법
KR101244832B1 (ko) 인장 응력 및 압축 응력을 받은 반도체용 재료
US7217659B2 (en) Process for producing materials for electronic device
KR100932815B1 (ko) 저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질게이트 유전체
US8021987B2 (en) Method of modifying insulating film
US6348420B1 (en) Situ dielectric stacks
KR101020116B1 (ko) 고품질 저온 실리콘질화물막 형성 방법 및 장치
KR20000062563A (ko) 원자 가스로 재료층을 형성하기 위한 방법 및 장치
US7759598B2 (en) Substrate treating method and production method for semiconductor device
US7067436B2 (en) Method of forming silicon oxide film and forming apparatus thereof
KR100780022B1 (ko) 결정성 실리콘 박막의 형성방법 및 장치
WO2006107417A2 (en) Method and system for forming a high-k dielectric layer
US7622402B2 (en) Method for forming underlying insulation film
WO2007040718A2 (en) Multi-source method and system for forming an oxide layer
EP0725163A2 (en) Line plasma vapor phase deposition apparatus and method
KR101022949B1 (ko) 고품질 저온 실리콘질화물층 형성 방법 및 장치
US20050227500A1 (en) Method for producing material of electronic device
WO2006107415A1 (en) Method and system for forming an oxynitride layer
US7030045B2 (en) Method of fabricating oxides with low defect densities
KR102393868B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR20040056842A (ko) 반도체 기판 위에 증착되는 타이타늄 옥사이드 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application