KR102674033B1 - 반도체 장치 - Google Patents

반도체 장치 Download PDF

Info

Publication number
KR102674033B1
KR102674033B1 KR1020200065110A KR20200065110A KR102674033B1 KR 102674033 B1 KR102674033 B1 KR 102674033B1 KR 1020200065110 A KR1020200065110 A KR 1020200065110A KR 20200065110 A KR20200065110 A KR 20200065110A KR 102674033 B1 KR102674033 B1 KR 102674033B1
Authority
KR
South Korea
Prior art keywords
conductive line
buried conductive
disposed
buried
insulating
Prior art date
Application number
KR1020200065110A
Other languages
English (en)
Other versions
KR20210148543A (ko
Inventor
김진남
김석호
나훈주
문광진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020200065110A priority Critical patent/KR102674033B1/ko
Priority to US17/147,927 priority patent/US11380607B2/en
Priority to CN202110591093.XA priority patent/CN113745184A/zh
Publication of KR20210148543A publication Critical patent/KR20210148543A/ko
Priority to US17/855,902 priority patent/US11749587B2/en
Application granted granted Critical
Publication of KR102674033B1 publication Critical patent/KR102674033B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명의 일 실시예는, 서로 반대에 위치한 제1 면 및 제2 면을 가지며, 상기 제1 면에 위치하며 제1 깊이를 갖는 제1 분리영역에 의해 정의되는 활성 영역을 갖는 기판; 상기 활성 영역 상에 배치되며 제1 방향으로 연장되고, 상기 제1 깊이보다 작은 제2 깊이를 갖는 제2 분리 영역에 의해 정의되는 복수의 활성 핀들; 상기 제2 분리 영역 및 상기 활성 영역에 매립되며, 상기 제1 방향에 따라 연장된 매립 도전성 라인; 상기 제2 분리 영역과 상기 매립 도전성 라인 사이에 배치된 절연성 분리막; 상기 매립 도전성 라인을 덮도록 상기 제1 및 제2 분리 영역들 상에 배치된 층간 절연층; 상기 층간 절연층을 관통하며, 상기 매립 도전성 라인에 연결된 콘택 구조물; 상기 기판의 제2 면으로부터 상기 제1 면을 향해 형성되며, 상기 매립 도전성 라인의 일 부분을 노출하는 관통 홀; 상기 관통 홀 내에 배치되며, 상기 매립 도전성 라인의 상기 노출된 부분의 바닥면과 상기 바닥면에 인접한 측면에 접촉하는 관통 비아; 및 상기 관통 홀의 내부 측벽과 상기 관통 비아 사이에 배치된 절연성 라이너;를 포함하는 반도체 장치를 제공한다.

Description

반도체 장치{SEMICONDUCTOR DEVICE}
본 발명은 반도체 장치에 관한 것이다.
로직 회로 및 메모리와 같은 다양한 반도체 장치에서는, 소스 및 드레인과 같은 활성 영역을 콘택 구조물을 통해서 BEOLs(Back End Of Lines)의 메탈 배선에 연결한다.
BEOLs의 적어도 일부(예, 파워 라인)를 기판의 배면(backside)에 위치한 요소와 연결하기 위해서 상기 기판의 배면으로부터 TSV와 같은 도전성 관통 구조물을 형성하여 기판의 프론트측에 위치한 도전성 라인에 랜딩하는 방안이 사용되고 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제들 중 하나는, 도전성 관통 구조물과 매립 도전성 라인의 콘택 저항을 개선할 수 있는 반도체 장치를 제공하는데 있다.
본 발명의 일 실시예는, 서로 반대에 위치한 제1 면 및 제2 면을 가지며, 상기 제1 면에 위치하며 제1 깊이를 갖는 제1 분리영역에 의해 정의되는 활성 영역을 갖는 기판; 상기 활성 영역 상에 배치되며 제1 방향으로 연장되고, 상기 제1 깊이보다 작은 제2 깊이를 갖는 제2 분리 영역에 의해 정의되는 복수의 활성 핀들; 상기 제2 분리 영역 및 상기 활성 영역에 매립되며, 상기 제1 방향에 따라 연장된 매립 도전성 라인(buried conductive line); 상기 제2 분리 영역과 상기 매립 도전성 라인 사이에 배치된 절연성 분리막; 상기 매립 도전성 라인을 덮도록 상기 제1 및 제2 분리 영역들 상에 배치된 층간 절연층; 상기 층간 절연층을 관통하며, 상기 매립 도전성 라인에 연결된 콘택 구조물; 상기 기판의 제2 면으로부터 상기 제1 면을 향해 형성되며, 상기 매립 도전성 라인의 일 부분을 노출하는 관통 홀; 상기 관통 홀 내에 배치되며, 상기 매립 도전성 라인의 상기 노출된 부분의 바닥면과 상기 바닥면에 인접한 측면에 접촉하는 관통 비아; 및 상기 관통 홀의 내부 측벽과 상기 관통 비아 사이에 배치된 절연성 라이너;를 포함하는 반도체 장치를 제공한다.
본 발명의 일 실시예는, 활성 영역이 배치된 제1 면과 상기 제1 면과 반대에 위치한 제2 면을 갖는 기판; 상기 활성 영역에 배치되어 일 방향으로 연장되며, 상기 활성 영역에 매립된 부분을 갖는 매립 도전성 라인; 상기 기판의 제1 면에 배치되며, 상기 매립 도전성 라인을 덮는 절연부; 상기 절연부에 배치되며, 상기 매립 도전성 라인에 연결된 콘택 구조물; 상기 기판의 제2 면으로부터 상기 절연부까지 연장되며, 상기 매립 도전성 라인의 상기 매립된 부분을 노출하는 관통 홀; 상기 매립 도전성 라인을 둘러싸도록 상기 매립 도전성 라인의 측면에 배치되며, 상기 매립 도전성 라인의 상기 매립된 부분의 바닥면과 상기 바닥면에 인접한 측면을 개방하는 절연성 분리막; 상기 관통 홀 내에 배치되며, 상기 매립 도전성 라인의 상기 바닥면과 상기 인접한 측면에 접촉하는 관통 비아; 상기 관통 홀의 내부 측벽과 상기 관통 비아 사이에 배치된 절연성 라이너; 및 상기 기판의 제2 면 상에 배치되며, 상기 관통 비아에 연결된 백사이드 배선부;를 포함하는 반도체 장치를 제공한다.
본 발명의 일 실시예는, 활성 영역이 배치된 제1 면과 상기 제1 면과 반대에 위치한 제2 면을 갖는 기판; 상기 활성 영역에 배치되어 일 방향으로 연장되고, 상기 활성 영역에 매립된 부분을 갖는 매립 도전성 라인; 상기 기판의 제1 면에 배치되며, 상기 매립 도전성 라인을 덮는 절연부; 상기 절연부에 배치되며, 상기 매립 도전성 라인에 연결된 콘택 구조물; 상기 기판의 제2 면으로부터 상기 매립 도전성 라인의 상기 매립된 부분이 노출되도록 연장된 관통 홀 - 상기 관통 홀의 상단면은 상기 활성 영역의 상면보다 낮게 위치함 - ; 상기 매립 도전성 라인을 둘러싸도록 상기 매립 도전성 라인의 측면에 배치되며, 상기 매립 도전성 라인의 바닥면과 상기 바닥면에 인접한 측면을 개방하는 절연성 분리막; 상기 관통 홀 내에 배치되며, 상기 매립 도전성 라인의 상기 바닥면과 상기 인접한 측면에 접촉하는 관통 비아; 상기 관통 홀의 상기 상단면 및 내부 측벽과, 상기 관통 비아 사이에 배치된 절연성 라이너; 및 상기 기판의 제2 면 상에 배치되며, 상기 관통 비아에 연결된 백사이드 배선부;를 포함하는 반도체 장치를 제공한다.
매립 도전성 라인의 관통 홀에 노출된 바닥면뿐만 아나리 그 인접한 측면에 위치한 절연 분리막 부분을 제거함으로써 기판에 TSV(through silicon via)와 같은 도전성 관통 구조물과 매립 도전성 라인의 콘택 면적을 확장하여 콘택 저항을 개선하고 파워 딜리버리 네트워크(power delivery network)의 IR 드롭(drop)을 감소시킬 수 있다.
본 발명의 다양하면서도 유익한 장점과 효과는 상술한 내용에 한정되지 않으며, 본 발명의 구체적인 실시예를 설명하는 과정에서 보다 쉽게 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 평면도이다.
도 2는 도 1에 도시된 반도체 장치를 Ⅰ-Ⅰ' 선 및 Ⅱ-Ⅱ' 선으로 절개하여 본 단면도이다.
도 3은 도 2에 도시된 반도체 장치의 "A1"을 나타내는 확대 단면도이다.
도 4는 각각 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 배면도이다.
도 5a 내지 도 5c는 본 발명의 다양한 실시예에 따른 반도체 장치를 나타내는 부분 단면도들이다.
도 6a 및 도 6b는 본 발명의 다양한 실시예에 따른 반도체 장치를 나타내는 부분 단면도들이다.
도 7은 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 단면도이다.
도 8은 도 7에 도시된 반도체 장치의 "A2"를 나타내는 확대 단면도이다.
도 9는 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 확대 단면도이다.
도 10a 내지 도 10e는 도 1에 도시된 반도체 장치의 제조방법(디바이스부 및 제1 배선부 형성과정)을 설명하기 위한 주요 공정별 단면도이다.
도 11a 내지 도 11d는 도 1에 도시된 반도체 장치의 제조방법(도전성 관통 구조물 및 제2 배선부 형성과정)을 설명하기 위한 주요 공정별 단면도이다.
이하, 첨부된 도면을 참조하여 본 발명의 다양한 실시예들을 상세히 설명하기로 한다.
도 1은 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 평면도이며, 도 2는 도 1에 도시된 반도체 장치를 Ⅰ-Ⅰ' 선 및 Ⅱ-Ⅱ' 선으로 절개하여 본 단면도이다. 또한, 도 3은 도 2에 도시된 반도체 장치의 "A1"을 나타내는 확대 단면도이다.
도 1 및 도 2를 참조하면, 본 실시예에 따른 반도체 장치(100)는, 활성 영역(102)을 갖는 기판(101)을 포함할 수 있다. 상기 활성 영역(102) 상면에는 복수의 활성 핀들(105)이 배치될 수 있다.
일부 실시예에서, 상기 기판(101)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 다른 예에서는, 상기 기판(101)은 SOI (silicon on insulator) 구조를 가질 수 있다. 상기 활성 영역(102)은 불순물이 도핑된 웰(well) 또는 불순물이 도핑된 구조물과 같은 도전 영역일 수 있다. 본 실시예에서는, 이에 한정되지 않으나, 상기 활성 영역(102)은 P-MOS 트랜지스터를 위한 n형 웰이거나 N-MOS 트랜지스터를 위한 p형 웰일 수 있다.
상기 복수의 활성 핀들(105)은 각각 상기 활성 영역(102)의 상면으로부터 상부(예, z 방향)로 돌출된 구조를 갖는다. 도 1에 도시된 바와 같이, 상기 복수의 활성 핀들(105)은 상기 활성 영역(102)의 상면에서 나란히 배열되어 상기 제1 방향(예, x 방향)으로 연장될 수 있다. 상기 활성 핀(105)은 각각의 트랜지스터의 활성 영역으로 제공될 수 있다. 본 실시예에서, 활성 핀(105)은 소스/드레인 영역(110)으로 2개씩 제공된 형태로 예시되어 있으나, 이에 한정되지 않으며, 다른 실시예에서는, 단수 또는 3개 이상으로 구비될 수 있다.
소스/드레인 영역(110)은 게이트 구조체(GS)의 양측에 위치한 활성 핀(105)의 일부 영역에 각각 형성될 수 있다. 본 실시예에서, 상기 소스/드레인 영역(110)은 상기 활성 핀(105)의 일부 영역에 리세스를 형성하고, 상기 리세스에 선택적 에피택셜 성장(selective epitaxial growth; SEG)하여 활성 핀(105)의 상면보다 더 높은 레벨의 상면을 가질 수 있다. 이러한 소스/드레인 영역(110)은 상승된 소스/드레인(raised source/drain: RSD)이라고도 한다. 예를 들어, 소스/드레인 영역(110)은 Si, SiGe 또는 Ge일 수 있으며, N형 또는 P형 중 어느 하나의 도전형을 가질 수 있다. p형 소스/드레인 영역(110)을 형성할 경우에, SiGe으로 재성장하고, p형 불순물로는 예를 들어 붕소(B), 인듐(In), 갈륨(Ga), 삼불화붕소(BF3) 등을 도핑할 수 있다. n형 소스/드레인 영역(110)은 실리콘(Si)을 형성할 경우에, n형 불순물로는, 예를 들어 인(P), 질소(N), 비소(As), 안티몬(Sb) 등을 도핑할 수 있다. 성장 과정에서 결정학적으로 안정적인 면을 따라 다른 형상을 가질 수 있다. 예를 들어, 도 2에 도시된 바와 같이, 상기 소스/드레인 영역(110)은, 오각형상 단면을 가질 수 있으나(p형인 경우), 이와 달리 육각형상 또는 완만한 각을 갖는 다각형상인 단면을 가질 수 있다(n형인 경우).
본 실시예에 따른 반도체 장치(100A)는 게이트 구조물(GS)을 포함할 수 있다. 도 1을 참조하면, 상기 게이트 구조물(GS)은 상기 제1 방향(예, x 방향)에 교차하는 제2 방향(예, y 방향)으로 연장되는 라인 형상을 가질 수 있다. 상기 게이트 구조물(GS)은 상기 활성 핀들(105)의 일 영역과 중첩될 수 있다.
본 실시예에 채용된 게이트 구조물(GS)은 도 2에 도시된 바와 같이 게이트 스페이서들(141)과, 상기 게이트 스페이서들(141) 사이에 순차적으로 배치된 게이트 유전체막(142) 및 게이트 전극(145)과, 상기 게이트 전극(145) 상에 배치된 게이트 캡핑(capping)층(147)을 포함할 수 있다. 예를 들어, 상기 게이트 스페이서들(141)은, SiOCN, SiON, SiCN 또는 SiN 등과 같은 절연성 물질을 포함할 수 있다. 예를 들어, 상기 게이트 유전체막(142)은 실리콘 산화막, 고유전막 또는 이들의 조합으로 이루어질 수 있다. 상기 고유전막은 실리콘 산화막보다 유전율(예, 약 10 내지 25)이 더 큰 물질을 포함할 수 있다. 예를 들어, 상기 고유전막은 하프늄 산화물(hafnium oxide), 하프늄 산질화물(hafnium oxynitride), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물 (lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide) 및 그 조합에서 선택되는 물질을 포함할 수 있으나, 이에 한정되는 것은 아니다. 이러한 게이트 절연막(142)은 ALD(atomic layer deposition), CVD(chemical vapor deposition) 또는 PVD(physical vapor deposition) 공정에 의해 형성될 수 있다.
본 실시예에 따른 반도체 장치(100A)는 소자 분리층(device isolation layer)(162)을 포함할 수 있다.
상기 소자 분리층(162)은 활성 영역(102)을 정의하는 제1 분리 영역(162a) 및 상기 제1 분리 영역(162a)에 인접하여 복수의 활성 핀들(105)을 정의하는 제2 분리 영역(162b)을 포함할 수 있다. 상기 제1 분리 영역(162a)은 상기 제2 분리 영역(162b)보다 깊은 바닥면을 갖는다. 상기 제1 분리 영역(162a)은 제1 깊이를 갖는 깊은 트렌치 아이솔레이션(deep trench isolation: DTI)이라도 하며, 상기 제2 분리 영역(162b)은 제1 깊이보다 작은 제2 깊이를 갖는 얕은 트렌치 아이솔레이션(shallow trench isolation: STI)이라고도 한다. 상기 제2 분리 영역(162b)은 상기 활성 영역(102)의 상면(102T)에 배치될 수 있다. 상기 활성 핀(105)은 상기 제2 분리 영역(162b)을 관통하면서, 그 일부가 상기 제2 분리 영역(162b) 위로 돌출될 수 있다.
예를 들어, 소자 분리층(162)은 실리콘 산화물 또는 실리콘 산화물 계열의 다양한 절연성 물질을 포함할 수 있다. 예를 들어, 상기 다양한 절연성 물질은 TEOS(Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 그 조합을 포함할 수 있다. 상기 소자 분리층(162)은 CVD 또는 스핀 코팅을 이용하여 형성될 수 있다.
도 3은 도 2에 도시된 반도체 장치의 "A1"을 나타내는 확대 단면도이다.
도 2와 함께 도 3을 참조하면, 본 실시예에 따른 반도체 장치(100)는 상기 제2 분리 영역(162b)으로부터 상기 활성 영역(102)에 걸쳐 매립된 도전성 라인(buried conductive line)(120)을 포함할 수 있다. 상기 매립 도전성 라인(120)은 상기 제2 분리 영역(162b)에 매립된 부분과 상기 활성 영역(102)에 매립된 부분으로 구분될 수 있다. 본 실시예에서, 상기 매립 도전성 라인(120)은 복수의 활성 핀들(105) 사이에 배치될 수 있으며, 상기 활성 핀(105)과 유사하게 상기 제1 방향(예, x 방향)에 따라 연장될 수 있다(도 1 참조).
상기 매립 도전성 라인(120) 주위에는 절연성 분리막(131)이 배치될 수 있다. 상기 절연 분리막(131)은 상기 제2 분리 영역(162b)과 상기 매립 도전성 라인(120) 사이에 배치될 수 있다. 도 3에 도시된 바와 같이, 상기 절연성 분리막(131)은 상기 제2 분리 영역(162b)에 매립된 부분을 둘러싸지만, 적어도 상기 매립 도전성 라인(120)의 바닥면(120B)과 상기 바닥면(120B)에 인접한 측면(120S)을 개방시킬 수 있다. 이러한 개방된 영역들은 도전형 관통 구조물(250)(특히, 관통 비아(255))을 위한 콘택 영역(CT)으로 제공될 수 있다.
도 2에 도시된 바와 같이, 상기 도전성 관통 구조물(250)은 상기 기판(101)의 배면(즉, 백사이드)으로부터 상기 상면(즉, 프론트 사이드)을 향해 연장된 관통 홀(TH)과, 상기 관통 홀(TH)에 충전된 관통 비아(255)와, 상기 관통 홀(TH)의 내부 측벽과 상기 관통 비아(255) 사이에 배치된 절연성 라이너(251)를 포함할 수 있다. 예를 들어, 도전성 관통 구조물(250)은 TSV(through silicon via)일 수 있다. 상기 도전성 관통 구조물(250)은 상기 기판(101)의 배면으로부터 형성되어 상기 매립 도전성 라인(120)의 콘택 영역(CT)에 연결될 수 있다. 구체적으로, 상기 관통 비아()는 상기 매립 도전성 라인(120)의 바닥면(120B)과 그 인접한 측면들(120S)과 접촉될 수 있다.
본 실시예에 채용된 상기 절연성 분리막(131)은 상기 매립 도전성 라인(120)의 매립된 부분의 측면 일부에 연장된 부분(131E)을 가질 수 있다. 상기 연장된 부분(131E)의 두께(tb)는 상기 제2 분리 영역(162b)과 상기 매립 도전성 라인(120) 사이의 부분의 두께(ta)보다 작을 수 있다. 예를 들어, 상기 절연성 분리막(131)의 두께(ta)는 2∼10㎚ 범위일 수 있으며, 상기 연장된 부분(131E)은 다른 부분의 두께(ta)보다 작은 두께(tb)를 가질 수 있다. 본 실시예에서는, 도 3에 도시된 바와 같이, 상기 절연성 분리막(131)의 상기 연장된 부분(131E)은 상기 제2 분리 영역(162b)에 가까울수록 커지는 두께(tb)를 가질 수 있다.
상기 연장된 부분(131E)은 상기 매립 도전성 라인(120)의 바닥면(120B) 및 인접한 측면들(120S)에 위치했던 절연성 분리막 부분을 식각하는 과정(도 11c 참조) 후에 잔류한 부분이므로, 그 잔류된 부분은 상술된 바와 같이 비교적 얇고 테이퍼진 두께를 가질 수 있다.
한편, 상기 매립 도전성 라인(120)의 상기 바닥면(120B)은 라운드된 모서리(RE)를 가질 수 있다. 상술된 식각 과정에서 절연성 분리막(120)의 구성물질과의 식각 선택비에 따라 상기 매립 도전성 라인(120)의 노출된 부분도 다소 식각될 수 있다. 본 실시예와 같이, 상대적으로 식각 선택비가 높지 않은 경우에는 상기 매립 도전성 라인(120)의 노출된 부분의 일부(특히, 모서리 부분)가 식각되어 라운드된 구조를 가질 수 있다.
도 3을 참조하면, "BT"로 표시된 바과 같이, 상기 도전성 관통 구조물(250)의 상단, 특히 관통 비아(255)의 상단은 상기 제2 분리 영역(162b)에 접촉될 수 있다. 본 실시예에서는, 매립 도전성 라인(120)의 주위에 절연체인 상기 제2 분리 영역(162b)가 위치하므로, 상기 도전성 관통 구조물(250)의 상단 폭(Wb)이 상기 매립 도전성 라인(120)의 폭보다 크거나, 도전성 관통 구조물(250)이 정확한 위치에 형성되지 않더라도, 인접한 활성 영역(102)(예, Si)에 접촉되지 않을 수 있다. 예를 들어, 상기 도전성 관통 구조물(250), 즉 관통 홀(TH)의 상단 폭(Wb)은 30㎚∼100㎚일 수 있다.
이와 같이, 도전성 관통 구조물(250)은 매립 도전성 라인(120)의 폭보다 큰 상단 폭(Wb)을 가지며 활성 영역(102)을 관통하여 형성되더라도, 매립 도전성 라인(120)에 랜딩시킬 때에 발생될 수 있는 원하지 않는 쇼트가 발생되지 않을 수 있다.
층간 절연층(165)은 상기 소자 분리층(162) 상에 배치되어 상기 소스/드레인 영역(110)과 상기 매립 도전성 라인(120)을 덮도록 제공될 수 있다. 상기 소자 분리층(162), 특히 제2 분리 영역(162b)에서 상기 매립 도전성 라인(120)을 덮는 부분은 절연 캡핑층(135)일 수 있다. 상기 절연 캡핑층(135)은 상기 층간 절연층(162)의 상면(162T)과 실질적으로 평탄한 공면인 상면(135T)을 가질 수 있다.
상기 층간 절연층(165) 및/또는 상기 절연 캡핑층(135)은 상기 소자 분리층(162)의 상술된 물질과 동일하거나 유사한 물질로 형성될 수 있다. 동일한 물질(예, 산화물)로 형성되더라도, 상기 절연 캡핑층(135), 상기 소자 분리층(162) 및/또는 상기 층간 절연층(165)은 그 계면에 의해 시각적으로 구별될 수 있다. 특히, 소자 분리층(162), 층간 절연층(165) 및 절연 캡핑층(135)은 다른 공정에 의해 형성될 경우에 서로 다른 막질을 가질 수 있으며, 이러한 다른 막질은 시각적으로 구별될 수 있다. 본 명세서에서, 소자 분리층(162) 및 층간 절연층(165)은 "절연부"라고도 한다. 이러한 절연부는 상기 매립 도전성 라인(120)을 덮도록 상기 기판(101)의 상면(즉, 활성 영역(102))에 배치될 수 있다.
본 실시예 채용된 매립 도전성 라인(120)은 상기 활성 핀(105)의 상단보다는 낮은 상면(102T)을 가질 수 있다. 상기 매립 도전성 라인(120)은 소스/드레인(110)을 형성하기 위한 과정에서 외부로 노출되지 않을 수 있다. 또한, 상기 매립 도전성 라인(120)은 상기 활성 영역(102)의 상면(102T)보다 높을 수 있다. 상기 매립 도전성 라인(120)은 콘택 구조물(180)과 전기적으로 연결될 수 있다.
상기 매립 도전성 라인(120)은 이에 한정되지는 않으나, 2 이상의 종횡비를 갖도록 형성될 수 있다. 예를 들어, 상기 매립 도전성 라인(120)의 상단 폭(Wa)은 10㎚∼50㎚일 수 있으며, 특정 예에서는 20㎚∼40㎚일 수 있다. 또한, 상기 매립 도전성 라인(120)의 높이(Ha)는 30㎚∼200㎚일 수 있다.
앞서 설명한 바와 같이, 상기 매립 도전성 라인(120)은 상기 활성 영역(102)에 매립된 부분을 가질 수 있다. 이러한 매립된 부분의 일부가 도전성 관통 구조물(250)과의 콘택 영역(CT)으로 제공될 수 있다. 예를 들어, 매립된 부분의 높이 또는 깊이(Hb)는 콘택 영역(CT)을 고려하여 적어도 10㎚일 수 있으며, 상기 콘택 영역(CT)으로 제공되는 상기 개방된 측면들(120S)의 높이(Hc)는 적어도 3㎚일 수 있다. 본 실시예와 같이, 상기 매립 도전성 라인(120)의 바닥면(120B)이 라운드된 모서리(RE)를 갖는 경우에, 도 3에 도시된 바와 같이, 상기 개방된 측면들(120S)의 높이(Hc)은 바닥면(120B)의 최저점, 즉 정점(vertex)을 기준으로 개방된 측면들(120S)의 최고점의 수직 방향의 높이로 정의될 수 있다.
이와 같이, 본 실시예는 매립 도전성 라인(120)의 바닥면(120B)뿐만 아니라, 인접한 측면들(120S)이 관통 비아(255)와의 콘택 영역(CT)으로 제공되므로, 매립 도전성 라인(120)과 도전성 관통 구조물(250)의 콘택 저항을 크게 개선할 수 있다.
본 실시예에 채용된 콘택 구조물(180)은 소스/드레인 영역(110)과 상기 매립 도전성 라인(120)을 함께 연결할 수 있다. 상기 콘택 구조물(180)은 도전성 배리어(181)와 콘택 플러그(185)를 포함할 수 있다. 구체적으로 상기 콘택 구조물(180)은 소스/드레인 영역(110)에 접속된 제1 콘택 부분(180A)과, 상기 제1 콘택 부분(180A)와 연결되어 상기 매립 도전성 라인(120)에 접속된 제2 콘택 부분(180B)을 포함할 수 있다. 상기 제2 콘택 부분(180B)은 상기 제1 콘택 부분(180A)보다 깊게 연장되어 상기 매립 도전성 라인(120)에 전기적으로 연결될 수 있다. 상기 제2 콘택 부분(180B)과 상기 매립 도전성 라인(120)의 콘택 지점들(CP)의 배열예는 도 1을 참조할 수 있다.
상기 콘택 구조물(180)은 BEOL(back end of lines)를 구성하는 제1 배선부(ML1)와 연결될 수 있다. 상기 제1 배선부(ML1)는 상기 기판(101)의 상면, 특히 활성 영역(102)에 구현된 다수의 소자(예, 트랜지스터)를 상호 연결하도록 구성될 수 있다.
상기 제1 배선부(ML1)는 복수의 저유전체층(172,175)과 상기 메탈 배선(M1) 및 메탈 비아(V1)를 포함할 수 있다. 상기 복수의 저유전체층(172,175)은 상기 층간 절연막(165) 상에 배치된 제1 및 제2 저유전체층(172,175)을 포함할 수 있다. 상기 제2 저유전체층(175)에는 메탈 배선(M1)이 형성되고, 상기 제1 저유전체층(172)에는 상기 메탈 비아(V1)가 형성될 수 있다. 여기서, 상기 메탈 비아(V1)는 각각 메탈 배선(M1)에서 콘택 구조물(180)와 접속될 수 있다(도 1 및 도 2 참조).
예를 들어, 상기 제1 및 제2 저유전체층(172,175)은 실리콘 산화막, 실리콘 산질화막, SiOC 막, SiCOH 막 또는 이들의 조합을 포함할 수 있다. 예를 들어, 상기 메탈 배선(M1)과 메탈 비아(V1)는 구리 또는 구리 함유 합금을 포함할 수 있다. 상기 메탈 배선(M1)과 메탈 비아(V1)는 듀얼 다마신(dual-damascene) 공정을 이용하여 함께 형성될 수 있다.
본 실시예와 같이, 층간 절연막(165)과 제1 저유전체층(172) 사이에 배치된 식각 정지층(171)을 더 포함할 수 있다. 상기 식각 정지층(171)은 식각 저지 역할뿐만 아니라, 상기 메탈 배선(M1)과 메탈 비아(V1)를 구성하는 금속(예, Cu)이 하부 영역으로 확산되는 것을 방지할 수 있다. 예를 들어, 상기 식각 정지층(171)은 이에 한정되지 않으나, 알루미늄 질화물(AlN)을 포함할 수 있다.
본 실시예에서는, 기판(101) 상에 형성된 다수의 소자(예, 소스/드레인 영역(110) 등)에 연결된 콘택 구조물(180)을 상기 매립 도전성 라인(120) 및 상기 도전성 관통 구조물(250)을 통해서 상기 기판(101)의 배면에 위치한 제2 배선부(ML2)에 연결할 수 있다.
본 실시예에 채용된 제2 배선부(ML2)는 기판(101)의 배면에 구현된 파워 라인 및 신호 라인들로서, 필요한 BEOL의 일부를 대체하는 배선부로 이해될 수 있다. 여기서, 상기 제2 배선부(ML2)는 기판(101)의 배면에 위치하므로 "백사이드 배선부"라고도 한다.
상기 제2 배선부(ML2)는 상기 매립 도전성 라인(120) 및 상기 도전성 관통 구조물(250)을 통해서 기판(101) 상면에 구현된 다수의 소자(예, 트랜지스터)를 위한 신호 라인 및 파워 라인을 제공할 수 있다.
상기 기판(101)의 배면에는 배면 절연층(210)이 형성된 후에 상기 도전성 관통 구조물(250)이 형성될 수 있다. 상기 배면 절연층(210) 상에 상기 제2 배선부(ML2)가 제공될 수 있다. 상기 제2 배선부(ML2)는, 복수의 저유전체층(272,275)과, 제1 및 제2 메탈 배선(M2,M3)과 메탈 비아(V2)플 포함할 수 있다. 상기 복수의 저유전체층은 상기 배면 절연층(210) 상에 순차적으로 배치된 제1 및 제2 저유전체층(272,255)을 포함할 수 있다. 상기 배면 절연층(210) 및 제2 저유전체층(255) 상에는 각각 제1 및 제2 메탈 배선(M2,M3)가 형성되고, 상기 제1 저유전체층(272)에는 제1 및 제2 메탈 배선(M2,M3)를 연결하는 메탈 비아(V2)가 형성될 수 있다. 상기 제2 메탈 배선(M3)과 메탈 비아(V2)는 듀얼 다마신 공정을 이용하여 형성될 수 있다. 여기서, 상기 제1 메탈 배선(M2)은 도전성 관통 구조물(250)에 접속되도록 형성될 수 있다.
도 4는 기판(101)의 배면에서 바라본 도전성 관통 구조물(250) 및 매립 도전성 라인(120)의 레이아웃을 예시한다. 상기 제1 방향(예, x 방향)으로 연장된 매립 도전성 라인(120)에 복수의 도전성 관통 구조물(250)을 연결되도록 배열될 수 있다. 도전성 관통 구조물(250)의 단면은 거의 직사각형상으로서 상기 제1 방향(예, x 방향)의 길이가 그와 수직인 제2 방향의 길이보다 큰 형상을 예시하였으나, 다른 실시예에서, 상기 도전성 관통 구조물(250)은 다양한 다른 형상(예, 원형, 타원형)을 가질 수 있다. 한편, 본 실시예에서, 상기 도전성 관통 구조물(250)은 상기 제2 방향(예, y 방향)으로 동일한 선상에 배열된 형태를 예시하였으나, 다른 실시예에서, 상기 도전성 관통 구조물(250)은 다른 배열을 가질 수 있다. 예를 들어, 도전성 관통 구조물(250)은 상기 제2 방향(예, y 방향)으로 인접한 다른 도전성 관통 구조물(250)과 엇갈리도록 배열될 수도 있다.
이와 같이, 반도체 장치(100)에 필요한 신호 라인 및 파워 라인과 같은 배선을 상기 매립 도전성 라인(120) 및 상기 도전성 관통 구조물(250)을 이용하여 기판(101)의 배면에도 구현할 수 있다.
본 실시예에 채용된 제2 배선부(ML2)는 상기 기판(101)의 상면, 즉 소자 영역의 상부에 배치된 제1 배선부(ML1)와 함께 구현된 형태로 예시되어 있으나, 일부 실시예에서는, 필요한 BEOL의 전부를 상기 매립 도전성 라인(120)과 상기 도전성 관통 구조물(250)을 이용하여 상기 기판(101)의 배면에 위치한 제2 배선부(ML2)로 구현할 수도 있고, 제1 배선부(ML1)를 최소화하거나 생략할 수도 있다.
본 실시예와 달리, 상기 도전성 관통 구조물(250)은, 절연성 라이너(251)가 형성된 관통 홀(TH) 내부에 형성된 도전성 배리어(미도시)를 포함할 수 있다. 이와 유사하게, 상기 매립 도전성 라인(120)의 바닥면과 전체 측면에도 도전성 배리어(미도시)가 추가적으로 형성될 수 있으며, 도전성 배리어는 콘택 영역에 잔류할 수도 있다. 예를 들어, 상기 매립 도전성 라인(120), 상기 콘택 플러그(185) 및 상기 관통 비아(255) 중 적어도 하나는 Cu, Co, Mo, Ru, W 또는 그 합금을 포함할 수 있다. 예를 들어, 상기 절연성 분리막(131), 상기 절연성 캡층(135) 및 상기 절연성 라이너(251)는 SiO2, SiN, SiCN, SiC, SiCOH, SiON, Al2O3, AlN 또는 그 다공성 물질을 포함할 수 있다. 예를 들어, 도전성 배리어(182)는 Ta, TaN, Mn, MnN, WN, Ti, TiN 또는 그 조합을 포함할 수 있다. 또한, 상기 콘택 구조물(180)은 도전성 배리어(182)와 소스/드레인 영역들(110) 사이에 배치된 금속 실리사이드층(미도시)를 포함할 수 있다. 예를 들어, 상기 금속 실리사이드층은 CoSi, NiSi 또는 TiSi 등과 물질로 형성될 수 있다.
상술된 실시예는 다양하게 변경되어 구현될 수 있다. 예를 들어, 매립 도전성 라인의 콘택 영역 및 도전성 관통 구조물의 위치 등에서 다양하게 변경될 수 있다.
도 5a 내지 도 5c는 본 개시의 다양한 실시예에 따른 반도체 장치를 나타내는 부분 단면도들이다.
도 5a는 본 개시의 일 실시예에 따른 반도체 장치를 나타내는 단면도이며, 도 3에 도시된 확대 단면도와 유사하게, 도 1에 도시된 반도체 장치의 "A1" 영역을 확대한 단면에 해당된다.
도 5a를 참조하면, 상기 반도체 장치(100A)는 절연 분리막(131)의 연장된 부분(131E)와 매립 도전성 라인(120)의 콘택 영역(CT)의 형상이 상이한 점을 제외하고, 도 1 내지 도 3에 도시된 반도체 장치(100)와 유사한 구조를 갖는 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 내지 도 3에 도시된 반도체 장치(100)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에서 채용된 절연 분리막(131)의 연장된 부분(131E)는 앞선 실시예보다 상대적으로 긴 길이를 가질 수 있다. 상기 연장된 부분(131E)은 상기 매립 도전성 라인(120)의 바닥면(120B)에 인접한 측면들(120S)의 일부가 개방되도록 배치될 수 있다. 상기 매립 도전성 라인(120)의 개방된 측면들(120S)과 바닥면(120B)은 콘택 영역(CT)을 제공할 수 있다. 상기 연장된 부분(131E)의 두께는 다른 부분, 즉 상기 제2 분리 영역(162b)과 상기 매립 도전성 라인(120) 사이의 부분의 두께보다 작을 수 있다. 또한, 상기 연장된 부분(131E)은 상기 바닥면(120B)에 가까울수록 작아지는 두께를 가질 수 있다
한편, 매립 도전성 라인(120)의 콘택 영역(CT)은 각진 형상을 가질 수 있다. 즉, 매립 도전성 라인(120)의 바닥면(120B)의 모서리가 앞선 실시예의 라운드된 모서리(도 3의 RE)와 달리 비교적 각진 형상을 유지할 수 있다.
앞선 실시예와의 이러한 차이들(예, 연장된 부분(131E)의 길이 및 콘택 영역 형상)은 콘택 영역(CT)을 노출시키기 위한 절연성 라이너(251)의 부분적 식각 공정 조건의 차이로 인해 발생될 수 있다. 예를 들어, 본 실시예는 식각 공정 시간을 상대적으로 짧게 설정하거나, 식각 공정 조건을 절연성 라이너(251)의 물질과 매립 도전성 라인(120)의 물질의 상대적으로 높은 식각 선택비를 갖도록 설정하여 얻어진 결과로 이해될 수 있다.
또한, 상기 연장된 부분(131E)의 잔류 정도, 즉 콘택 영역(CT)으로 제공되는 인접한 측면(120S)의 면적은 매립 도전성 라인(120)의 측면 경사각에 따라 달라질 수 있다. 즉, 매립 도전성 라인(120)의 측면 경사각이 수직에 가까울수록 연장된 부분에 대한 식각량이 적어지므로, 동일한 식각 조건에서 상기 인접한 측면(120S)의 면적은 작아질 수 있다. 고의적으로, 매립 도전성 라인(120)의 측면에서도 식각을 유도하여 상기 인접한 측면(120S)의 면적을 확보하기 위해서 매립 도전성 라인(120)의 측면 경사각은 관통 홀(TH)의 내부 측벽의 경사각보다 작을 수 있다.
도 5b는 본 개시의 일 실시예에 따른 반도체 장치(100A')를 나타내는 단면도이다.
도 5b를 참조하면, 상기 반도체 장치(100A')는 절연 분리막(131)의 연장된 부분이 거의 존재하지 않으며, 매립 도전성 라인(120)의 콘택 영역(CT)의 형상이 상이한 점을 제외하고, 도 1 내지 도 3에 도시된 반도체 장치(100)와 유사한 구조를 갖는 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 내지 도 3에 도시된 반도체 장치(100)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에서 채용된 절연 분리막(131)은 매립 도전성 라인 중 활성 영역 내에 위치한 부분에는 연장되지 않는다. 즉, 상기 관통 홀 내에서 상기 매립 도전성 라인(120)은 바닥면(120B)과 그 인접한 측면들(120S)의 거의 전체가 개방될 수 있으며, 이렇게 개방된 측면들(120S)과 바닥면(120B)은 콘택 영역(CT)을 제공할 수 있다. 본 실시예에 따른 콘택 영역(CT)은 앞선 실시예들(도 3 및 도 5a)보다 상대적으로 넓은 면적으로 확보될 수 있다. 명확하게 도시되지 않았으나, 상기 제2 분리 영역(162b)은 상기 관통 비아(255)와 접하는 영역(BT)이 기판의 상부 방향으로 다소 리세스될 수도 있다.
한편, 매립 도전성 라인(120)의 콘택 영역(CT)은 바닥면(120B) 및 측면들(120S)의 경계가 명확하지 않을 정도로 완전히 라운드된 구조(RE)를 가질 수 있다. 본 실시예는 식각 공정 시간을 상대적으로 길게 설정하거나, 식각 공정 조건을 절연성 라이너(251)의 물질과 매립 도전성 라인(120)의 물질의 상대적으로 낮은 식각 선택비를 갖도록 설정하여 얻어진 결과로 이해될 수 있다.
도 5c는 본 개시의 일 실시예에 따른 반도체 장치(100A")를 나타내는 단면도이다.
도 5c를 참조하면, 상기 반도체 장치(100A")는 절연성 라이너(251)가 관통 홀(TH)의 상단에 부분적으로 잔류하는 점을 제외하고, 도 5a에 도시된 반도체 장치(100A)와 유사한 구조를 갖는 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 내지 도 3 및 도 5a에 도시된 반도체 장치(100,100A)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에서, 절연성 라이너(251)는 관통 홀(TH)의 상단에 부분적으로 잔류한 부분(251R)을 가질 수 있다. 앞선 실시예에서는, 매립 도전성 라인(120)의 바닥면(120B)에 위치한 절연성 라이너 부분과 절연성 분리막 부분을 제거할 때에 관통 홀(TH)의 상단에 위치한 절연성 라이너 부분도 함께 제거되므로(도 11c 참조), 관통 비아(255)와 제2 분리 영역(162b)이 직접 접촉되지만(도 3의 "BT" 참조), 절연성 라이너(251)의 원하는 두께(t1)에 비해서 관통 홀(TH)의 내부 측벽과 매립 도전성 라인(120)의 측면 사이의 갭(G)이 좁은 경우에, 절연성 라이너(251')가 증착될 때(도 11b 참조)에 절연성 라이너 물질에 의해 그 갭(G) 공간의 상당한 부분이 채워질 수 있다.
그 결과, 도 5c에 도시된 바와 같이, 매립 도전성 라인(120)의 바닥면(120B)에 위치한 절연성 라이너 부분과 절연성 분리막 부분이 제거되더라도 관통 홀(TH)의 상단에 절연성 라이너(251)의 잔류 부분(251R)을 가질 수 있다. 이러한 잔류 부분(251R)의 두께(t2)는 절연성 라이너(251)의 두께(t1)와 상이할 수 있으며, 예를 들어, 본 실시예와 같이, 잔류 부분(251R)의 두께(t2)는 절연성 라이너(251)의 두께(t1)보다 작을 수 있다.
이와 같이, 콘택 영역(CT)을 노출시키기 위한 식각 공정 조건 및/또는 구조적 차이(예, 매립 도전성 라인(120)의 측면 경사각 및 갭(G)의 크기 등)로 인해 연장된 부분(131E) 및 콘택 영역 등은 다양한 크기 및 형상을 가질 수 있다.
도 6a 및 도 6b는 본 개시의 다양한 실시예에 따른 반도체 장치를 나타내는 부분 단면도들이다.
우선, 도 6a를 참조하면, 상기 반도체 장치(100B)는 매립 도전성 라인(120) 및 도전성 관통 구조물(250)이 다소 오프셋(offset)되도록 배치된 점을 제외하고, 도 1 내지 도 3에 도시된 반도체 장치(100)와 유사한 구조를 갖는 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 내지 도 3에 도시된 반도체 장치(100)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에서, 매립 도전성 라인(120)의 중심축(Z1)은 상기 도전성 관통 구조물(250)의 중심축(Z2)과 오프셋될 수 있다. 도 6a는 도 1의 평면도에서 상기 제1 방향(예, x 방향)에 따른 제2 방향(예, y 방향)으로 절단된 단면이며, 이러한 오프셋은 y 방향으로의 오프셋일 수 있다. 이러한 오프셋은 도전성 관통 구조물(250)을 위한 관통 홀(TH) 형성 과정에서 발생될 수 있다(도 11a 참조).
상기 매립 도전성 라인(120)은 서로 반대에 위치하여 y 방향으로 배열된 제1 측면(120S1) 및 제2 측면(120S2)을 가지며, 상기 제1 및 제2 측면(120S1,120S2)은 상기 매립 도전성 라인(120)이 연장된 방향(예, x 방향)으로 연장될 수 있다(도 1 참조).
상기 제1 측면(120S1)의 일부는 앞선 실시예와 유사하게, 상기 관통 비아(255)와 접촉하며, 상기 제1 측면(120S1)의 다른 일부는 제1 절연 분리막(131A)의 연장된 부분(131E)에 의해 배치될 수 있다. 상기 제2 측면(120S2)은 상기 제2 절연성 분리막(131B)에 의해 상기 활성 영역(102)과 전기적으로 분리될 수 있다.
도 6b를 참조하면, 상기 반도체 장치(100B')는 도전성 관통 구조물(250)의 오프셋된 위치가 상이한 점을 제외하고, 도 6a에 도시된 반도체 장치(100B)와 유사한 구조를 갖는 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 내지 도 3 및 도 6a에 도시된 반도체 장치(100,100B)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에서, 상기 도전성 관통 구조물(250)의 오프셋 정도가 도 6a에 도시된 실시예보다 크게 발생된 형태를 예시한다. 상기 도전성 관통 구조물(250)은 매립 도전성 라인(12)의 바닥면(120B)의 일부 영역에만 연결될 수 있다.
앞선 실시예(도 6a)와 유사하게, 상기 매립 도전성 라인(120)은 서로 반대에 위치한 제1 측면(120S1) 및 제2 측면(120S2)을 가지며, 상기 제1 및 제2 측면(120S1,120S2)은 상기 매립 도전성 라인(120)이 연장된 방향으로 연장될 수 있다. 본 실시예에서, 상기 제1 측면(120S1)은 거의 전체적으로 상기 관통 비아(255)와 접촉할 수 있으며, 상기 제2 측면(120S2)은 상기 제2 절연성 분리막(131B)에 의해 상기 활성 영역(102)과 전기적으로 분리될 수 있다.
도 6a 및 도 6b에 도시된 실시예와 같이, 도전성 관통 구조물(250)을 매립 도전성 라인(120)에 랜딩할 때에 다소 오차가 발생되어도, 상기 매립 도전성 라인(120)의 바닥면(120B)뿐만 아니라 인접한 제1 측면(120S1)의 적어도 일부 영역을 노출시켜 관통 비아(255)와 연결하기 위한 콘택 영역(CT)으로 활용할 수 있으므로, 콘택 저항을 저감시킬 수 있다.
도 7은 본 개시의 일 실시예에 따른 반도체 장치를 나타내는 단면도이며, 도 8은 도 7에 도시된 반도체 장치의 "A2"을 나타내는 확대 단면도이다.
도 7 및 도 8을 참조하면, 본 실시예에 따른 반도체 장치(100C)는, 도전성 관통 구조물(250)을 위한 관통 홀(TH)의 상단면이 활성 영역(102)의 상면(102T)보다 낮게 위치하는 점을 제외하고, 도 1 내지 도 3에 도시된 반도체 장치(100)와 유사한 구조를 갖는 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 내지 도 3에 도시된 반도체 장치(100)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에 따른 반도체 장치(100C)는 도 1 내지 도 3에 도시된 실시예와 유사하게, 활성 영역(102)을 갖는 기판(101)을 포함할 수 있다. 상기 활성 영역(102) 상면에는 복수의 활성 핀들(105)이 배치될 수 있다.
상기 관통 홀(TH)은 상기 활성 영역(120)의 상면(102T)보다 낮은 상단면(250T)을 갖는다. 상기 관통 홀(TH)의 상단면(250T)에 의해 상기 활성 영역(102)의 일부(102R)는 노출되며, 상기 활성 영역(120)의 상기 노출된 부분(102R)은 상기 절연성 라이너(251)에 의해 상기 관통 비아(255)와 전기적으로 절연될 수 있다.
또한, 상기 관통 홀(TH)의 상기 상단면(250T)에 위치한 상기 절연성 라이너 부분(251R)은 상기 관통 홀(TH)의 상기 내부 측벽에 위치한 상기 절연성 라이너(251)의 부분의 두께(t1)와 다른 두께(t2)를 가질 수 있다.
상기 매립 도전성 라인(120)은 바닥면(120B)과 그 인접한 측면들(120S)에 의해 관통 비아(255)와의 콘택 영역(CT)을 제공할 수 있다. 상기 매립 도전성 라인(120)의 상기 바닥면(120B)은 라운드된 모서리(RE)를 가질 수 있다. 도 8에 도시된 바와 같이, 상기 절연성 분리막(131)의 상기 연장된 부분(131E)은 상기 제2 분리 영역(162b)에 가까울수록 커지는 두께를 가질 수 있다.
도 9는 본 개시의 일 실시예에 따른 반도체 장치를 나타내는 부분 단면도이다.
도 9를 참조하면, 상기 반도체 장치(100C')는 매립 도전성 라인(120) 및 도전성 관통 구조물(250)이 다소 오프셋되도록 배치된 점을 제외하고, 도 7 및 도8에 도시된 반도체 장치(100C)와 유사한 구조를 갖는 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 내지 도 3, 도 7 및 도8에 도시된 반도체 장치(100,100C)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에서, 매립 도전성 라인(120)의 중심축과 상기 도전성 관통 구조물(250)의 중심축은 서로 오프셋될 수 있다. 앞서 설명한 바와 같이, 이러한 오프셋은 도전성 관통 구조물(250)을 위한 관통 홀(TH) 형성 과정에서 발생될 수 있다(도 11a 참조).
상기 매립 도전성 라인(120)은 서로 반대에 위치한 제1 측면(120S1) 및 제2 측면(120S2)을 가지며, 상기 제1 및 제2 측면(120S1,120S2)은 상기 매립 도전성 라인(120)이 연장된 방향로 연장될 수 있다. 앞선 실시예(도 6a)와 유사하게, 상기 제1 측면(120S1)의 일부는 상기 관통 비아(255)와 접촉하면서 상기 제1 측면(120S1)의 다른 일부는 제1 절연 분리막(131A)의 연장된 부분(131E)에 의해 배치될 수 있다. 또한, 상기 제2 측면(120S2)은 상기 제2 절연성 분리막(131B)에 의해 상기 활성 영역(102)과 전기적으로 분리될 수 있다.
본 실시예에 따른 반도체 장치 제조방법은 디바이스부 및 제1 배선부 형성과정(도 10a 내지 도 10e)과, 도전성 관통 구조물 및 제2 배선부 형성과정(도 11a 내지 도 11d)을 구분하여 설명할 수 있다. 본 실시예에 따른 반도체 장치 제조방법은 3에 도시된 반도체 장치(100)의 제조방법으로 이해될 수 있다.
도 10a 내지 도 10e는 도 1에 도시된 반도체 장치의 제조방법(디바이스부 및 제1 배선부 형성과정)을 설명하기 위한 주요 공정별 단면도이다.
우선, 도 10a를 참조하면, 복수의 활성 핀들(105)을 덮는 제1 절연층(162')을 형성할 수 있다.
상기 복수의 활성 핀들(105) 각각의 상면에는 핀 마스크(FM)가 배치될 수 있다. 상기 핀 마스크(FM)는 본 공정 앞서 복수의 활성 핀들(105)을 형성하기 위한 공정에서 사용되었던 마스크일 수 있다. 상기 기판(101) 상에 상기 복수의 활성 핀들(105)을 덮도록 제1 절연층(162')을 형성한다. 본 공정은 핀 마스크(FM)를 덮도록 제1 절연층(162')을 형성한 후에 핀 마스크(FM)가 노출되도록 제1 절연층(162')을 CMP와 같은 공정을 이용하여 평탄화시킬 수 있다. 일부 실시예에서는, 본 공정에 앞서, 매립 도전성 라인(도 10c의 120)이 형성될 영역으로부터 일부 활성 핀을 제거하는 공정을 수행할 수 있다.
도 10b를 참조하면, 복수의 활성 핀들(105) 사이에 트렌치(TR)를 형성할 수 있다.
상기 트렌치(ST)는 복수의 활성 핀들(105)이 연장된 제1 방향에 따라 연장되도록 형성될 수 있다. 이러한 트렌치(TR)의 형성 영역은 복수의 활성 핀들(105) 사이에 위치할 수 있다. 본 실시예에 따른 트렌치(TR)는 제1 절연층(162')(특히, 최종 구조에서는 소자 분리층(162))을 관통하여 활성 영역(102)의 일부 영역까지 연장되도록 형성될 수 있다. 상기 트렌치(ST)의 바닥면은 활성 영역(102)에 의해 제공될 수 있다.
도 10c를 참조하면, 트렌치(ST) 내에 절연성 분리막(131)과 매립 도전성 라인(120)을 형성하고, 제2 절연층(135')으로 매립 도전성 라인(120)을 매립할 수 있다.
본 공정은 상기 제1 절연층(162')의 상면 및 상기 트렌치(ST)의 내부 표면에 절연성 분리막(131)를 위한 유전체막을 형성하고, 제1 절연층(162') 상면에 위치한 유전체막 부분을 선택적으로 제거하는 공정을 포함할 수 있다. 이러한 공정을 통해서, 상기 트렌치(TR)의 내부 측벽 및 바닥면에 위치한 유전체막 부분을 잔류시켜 절연성 분리막(251)를 형성할 수 있다. 다음으로, 도전성 물질을 상기 제1 절연층(162')의 상면 및 상기 트렌치(TR)의 내에 증착한 후에, 에치백 공정을 적용하여 제1 절연층(162') 상면에 위치한 도전성 물질 부분을 제거하고 기 트렌치(TR) 내의 도전성 물질 부분의 높이를 조절하여 매립 도전성 라인(120)를 형성할 수 있다.이어, 제2 절연층(135')을 형성하여 매립 도전성 라인(120)을 매립할 수 있다.
도 10d를 참조하면, 상기 제2 절연층(135') 및 상기 제1 절연층(162')의 일부를 제거하여 활성 핀(105)의 부분을 노출시키고, 활성 핀(105)의 노출된 부분에 소스/드레인 영역(110)을 형성한다.
본 공정은 CMP와 같은 평탄화 공정을 이용하여 상기 제2 절연층(135') 및 상기 제1 절연층(162')의 부분들을 제거할 수 있다. 본 공정은 핀 마스크(FM)이 노출될 때까지 수행될 수 있다. 핀 마스크(FM)를 제거한 후에, 제1 및 제2 절연층(162',130')의 일부가 제거되도록 에치백 공정을 수행하여 복수의 활성 핀들(105)의 일부를 노출시킬 수 있다. 본 공정에 의해 얻어진 제1 절연층(162')은 소자 분리층(162)으로 제공되며, 제2 절연층(135')은 절연성 캡핑층(135)으로 제공될 수 있다. 복수의 활성 핀들(105)의 노출된 부분에 리세스를 형성하고, 리세스에 선택적 에피택셜 성장하여 소스/드레인 영역(110)을 형성한다.
도 10e를 참조하면, 층간 절연층(165)을 형성하고, 상기 층간 절연층(165)을 관통하는 콘택 구조물(180)을 형성하고, 상기 층간 절연층(165) 상에 제1 배선부(ML1)를 형성한다.
소스/드레인 영역(110)을 덮도록 층간 절연층(165)을 형성하고, 상기 층간 절연층(165)에 소스/드레인 영역(110)과 상기 매립 도전성 배선(120)에 함께 연결된 콘택 홀을 형성한다. 이 경우에, 상기 매립 도전성 배선(120)에 연결된 홀 부분의 깊이는 소스/드레인 영역(110)에 연결된 홀 부분의 깊이보다 클 수 있다.
상기 콘택 홀이 충전되도록 도전성 배리어(182)와 콘택 플러그(185)를 순차적으로 형성한 후에, CMP와 같은 평탄화 공정을 수행하여 콘택 구조물(180)의 상면과 층간 절연층(165)의 상면은 실질적으로 평탄한 공면을 이룰 수 있다.
다음으로, 상기 층간 절연층(165) 상에 상기 콘택 구조물(180)에 연결된 제1 배선부(ML1)를 형성한다. 층간 절연막(165) 상에 식각 정지층(171)을 형성하고, 복수의 저유전체층(172,175)과 상기 메탈 배선(M1) 및 메탈 비아(V1)를 갖는 제1 배선부(ML1)를 형성할 수 있다. 상기 메탈 배선(M1)과 메탈 비아(V1)는 듀얼 다마신 공정을 이용하여 함께 형성될 수 있다.
도 11a 내지 도 11d는 도 1에 도시된 반도체 장치의 제조방법(도전성 관통 구조물 및 제2 배선부 형성과정)을 설명하기 위한 주요 공정별 단면도이다.
도 11a를 참조하면, 도 10e에서 제조된 디바이스를 지지체(300)에 부착한 후에 상기 기판(101)에 관통 홀(TH)을 형성할 수 있다.
도 10e에서 제조된 디바이스의 제1 배선부(ML1)에 지지체(300)을 부착하여 기판(101)의 배면을 상부로 향하도록 반전시킬 수 있다. 상기 기판(101)의 배면에 패시베이션을 위한 배면 절연층(210)을 형성할 수 있다. 상기 기판(101)의 배면을 향해 매립 도전성 라인(120)을 향하도록 관통 홀(TH)을 형성할 수 있다. 상기 관통 홀(TH)의 바닥면(앞선 실시예의 설명에서는 "상단면"이라고도 함)으로부터 매립 도전성 라인(120)의 일부 영역(BC)이 돌출될 수 있다. 즉, 상기 관통 홀(TH)은 매립 도전성 라인(120)이 일부 영역(BC)이 절연성 분리막(131)과 함께 노출될 수 있다.
도 11b를 참조하면, 관통 홀(TH)의 내부 표면에 절연성 라이너를 유전체막(251')을 형성할 수 있다.
본 공정에서, 유전체막(251')은 관통 홀(TH)의 내부 측벽 및 바닥면에 증착시킬 수 있다. 이러한 증착 과정에서, 유전체층(251')은 관통 홀(TH)의 내부 측벽 및 바닥면뿐만 아니라, 매립 도전성 라인(120)의 노출된 부분(BC)도 함께 덮일 수 있다.
도 11c를 참조하면, 관통 홀(TH)의 바닥면에 위치한 유전체막(251') 부분을 개방하여 관통 홀(TH)의 내부 측벽에 잔류하는 절연성 라이너(251)를 형성할 수 있다.
본 공정은 이방성 식각 공정에 의해 수행될 수 있다. 본 공정에서 매립 도전성 라인(120)의 노출된 부분(BC)의 절연부들(예, 유전체막(251') 및 절연성 분리막(131)의 일부)이 함께 제거됨으로써, 후속 공정에서 형성될 관통 비아(255)와의 콘택 영역(CT)이 얻어질 수 있다. 구체적으로, 앞서 설명한 바와 같이, 매립 도전성 라인의 바닥면과 함께 그 바닥면에 인접한 측면의 적어도 일부 영역도 노출되므로 넓은 면적의 콘택 영역(CT)을 제공할 수 있다. 관통 홀(TH)의 내부 측벽에 위치한 유전체막 부분만이 잔류하여 절연성 라이너(251)가 형성될 수 있다.
도 11d를 참조하면, 관통 홀(TH) 내에 관통 비아(255)을 형성하고, 기판(101)의 배면에 도전성 관통 구조물(250)에 연결된 제2 배선부(ML2)를 형성한다.
본 공정에서, 관통 홀(TH)의 내부에 충전되도록 관통 비아(255)를 형성하여 매립 도전성 라인(120)의 콘택 영역(CT)에 연결된 도전성 관통 구조체(250)를 형성할 수 있다. 여기서, 관통 비아(255)는 매립 도전성 라인의 바닥면뿐만 아니라, 인접한 측면의 일부 영역까지 접촉하므로, 충분한 콘택 면적을 확보할 수 있으며, 그 결과, 콘택저항을 저감시킬 수있다. 관통 비아(255)의 형성 공정은 관통 홀(TH)의 내부에 충전되도록 배면 절연층(210) 상에 도전성 물질을 증착하고, 이어 CMP와 같은 평탄화 공정을 적용하여 배면 절연층(210)의 상면에 위치한 도전성 물질 부분을 제거할 수 있다. 이러한 평탄화 공정을 통해서, 배면 절연층(210)의 상면과 도전성 관통 구조물(250)의 상면은 실질적으로 평탄한 공면을 가질 수 있다.
다음으로, 상기 배면 절연층(210) 상에 상기 제2 배선부(ML2)를 형성할 수 있다. 상기 제2 배선부(ML2)는, 복수의 저유전체층(272,275)과, 제1 및 제2 메탈 배선(M2,M3)과 메탈 비아(V2)플 포함할 수 있다. 상기 제1 메탈 배선(M2)은 도전성 관통 구조물(250)에 접속되도록 형성되고, 상기 제2 메탈 배선(M3)과 메탈 비아(V2)는 듀얼 다마신 공정을 이용하여 형성될 수 있다. 이러한 제2 배선부(ML2)는 상기 매립 도전성 라인(120) 및 상기 도전성 관통 구조물(250)을 통해서 기판(101) 상면에 구현된 다수의 소자(예, 트랜지스터)를 위한 신호 라인 및 파워 라인을 제공할 수 있다.
본 발명은 상술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.
101: 기판 102: 활성 영역
105: 활성 핀 110: 소스/드레인 영역
120: 매립 도전성 라인 131: 절연성 분리막
135: 절연성 캡핑층 172,175: 저유전체층
180: 콘택 구조물 182: 도전성 배리어
185: 콘택 플러그 210: 배면 절연층
250: 도전성 관통 구조물 251: 절연성 라이너
255: 관통 비아

Claims (20)

  1. 서로 반대에 위치한 제1 면 및 제2 면을 가지며, 상기 제1 면에 위치하며 제1 깊이를 갖는 제1 분리영역에 의해 정의되는 활성 영역을 갖는 기판;
    상기 활성 영역 상에 배치되며 제1 방향으로 연장되고, 상기 제1 깊이보다 작은 제2 깊이를 갖는 제2 분리 영역에 의해 정의되는 복수의 활성 핀들;
    상기 제2 분리 영역 및 상기 활성 영역에 매립되며, 상기 제1 방향에 따라 연장된 매립 도전성 라인(buried conductive line);
    상기 제2 분리 영역과 상기 매립 도전성 라인 사이에 배치된 절연성 분리막;
    상기 매립 도전성 라인을 덮도록 상기 제1 및 제2 분리 영역들 상에 배치된 층간 절연층;
    상기 층간 절연층을 관통하며, 상기 매립 도전성 라인에 연결된 콘택 구조물;
    상기 기판의 제2 면으로부터 상기 제1 면을 향해 형성되며, 상기 매립 도전성 라인의 일 부분을 노출하는 관통 홀;
    상기 관통 홀 내에 배치되며, 상기 매립 도전성 라인의 상기 노출된 부분의 바닥면과 상기 바닥면에 인접한 측면에 접촉하는 관통 비아; 및
    상기 관통 홀의 내부 측벽과 상기 관통 비아 사이에 배치된 절연성 라이너;를 포함하는 반도체 장치.
  2. 제1항에 있어서,
    상기 절연성 분리막은 상기 매립 도전성 라인의 상기 노출된 부분의 측면의 일부 영역에 연장된 부분을 갖는 반도체 장치.
  3. 제2항에 있어서,
    상기 절연성 분리막의 상기 연장된 부분은 상기 제2 분리 영역과 상기 매립 도전성 라인 사이의 부분보다 얇은 두께를 갖는 반도체 장치.
  4. 제2항에 있어서,
    상기 절연성 분리막의 상기 연장된 부분은 상기 제2 분리 영역에 가까울수록 커지는 두께를 갖는 반도체 장치.
  5. 제1항에 있어서,
    상기 관통 비아는 상기 매립 도전성 라인의 상기 노출된 부분의 측면 전체에 접촉하는 반도체 장치.
  6. 제1항에 있어서,
    상기 노출된 부분의 상기 바닥면은 라운드된 모서리를 갖는 반도체 장치.
  7. 제1항에 있어서,
    상기 관통 홀은 상기 제2 분리 영역까지 연장되고, 상기 제2 분리 영역은 노출된 부분을 가지고,
    상기 관통 비아는 상기 제2 분리 영역의 노출된 부분에 접촉하는 반도체 장치.
  8. 제1항에 있어서,
    상기 관통 홀은 상기 활성 영역의 상면보다 낮은 상단면을 가지며, 상기 관통 홀의 상단면에 의해 상기 활성 영역의 부분은 노출되고,
    상기 활성 영역의 상기 노출된 부분은 상기 절연성 라이너에 의해 상기 관통 비아와 전기적으로 절연되는 반도체 장치.
  9. 제1항에 있어서,
    상기 제1 방향과 교차하는 제2 방향으로 절단한 단면에서, 상기 매립 도전성 라인의 중심축은 상기 관통 비아의 중심축과 오프셋되는 반도체 장치.
  10. 제9항에 있어서,
    상기 매립 도전성 라인의 상기 노출된 부분은 서로 반대에 위치한 제1 측면 및 제2 측면을 가지며,
    상기 제1 측면은 상기 관통 비아와 접촉하며, 상기 제2 측면은 상기 절연성 분리막에 의해 상기 활성 영역과 전기적으로 분리되는 반도체 장치.
  11. 제1항에 있어서,
    상기 제1 방향과 교차하는 제2 방향으로 절단한 단면에서, 상기 관통 홀의 상단 폭은 상기 매립 도전성 라인의 상단 폭보다 큰 반도체 장치.
  12. 제1항에 있어서,
    상기 매립 도전성 라인의 높이는 30㎚∼200㎚ 범위이며, 상기 관통 비아와 접촉하는 상기 매립 도전성 라인의 측면 부분의 높이는 적어도 3㎚인 반도체 장치.
  13. 제1항에 있어서,
    상기 매립 도전성 라인은 상기 활성 영역의 상면보다 높고 상기 복수의 활성 핀들의 상단보다 낮은 상면을 갖는 반도체 장치.
  14. 제1항에 있어서,
    상기 복수의 활성 핀들 상에 배치된 소스/드레인 영역을 더 포함하며, 상기 콘택 구조물은 상기 소스/드레인 영역에 연결되는 반도체 장치.
  15. 제1항에 있어서,
    상기 층간 절연층 상에 배치되며 상기 콘택 구조물과 전기적으로 연결된 제1 배선부와, 상기 기판의 제2 면 상에 배치되며 상기 관통 비아에 연결된 제2 배선부를 더 포함하는 반도체 장치.
  16. 활성 영역이 배치된 제1 면과 상기 제1 면과 반대에 위치한 제2 면을 갖는 기판;
    상기 활성 영역에 배치되어 일 방향으로 연장되며, 상기 활성 영역에 매립된 부분을 갖는 매립 도전성 라인;
    상기 기판의 제1 면에 배치되며, 상기 매립 도전성 라인을 덮는 절연부;
    상기 절연부에 배치되며, 상기 매립 도전성 라인에 연결된 콘택 구조물;
    상기 기판의 제2 면으로부터 상기 절연부까지 연장되며, 상기 매립 도전성 라인의 상기 매립된 부분을 노출하는 관통 홀;
    상기 매립 도전성 라인을 둘러싸도록 상기 매립 도전성 라인의 측면에 배치되며, 상기 매립 도전성 라인의 상기 매립된 부분의 바닥면과 상기 바닥면에 인접한 측면을 개방하는 절연성 분리막;
    상기 관통 홀 내에 배치되며, 상기 매립 도전성 라인의 상기 바닥면과 상기 인접한 측면에 접촉하는 관통 비아;
    상기 관통 홀의 내부 측벽과 상기 관통 비아 사이에 배치된 절연성 라이너; 및
    상기 기판의 제2 면 상에 배치되며, 상기 관통 비아에 연결된 백사이드 배선부;를 포함하는 반도체 장치.
  17. 제16항에 있어서,
    상기 관통 비아는 상기 관통 홀에 의해 노출된 상기 절연부 부분에 접촉하는 반도체 장치.
  18. 제16항에 있어서,
    상기 절연성 분리막은 상기 매립 도전성 라인의 상기 노출된 부분의 측면의 일부 영역에 연장된 부분을 가지며, 상기 연장된 부분은 상기 절연부에 가까울수록 커지는 두께를 갖는 반도체 장치.
  19. 활성 영역이 배치된 제1 면과 상기 제1 면과 반대에 위치한 제2 면을 갖는 기판;
    상기 활성 영역에 배치되어 일 방향으로 연장되고, 상기 활성 영역에 매립된 부분을 갖는 매립 도전성 라인;
    상기 기판의 제1 면에 배치되며, 상기 매립 도전성 라인을 덮는 절연부;
    상기 절연부에 배치되며, 상기 매립 도전성 라인에 연결된 콘택 구조물;
    상기 기판의 제2 면으로부터 상기 매립 도전성 라인의 상기 매립된 부분이 노출되도록 연장된 관통 홀 - 상기 관통 홀의 상단면은 상기 활성 영역의 상면보다 낮게 위치함 - ;
    상기 매립 도전성 라인을 둘러싸도록 상기 매립 도전성 라인의 측면에 배치되며, 상기 매립 도전성 라인의 바닥면과 상기 바닥면에 인접한 측면을 개방하는 절연성 분리막;
    상기 관통 홀 내에 배치되며, 상기 매립 도전성 라인의 상기 바닥면과 상기 인접한 측면에 접촉하는 관통 비아;
    상기 관통 홀의 상기 상단면 및 내부 측벽과, 상기 관통 비아 사이에 배치된 절연성 라이너; 및
    상기 기판의 제2 면 상에 배치되며, 상기 관통 비아에 연결된 백사이드 배선부;를 포함하는 반도체 장치.
  20. 제19항에 있어서,
    상기 관통 홀의 상기 상단면에 위치한 상기 절연성 라이너의 부분과 상기 관통 홀의 상기 내부 측벽에 위치한 상기 절연성 라이너의 부분은 서로 다른 두께를 갖는 반도체 장치.
KR1020200065110A 2020-05-29 2020-05-29 반도체 장치 KR102674033B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020200065110A KR102674033B1 (ko) 2020-05-29 2020-05-29 반도체 장치
US17/147,927 US11380607B2 (en) 2020-05-29 2021-01-13 Semiconductor device
CN202110591093.XA CN113745184A (zh) 2020-05-29 2021-05-28 半导体器件
US17/855,902 US11749587B2 (en) 2020-05-29 2022-07-01 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200065110A KR102674033B1 (ko) 2020-05-29 2020-05-29 반도체 장치

Publications (2)

Publication Number Publication Date
KR20210148543A KR20210148543A (ko) 2021-12-08
KR102674033B1 true KR102674033B1 (ko) 2024-06-13

Family

ID=78705479

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200065110A KR102674033B1 (ko) 2020-05-29 2020-05-29 반도체 장치

Country Status (3)

Country Link
US (2) US11380607B2 (ko)
KR (1) KR102674033B1 (ko)
CN (1) CN113745184A (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
KR20210012084A (ko) * 2019-07-23 2021-02-03 삼성전자주식회사 반도체 장치
US11532556B2 (en) * 2019-12-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for transistors having backside power rails
US11552084B2 (en) * 2020-03-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Shared bit lines for memory cells
US11664374B2 (en) * 2020-05-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Backside interconnect structures for semiconductor devices and methods of forming the same
TW202145484A (zh) * 2020-05-29 2021-12-01 台灣積體電路製造股份有限公司 半導體裝置
US11233005B1 (en) * 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
CN113517274A (zh) * 2020-07-24 2021-10-19 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US20220165669A1 (en) * 2020-11-25 2022-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure, stacked semiconductor device structure and method of manufacturing semiconductor device structure
US11621332B2 (en) * 2021-01-14 2023-04-04 International Business Machines Corporation Wraparound contact to a buried power rail
US20220262791A1 (en) * 2021-02-16 2022-08-18 Intel Corporation Integrated circuit structure with front side signal lines and backside power delivery
US11670595B2 (en) * 2021-02-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11482595B1 (en) 2021-04-23 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
KR20220147922A (ko) * 2021-04-28 2022-11-04 삼성전자주식회사 반도체 패키지
US20230187300A1 (en) * 2021-12-13 2023-06-15 Intel Corporation Backside heat dissipation using buried heat rails
TW202341261A (zh) * 2022-04-11 2023-10-16 南韓商三星電子股份有限公司 半導體晶片架構以及製造其的方法
KR20240018249A (ko) * 2022-08-02 2024-02-13 삼성전자주식회사 집적회로 소자
US20240105797A1 (en) * 2022-09-22 2024-03-28 Qualcomm Incorporated Transistor devices with double-side contacts
US20240120279A1 (en) * 2022-10-11 2024-04-11 Samsung Electronics Co., Ltd. Semiconductor device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4415984B2 (ja) 2006-12-06 2010-02-17 ソニー株式会社 半導体装置の製造方法
WO2009115449A1 (en) 2008-03-19 2009-09-24 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for fabricating through-substrate vias
US8853857B2 (en) 2011-05-05 2014-10-07 International Business Machines Corporation 3-D integration using multi stage vias
JP5922915B2 (ja) 2011-12-02 2016-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9997443B2 (en) 2013-02-25 2018-06-12 Infineon Technologies Ag Through vias and methods of formation thereof
KR102279729B1 (ko) 2014-12-01 2021-07-21 삼성전자주식회사 Tsv, 전면 범핑 패드 및 후면 범핑 패드를 갖는 반도체 소자
CN109196653B (zh) * 2016-07-01 2022-09-13 英特尔公司 用于具有双侧金属化的半导体器件的背侧接触电阻减小
EP3324436B1 (en) 2016-11-21 2020-08-05 IMEC vzw An integrated circuit chip with power delivery network on the backside of the chip
US10170413B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having buried metal line and fabrication method of the same
KR102460076B1 (ko) * 2017-08-01 2022-10-28 삼성전자주식회사 반도체 장치
KR102557400B1 (ko) * 2018-01-17 2023-07-20 삼성전자주식회사 반도체 장치
KR102460847B1 (ko) * 2018-05-25 2022-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102609372B1 (ko) * 2018-08-31 2023-12-06 삼성전자주식회사 반도체 소자
US10872818B2 (en) * 2018-10-26 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Buried power rail and method forming same
US11450559B2 (en) * 2020-04-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside dielectric layer having air gap
US11652043B2 (en) * 2020-04-29 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside via

Also Published As

Publication number Publication date
US20210375722A1 (en) 2021-12-02
US11380607B2 (en) 2022-07-05
US11749587B2 (en) 2023-09-05
US20220336330A1 (en) 2022-10-20
CN113745184A (zh) 2021-12-03
KR20210148543A (ko) 2021-12-08

Similar Documents

Publication Publication Date Title
KR102674033B1 (ko) 반도체 장치
US11721628B2 (en) Semiconductor device
US10497645B2 (en) Semiconductor devices
TWI717410B (zh) 半導體結構、製造其的方法及製造密封環結構的方法
US10262937B2 (en) Integrated circuit device
US11978769B2 (en) Semiconductor device and method of fabricating the same
US20240055493A1 (en) Semiconductor device
US20230253293A1 (en) Semiconductor device
US20230335558A1 (en) Semiconductor device
US11664418B2 (en) Semiconductor devices having gate isolation layers
US11133249B2 (en) Semiconductor device
US20230027640A1 (en) Semiconductor device
US12034041B2 (en) Semiconductor devices having gate isolation layers
US20240145556A1 (en) Semiconductor device
TW202422836A (zh) 半導體裝置
EP4401121A1 (en) Semiconductor device
US20230120532A1 (en) Semiconductor device including air gap
US20240234253A1 (en) Semiconductor device
KR20240111469A (ko) 반도체 장치
CN117995809A (zh) 半导体装置
KR20240062084A (ko) 반도체 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right