KR102609044B1 - 저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법 - Google Patents

저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법 Download PDF

Info

Publication number
KR102609044B1
KR102609044B1 KR1020207019060A KR20207019060A KR102609044B1 KR 102609044 B1 KR102609044 B1 KR 102609044B1 KR 1020207019060 A KR1020207019060 A KR 1020207019060A KR 20207019060 A KR20207019060 A KR 20207019060A KR 102609044 B1 KR102609044 B1 KR 102609044B1
Authority
KR
South Korea
Prior art keywords
layer
acid
composition
ammonium
fluoride
Prior art date
Application number
KR1020207019060A
Other languages
English (en)
Other versions
KR20200096576A (ko
Inventor
요아네스 테오도뤼스 팔렌티뉘스 호흐봄
지 정 커
처 웨이 왕
안드레아스 클리프
이 핑 청
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20200096576A publication Critical patent/KR20200096576A/ko
Application granted granted Critical
Publication of KR102609044B1 publication Critical patent/KR102609044B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D265/00Heterocyclic compounds containing six-membered rings having one nitrogen atom and one oxygen atom as the only ring hetero atoms
    • C07D265/281,4-Oxazines; Hydrogenated 1,4-oxazines
    • C07D265/301,4-Oxazines; Hydrogenated 1,4-oxazines not condensed with other rings
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/20Acidic compositions for etching aluminium or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Weting (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물, 및 상기 조성물의 상응하는 용도가 개시되어 있다. 또한, 알루미늄 화합물을 포함하는 하나 이상의 층을 상기 기술한 조성물과 접촉시킴으로써, 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 하나 이상의 층을 선택적으로 에칭하는 단계를 포함하는 반도체 장치의 제조 방법이 기재되어 있다.

Description

저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법
본 발명은 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물, 및 상기 조성물의 상응하는 용도에 관한 것이다. 본 발명은 또한 알루미늄 화합물을 포함하는 하나 이상의 층을 상기 조성물과 접촉시킴으로써, 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 하나 이상의 층을 선택적으로 에칭하는 단계를 포함하는 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치의 제조 방법은 순수한 반도체 물질로 제조된 웨이퍼 ("반도체 웨이퍼") 상에서 전기 회로가 점차적으로 생성되는 포토리소그래피 및 화학적 처리 단계의 다단계 시퀀스이다. 바람직하게는, 반도체 물질로서 규소가 사용된다. 전형적인 반도체 웨이퍼는, 소위 "초크랄스키 공정 (Czochralski process)" 을 사용하여, 직경이 최대 300 mm 인 단결정질 원통형 잉곳 (부울) 으로 성장하는 매우 순수한 규소로 제조된다. 이어서, 이들 잉곳은 약 0.75 mm 두께의 웨이퍼로 슬라이스되고, 연마되어 매우 규칙적이고 편평한 표면이 수득된다.
반도체 웨이퍼의 특정한 제조 방법은, 예를 들어, 소위 "프론트-엔드-오브-라인 (front-end-of-line)" ("FEOL") 및 "백-엔드-오브-라인" ("BEOL") 처리 단계를 포함하는 여러 단계로 구성된다.
FEOL 처리 단계는 반도체 웨이퍼의 물질 (통상적으로 규소) 에서 직접 트랜지스터를 형성하는 것을 지칭한다. 미가공 반도체 웨이퍼는 에피택시를 통해 실질적으로 결함이 없는 초순수 규소 층의 성장에 의해 조작된다. 프론트-엔드 표면 엔지니어링에 이어서, 게이트 유전체 (예를 들어, 이산화 규소) 의 성장, 게이트의 패터닝, 소스 및 드레인 영역의 패터닝, 및 반도체 물질 내에의 도판트의 후속 주입 또는 확산에 의해, 원하는 상보적인 전기적 특성이 수득된다.
다양한 장치 (예를 들어, 동적 랜덤 액세스 메모리, DRAM; 정적 랜덤 액세스 메모리, SRAM; 전기적으로 프로그래밍 가능한 읽기 전용 메모리, EPROM; 또는 규소 상의 상보성 금속, CMOS) 가 FEOL 처리에서 생성되면, 이들은 원하는 전기 회로를 형성하기 위해서 상호 접속되어야 한다. 이것은 BEOL 로서 총칭하는, 일련의 웨이퍼 처리 단계에서 발생한다. BEOL 처리 단계는 반도체 웨이퍼의 표면 상에서, 낮은 유전 상수를 갖는 물질, 예를 들어 유전 상수 κ < 3.9 를 갖는 물질 ("저-k 물질" 로도 알려짐) 로 제조된 층에 의해 단리되는 금속 상호 접속 와이어를 생성하는 것을 포함한다. 알루미늄 대신 전기 전도성 물질로서 구리 (Cu) 를 도입함에 따라, 반도체 기판 상에서 집적 회로 (IC) 인터커넥트를 형성하기 위한 정교한 다단계 제조 방법이 개발되었으며, 이것은, 예를 들어 화학적 증착 (CVD), 전기 도금, 포토리소그래피, 습식 에칭 또는 건식 에칭 기술, 화학적-기계적 연마 (CMP), 뿐만 아니라, 예를 들어 처리된 반도체 기판의 표면으로부터 이전 물질 제거 단계로부터의 잔류물을 제거하기 위한 여러 세정 단계를 사용하여, 전기 전도성 및 절연성 (유전체) 물질의 연속적인 층을 선택적으로 생성 및 제거하기 위한 다양한 방법을 포함한다. 최근에, 또한 코발트 금속은, 예를 들어 반도체 장치의 제조 방법을 개선하기 위해서, 장벽 층 또는 시드 층에서 사용하기 위해, 및/또는 구리 인터커넥트를 캡슐화하기 위해, 반도체 산업에서 많은 관심을 끌고 있다.
한가지 이러한 다단계 제조 공정은, TFVL ("트렌치-퍼스트-비아-라스트 (trench-first-via-last)") 듀얼 다마신 공정, VFTL ("비아-퍼스트-트렌치-라스트 (via-first-trench-last)") 듀얼 다마신 공정, 자기-정렬 듀얼 다마신 공정 또는 금속 하드 마스크를 사용한 듀얼 다마신 패터닝 공정 (후자에 대해서는, 예를 들어 문헌 US 6,696,222 참조) 을 포함하는 듀얼 다마신 공정과 같은, 이의 변형을 갖는 다마신 제조 공정으로서 알려져 있다.
다마신 처리 기술에 있어서, 원하는 IC 인터커넥트 구조는 구조의 형상을 하부의 층간 유전체 ("ILD") 물질에 에칭함으로써 패터닝된다. 패터닝 후, 전형적으로 얇은 장벽 층 (예를 들어, Ta/TaN, TiN, CoWP, NiMoP 또는 NiMoB 로 제조됨) 은 에칭된 구조의 상부 상에 구리 확산 장벽으로서 침착된다. 이 장벽 층의 상부 상에, 시드 층이 종종 침착되어, 하부의 물질 상에 구리의 보다 양호한 접착을 지지하고, 뿐만 아니라, 도금 공정 동안에 촉매 물질로서 작용한다. 이들 시드 층에 대한 전형적인 물질은 Pd, Co 를 포함하는 화합물, 또는 예를 들어 중합체 및 유기 물질의 다른 화합물이다. 원래의 침착 공정 (다마신 공정) 은 각각의 층을 자체적으로 처리하도록 설계되었다. 그러므로, 소위 "버티컬 인터커넥트 액세스" ("vias") 및 금속화 레벨은 상이한 공정 단계를 가지며, 각각의 층에 대한 세정, 물질 침착, CMP, 및 또다른 세정 단계의 순서를 요구한다. 이의 금속화 레벨, 뿐만 아니라, 이의 ILD 및 바이아 간 유전체 ("IVD") 에 대해 이 순서를 사용하는 구리 기술은 종종 "단일 다마신 공정" 이라고 한다. 전형적으로, 단일 다마신 공정에 있어서, 각각의 레벨은 자체의 캡 층 또는 에칭-정지 층, 별도의 ILD 층을 필요로 하며, 최상부에는, 인터커넥트 금속 구리와 함께 연마될 수 있는 물질 - 예를 들어 SiO2 - 이 필요하다. 대안적으로, 듀얼 다마신 처리 기술은 특정의 유사한 공정 단계를 하나의 단일 공정 단계로 조합함으로써, BEOL 스택을 구축하는데 필요한 공정 단계의 수와 시간 및 비용을 감소시킨다. 그러므로, 듀얼 다마신 공정은 IVD 및 금속화 층을 한번에 형성한다.
상기 다마신 제조 공정 또는 이의 변형에 있어서, 전기 전도성 마스크 (또는 "하드 마스크") 는 종종 특정한 에칭 단계 동안에 하나 이상의 하부 층, 예를 들어 저-k 물질과 같은 유전체 물질의 하부 층을 보호하기 위해서 사용된다. 이러한 전기 전도성 ("금속") 마스크 (또는 "하드 마스크") 는 통상적으로 예를 들어 Ti, TiN, Ta, TaN, Al, HfOx (즉, 산화 하프늄) 또는 AlCu 를 포함하는 층의 형태로 침착된다. 예를 들어, 금속 하드 마스크를 사용한 듀얼 다마신 패터닝 공정에 있어서, 유전체 (저-k) 물질 상에 침착된 금속 층은 제 2 에칭 단계에 대한 하드 마스크로서 기능한다.
반도체 웨이퍼 상에서 구조를 추가로 최소화하기 위한 지속적인 요구로 인해, 제조사는 새로운 도전에 직면하고 있다: 예를 들어, 반도체 웨이퍼상에서 20 nm 구조 또는 20 nm 미만 구조를 제조하기 위한 또는 10 nm 구조 또는 10 nm 미만 구조를 제조하기 위한 통합 체계와 같은, 반도체 웨이퍼 상에서 구조를 추가로 최소화하기 위한 통합 체계에 있어서, 바람직하게는 금속 하드 마스크, 종종 TiN 하드 마스크를 사용하여 바이아 생성이 수행되고, 금속 (예를 들어, TiN) 하드 마스크 아래에 위치하는 (및 임의로 추가의 층, 예를 들어 비-금속 하드 마스크 또는 결합 층에 의해 금속/TiN 하드 마스크로부터 분리되는) 저-k 물질을 제거하기 위한 후속 건조 에칭 단계가 수행된다. 생성되는 바이아의 바닥에서 하부의 구리 및/또는 코발트를 보호하기 위해, 얇은 에칭-정지 층이 통상적으로 구리 및/또는 코발트 상에 (즉, 구리 및/또는 코발트 금속의 표면 상에) 침착된다. 이 얇은 에칭-정지 층은 종종 알루미늄 화합물을 포함하거나 또는 이것으로 이루어지며, 30 nm 이하, 특히 20 nm 이하, 보다 특히 10 nm 이하, 또는 심지어 5 nm 이하의 최대 두께를 가질 수 있다.
제조 방법을 진행하기 위해서, 하기의 물질이 제거되어야 한다: 1) 금속 (예를 들어, TiN) 하드 마스크; 2) 여전히 바이아 내에 있는 임의의 중합체 잔류물; 및 3) 에칭-정지 층. 동시에, 저-k 물질, 구리 금속 및/또는 코발트 금속과 같은 물질은 에칭되지 않아야 한다. 이러한 3 중의 물질 제거는 - 동시에 저-k 물질, 구리 금속 및/또는 코발트 금속의 층을 가능한 최대한의 정도로 보존하면서 - 1 단계 공정 또는 2 단계 공정에 의해 달성될 수 있다.
상기 1 단계 공정에 있어서, 상기 3 개의 제거 단계 모두는 통상적으로 과산화수소와 같은 산화제를 포함하는 적합한 조성물을 적용함으로써 동시에 수행된다.
상기 2 단계 공정에 있어서, 제 1 단계에서, 통상적으로 과산화수소와 같은 산화제를 포함하는 조성물을 적용함으로써, 금속 (예를 들어, TiN) 하드 마스크를 통상적으로 이전의 제조 단계로부터의 잔류물, 예를 들어 중합체 잔류물과 함께 제거한다. 상기 조성물은 저-k 물질의 층 또는 에칭-정지 층, 구체적으로 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 에칭-정지 층을 손상시키지 않아야 한다. 제 2 단계에서, 적합한 조성물을 적용함으로써 에칭-정지 층을 제거해야 한다. 전형적으로, 이 제 2 단계에서, 여전히 바이아 내에 있는 중합체 잔류물이 또한 제거된다 (상기 참조).
이 제 2 단계에서 사용되는 조성물은 얇은 에칭-정지 층, 구체적으로 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 에칭-정지 층을 제거해야 하며, 저-k 물질, 구리 금속 및/또는 코발트 금속의 층을 손상시키지 않아야 한다 - 또는 가능한 최소한의 정도로만 손상시킴 -. 이 목적을 위해, 상기 제 2 단계에서 사용되는 조성물은 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 층, 심지어 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 얇은 또는 매우 얇은 층의 매우 제어되고 특이적인 에칭을 허용하는 특성을 가지며, 동시에 또한 존재할 수 있는 저-k 물질, 구리 금속 및/또는 코발트 금속의 층을 손상시키지 않는 것이 필요하다. 그러므로, 본 문맥에서 정의한 바와 같은 본 발명에 따른 조성물은 바람직하게는 상기 2-단계 공정의 상기 제 2 단계에서 적용된다.
산화 알루미늄의 유전체 필름은 일반적으로 산성 및 염기성 매질 중에서 습식 에칭에 의해 제거될 수 있는 것으로 알려져 있다 (예를 들어, B. Zhou et al., J. Electrochem. Soc. Vol. 143 (2) 619-623 (1996) 또는 J. Oh et al. J. Electrochem. Soc. Vol. 156 (4) D217-D222 (2011) 참조); 그러나, 알루미늄 화합물, 예를 들어 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 얇은 또는 매우 얇은 에칭-정지 층을 에칭하는데 요구되는 에칭 속도 정밀도 및 신뢰성은 기재되어 있지 않다.
문헌 WO 03/035797 은 반도체 기판 상의 무기 잔류물을 세정하기 위한 구리-특이적 부식 억제제를 함유하는 수성 세정 조성물에 관한 것이다.
문헌 WO 2012/009639 A2 는 에칭 후 잔류물의 제거를 위한 수성 세정제에 관한 것이다.
문헌 US 2004/061092 는 알루미나의 선택적 제거를 위한 습식 에칭에 관한 것이다.
문헌 US 2010/0075478 은 패턴 레지스트 제거 방법에 관한 것이다.
문헌 WO 2009/064336 은 반도체 기판으로부터 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물을 교시한다.
문헌 WO 2004/030038 A2 는 에칭 잔류물을 제거하기 위한 조성물 기판 및 이의 용도에 관한 것이다.
문헌 US 2012/0052686 은 세정 조성물 및 이것을 사용한 다마신 가공에 관한 것이다.
그러므로, 본 발명의 주요 목적은 알루미늄 화합물의 얇은 또는 매우 얇은 층의 경우에도 매우 제어되고 특이적인 에칭을 허용하는 특성을 가지며, 동시에 또한 존재하는 저-k 물질의 층 및/또는 구리 금속 및/또는 코발트 금속을 포함하는 층을 손상시키지 않거나 또는 유의하게 손상시키지 않는, 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물의 층을 선택적으로 에칭하기 위한 조성물을 제공하는 것이었다.
본 발명의 또다른 특정한 목적은 알루미늄 화합물의 층을 저-k 물질, 구리 금속 및/또는 코발트 금속의 존재하에서 선택적으로 에칭하는 반도체 장치의 제조 방법을 제공하는 것이었다. 본 발명의 또다른 목적은 본 발명의 상세한 설명 및 첨부된 청구범위에서 개시되거나 또는 이로부터 명백해진다.
본 발명의 주요 목적 및 다른 목적은 조성물이 하기의 것을 포함하는 (즉, 하나 이상의 추가의 물질이 존재할 수 있음), 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 층, 바람직하게는 산화 알루미늄을 포함하는 층을 선택적으로 에칭하기 위한 조성물에 의해 달성되는 것으로 이제 밝혀졌다:
(A) 하기로 이루어진 군에서 선택되는 하나 이상의 가용화제:
- 화학식 I 의 화합물:
(식 중, R1 은 하기로 이루어진 군에서 선택된다:
- 수소 및
- -C(O)-R2 (R2 는 수소 및 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬로 이루어진 군에서 선택된다)),
- 화학식 II 의 화합물:
(식 중, R3 은 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬이다),
- 트리메틸아민-N-옥사이드,
- 트리에틸아민-N-옥사이드,
- 트리에탄올아민-N-옥사이드,
- 피리딘-N-옥사이드,
- N-에틸피롤리딘-N-옥사이드, 및
- 이의 혼합물;
(B) 바람직하게는 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되는 플루오라이드 음이온을 포함하는 하나 이상의 에칭제;
(C) 하기로 이루어진 군에서 선택되는 하나 이상의 부식 억제제:
미치환되거나 또는 C1-4-알킬, 아미노-C1-4-알킬, 페닐, 티오페닐, 할로겐, 히드록시, 니트로 및/또는 티올로 독립적으로 1 회 또는 2 회 치환되는 벤조트리아졸;
에틸렌 우레아, 에틸렌 티오우레아, 1,2,4-트리아졸, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4-트리아졸, 3,5-디아미노-1,2,4-트리아졸, 톨릴트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 나프토트리아졸, 1H-테트라졸-5-아세트산, 1-페닐-2-테트라졸린-5-티온, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 2H-이미다졸-2-티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인다졸, 아데닌, 시토신, 구아닌, 티민, 2,2'-아잔디일디아세트산, 프로판티올, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 요산, 글리신, 도데실포스폰산, 옥살산, 말론산, 숙신산, 니트릴로트리아세트산;
및 이의 혼합물;
(D) 히스티딘, 바람직하게는 L-히스티딘; 1,2-시클로헥실렌디니트릴로테트라아세트산, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온, 아세틸아세토네이트, 2,2'-아잔디일디아세트산, 에틸렌디아민테트라아세트산, 에티드론산, 메탄술폰산, 아세틸아세톤, 1,1,1-트리플루오로-2,4-펜탄디온, 1,4-벤조퀴논, 8-히드록시퀴놀린, 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논, 2-(2-히드록시페닐)-벤족사졸, 2-(2-히드록시페닐)-벤조티아졸, 히드록시퀴놀린 술폰산, 술포살리실산, 살리실산, 피리딘, 2-에틸피리딘, 2-메톡시피리딘, 3-메톡시피리딘, 2-피콜린, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 펜타메틸디에틸렌트리아민, 아세토아세트아미드, 암모늄 카르바메이트, 암모늄 피롤리딘디티오카르바메이트, 디메틸 말로네이트, 메틸 아세토아세테이트, N-메틸 아세토아세트아미드, 테트라메틸암모늄 티오벤조에이트, 2,2,6,6-테트라메틸-3,5-헵탄디온, 테트라메틸티우람 디술파이드, 락트산, 암모늄 락테이트, 포름산, 프로피온산, 감마-부티로락톤, 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 킬레이트제;
(G) 물.
본 발명에 따른 조성물이 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 층, 심지어 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 얇은 또는 매우 얇은 층의 매우 제어되고 특이적인 에칭을 허용하는데 적합하며, 동시에 또한 존재하는 저-k 물질, 구리 금속 및/또는 코발트 금속의 층을 손상시키지 않거나 또는 유의하게 손상시키지 않는다는 것은 특히 놀라웠다.
본 발명, 뿐만 아니라, 바람직한 구현예, 및 매개변수, 특성 및 이의 요소의 바람직한 조합은 첨부된 청구범위에서 정의된다. 본 발명의 바람직한 양태, 세부사항, 변형 및 이점은 또한 하기의 상세한 설명 및 하기에서 언급하는 실시예에서 정의되고 설명된다.
본 발명의 문맥에 있어서, "알루미늄 화합물" 은 산화 알루미늄 ("AlOx"), 질화 알루미늄, 옥시질화 알루미늄 ("AlON") 및 옥시질화탄소 알루미늄 ("AlCNO") 으로 이루어진 군에서 선택되는 하나 이상의 화합물을 포함한다. 바람직하게는, 알루미늄 화합물은 또한 불소를 포함한다. 알루미늄 화합물의 정확한 조성 및 본원에서 정의한 바와 같은 알루미늄 화합물에 존재하는 원소 알루미늄, 산소, 질소, 탄소 및/또는 불소의 정확한 함량 또는 비율은, 예를 들어 상기 알루미늄 화합물을 포함하는 반도체 장치의 전-처리의 종류에 따라 변할 수 있다.
본 발명의 문맥에 있어서, "알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 층" 은 바람직하게는 에칭-정지 층, 보다 바람직하게는 구리 층 및/또는 코발트 층, 바람직하게는 구리 층 또는 코발트 층 상에 침착된 에칭-정지 층을 의미한다.
본원에서 언급된 바와 같은 에칭-정지 층은 - 당해 분야에서의 통상적인 의미와 일치 - 마이크로 전자 장치, 특히 반도체 웨이퍼의 표면을 구조화하기 위한 통상적인 에칭 공정에 적용되는 조건하에서 에칭되지 않으며, 상기 에칭 공정에 잠재적으로 민감한 하부 물질, 예를 들어 구리 인터커넥트와 같은 구리 또는 다른 금속을 피복하고, 이로써 적용되는 에칭제의 바람직하지 않은 공격으로부터 보호하는 물질의 층이다. 에칭 공정 후, 에칭-정지 층은 에칭-정지 층의 물질에 특이적인 조건하에서 제거될 수 있으며, 이러한 제거는 또한 하부 물질에 부정적인 영향을 미치지 않을 것이다.
본 발명의 문맥에 있어서, "저-k 물질" 은 바람직하게는 유전 상수 κ < 3.9 를 갖는 물질이고,
및/또는 (바람직하게는 "및")
(i) 규소-함유 물질, 바람직하게는 하기의 것으로 이루어진 군에서 선택되는 것: SiO2, 옥시탄화 규소 (SiOC), 테트라에틸오르토실리케이트 (TEOS), 붕소-도핑된 포스포실리케이트 유리 (BPSG), 불소-도핑된 이산화 규소 (플루오로실리케이트 유리, FSG), 탄소-도핑된 이산화 규소, 유기 실리케이트 유리 (OSG), 탄소-도핑된 옥사이드 (CDO), 다공성 이산화 규소, 다공성 탄소-도핑된 이산화 규소 및 스핀-온 규소 중합체 물질, 바람직하게는 수소 실세스퀴옥산 (HSQ) 및 메틸실세스퀴옥산 (MSQ); 바람직하게는 유기 실록산 (즉, 탄소-규소 결합을 포함하는 실록산); 및 바람직하게는 유기 실란 (즉, 탄소-규소 결합을 포함하는 실란); 및 (ii) 중합체 물질, 바람직하게는 하기의 것으로 이루어진 군에서 선택되는 것: 스핀-온 유기 중합체 유전체, 바람직하게는 폴리이미드 (PI) 를 포함하는 것; 폴리노르보르넨; 벤조시클로부텐 및 폴리테트라플루오로에틸렌 (PTFE) 으로 이루어진 군에서 선택된다.
본 발명의 문맥에 있어서, 용어 "선택적으로 에칭" (또는 "선택적 에칭 속도") 은 바람직하게는 본 발명에 따른 조성물을 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층, 바람직하게는 구리를 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 층에 적용할 때, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 상기 조성물의 에칭 속도가 상기 저-k 물질 및/또는 구리 및/또는 코발트를 포함하는 상기 층, 바람직하게는 구리를 포함하는 상기 층에 대한 상기 조성물의 에칭 속도의 10 배 이상, 바람직하게는 100 배 이상인 것을 의미한다.
본 발명의 문맥에 있어서, 용어 "코발트 층의 존재하에서 선택적으로 에칭" (또는 "코발트 층의 존재하에서 선택적 에칭 속도" 또는 동등한 표현) 은 바람직하게는 본 발명에 따른 조성물을 저-k 물질의 층 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 층에 적용할 때, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 상기 조성물의 에칭 속도가 상기 저-k 물질 및/또는 코발트를 포함하는 상기 층에 대한 상기 조성물의 에칭 속도의 2 배 이상, 바람직하게는 3 배 이상인 것을 의미한다. 당해 분야에 공지된 바와 같이, 코발트 또는 코발트를 포함하는 층은 통상적으로 구리 또는 구리를 포함하는 층보다, 본원에서 기술된 또는 언급된 유형의 에칭 공정에 더 민감하다.
상기에서 정의한 바와 같은 본 발명 (모든 변형) 에 따른 조성물에 있어서, 하나 이상의 가용화제 (A), 플루오라이드 음이온을 포함하는 하나 이상의 에칭제 (B), 하나 이상의 부식 억제제 (C) 및 하나 이상의 킬레이트제 (D) 는 일반적으로 각 경우에 단독으로 (하나의 단일 화합물로서) 사용될 수 있거나, 또는 동일한 유형의 다른 화합물 (해당하는 경우, 각각 가용화제, 에칭제, 부식 억제제 또는 킬레이트제) 과 함께 사용될 수 있다.
본 발명에 따른 조성물의 하나 이상의 가용화제가 화학식 I 의 화합물을 포함하는 성분 (A) 에 있어서, R1 은 바람직하게는 기 -C(O)-R2 (R2 는 수소 및 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬로 이루어진 군에서 선택된다) 이다. R2 가 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬인 경우, 이것은 메틸, 에틸 및 분지형 및 비분지형 프로필 및 부틸을 포함한다. 바람직하게는, R2 는 수소이다. N-포르밀모르폴린 (CAS RN 4394-85-8, 본 문맥에서 "NFM" 이라고도 함) 은 본 발명에 따른 조성물에 사용하기 위한 화학식 I 의 특히 바람직한 화합물이다.
본 발명에 따른 조성물의 하나 이상의 가용화제가 화학식 II 의 화합물을 포함하는 성분 (A) 에 있어서, R3 은 메틸, 에틸 및 분지형 및 비분지형 프로필 및 부틸을 포함한다. 바람직하게는, R3 은 메틸이다. 4-메틸모르폴린-4-옥사이드 (본 문맥에서 "4-MM-4-O" 라고도 하며, N-메틸모르폴린-N-옥사이드로도 알려짐, CAS RN 7529-22-8) 는 본 발명에 따른 조성물에 사용하기 위한 화학식 II 의 특히 바람직한 화합물이다. 화학식 II 의 화합물의 이러한 정의는 수화물, 구체적으로 4-메틸모르폴린-4-옥사이드의 일수화물 (CAS RN 70187-32-5), 화학식 II 의 화합물의 이성질체 및 호변 이성질체를 포함한다.
본 발명 (모든 변형) 에 따른 조성물의 성분 (B) 에 있어서, 플루오라이드 음이온을 포함하는 하나 이상의 에칭제는 하나 이상의 플루오라이드 음이온을 포함할 수 있거나, 또는 물과 접촉시 하나 이상의 플루오라이드 음이온을 제공할 수 있다. 따라서, 하나 이상의 성분 (B) 가 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되고; 바람직하게는 하나 이상의 성분 (B) 가 암모늄 플루오라이드 (CAS RN 12125-01-8) 이며, 성분 (B) 가 보다 바람직하게는 암모늄 플루오라이드인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 바람직하다.
성분 (B) 로서 암모늄 플루오라이드를 포함하는 본 발명에 따른 조성물은 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물, 특히 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 안정적이고 재현 가능한 제어된 (선택적) 에칭 속도를 나타냈다.
성분 (C) 가 미치환되거나 또는 치환되는 벤조트리아졸 (상기에서 정의한 바와 같음) 을 포함하는 경우, 이 정의는 독립적으로 상기에서 정의한 바와 같이 미치환될 수 있거나 또는 치환될 수 있는 하나의 이러한 벤조트리아졸 및 복수의 이러한 벤조트리아졸을 포함하고, 이러한 벤조트리아졸의 혼합물을 포함한다.
성분 (C) 가 C1-4-알킬 또는 아미노-C1-4-알킬로 1 회 또는 2 회 독립적으로 치환되는 벤조트리아졸을 포함하는 경우, "C1-4-알킬" 은 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬을 의미하고, 메틸, 에틸 및 분지형 및 비분지형 프로필 및 부틸을 포함한다. 성분 (C) 가 C1-4-알킬로 치환되는 벤조트리아졸을 포함하는 경우, 메틸이 바람직하다. 이 정의에 포함되는 특정한 화합물은 5-메틸벤조트리아졸 및 6-메틸벤조트리아졸이다 (적용 가능한 명명법에 대해서는, 하기를 참조한다). 성분 (C) 가 아미노-C1-4-알킬로 치환되는 벤조트리아졸을 포함하는 경우, 2-(5-아미노-펜틸)이 바람직하다.
성분 (C) 가 할로겐으로 1 회 또는 2 회 독립적으로 치환되는 벤조트리아졸을 포함하는 경우, 상기 할로겐은 불소, 염소, 브롬 및 요오드에서 선택된다. 염소로 치환된, 바람직하게는 염소로 1 회 치환된 벤조트리아졸이 바람직하다. 성분 (C) 가 할로겐 (바람직하게는 상기에서 이미 정의한 바와 같음) 으로 치환되는 벤조트리아졸을 포함하는 경우, 이것은 또한 본 문맥에서 "할로벤조트리아졸" 로서 지칭된다.
성분 (C) 가 미치환되거나 또는 C1-4-알킬, 아미노-C1-4-알킬, 페닐, 티오페닐, 할로겐, 히드록시, 니트로 및/또는 티올로 독립적으로 1 회 또는 2 회 치환되는 벤조트리아졸을 포함하는 경우, 이 정의는 구체적으로 화합물 벤조트리아졸, 6-메틸-벤조트리아졸 (6-Me-BTA), 5-메틸-벤조트리아졸 (5-Me-BTA), 1-히드록시벤조트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 5-페닐티올-벤조트리아졸, 할로벤조트리아졸 (여기에서, 할로는 F, Cl, Br 및 I 로 이루어진 군에서 선택된다) 및 2-메르캅토벤조티아졸을 포함한다.
기술 분야에 공지된 바와 같이, 벤조트리아졸에서, 위치 (즉, 고리 질소 원자) 1 과 2 사이의 결합 및 위치 (즉, 고리 질소 원자) 2 와 3 사이의 결합은 동일한 결합 특성을 갖는 것으로 입증되었다. 벤조트리아졸 구조의 질소-함유 고리에서의 하나의 질소 원자에 부착된 프로톤은 존재하는 임의의 3 개의 고리 질소 원자에 단단히 결합하지 않고, 오히려 위치 1 과 3 사이에서 빠르게 이동하여 호변 이성질체를 생성한다.
그러므로, 본 발명의 목적을 위해, 상기에서 사용된 바와 같은 명칭 "5-메틸-2H-벤조트리아졸" 은 이 화합물 및 이의 모든 호변 이성질체, 구체적으로 "5-메틸-벤조트리아졸", "6-메틸-벤조트리아졸" (CAS RN 49636-02-4), "6-메틸-1H-벤조[d][1.2.3]트리아졸", "5-메틸-1H-벤조[d][1.2.3]트리아졸" 및 "5-메틸-2H-벤조[d][1.2.3]트리아졸" 로서 알려진 화합물을 포함한다. 또한, 그 반대로, 각 경우에 "5-메틸-2H-벤조트리아졸", "5-메틸-벤조트리아졸", "6-메틸-벤조트리아졸", "6-메틸-1H-벤조[d][1.2.3]트리아졸", "5-메틸-1H-벤조[d][1.2.3]트리아졸", "5-메틸-2H-벤조[d][1.2.3]트리아졸", "5-Me-BTA" 또는 "6-Me-BTA" 로서 본 문맥에서 언급된 화합물은 화합물 "5-메틸-2H-벤조트리아졸 및 이의 모든 호변 이성질체" 와 동일한 의미를 가진다.
상응하게, 본 발명의 목적을 위해, 본 문맥에서 사용된 바와 같은 명칭 "5-클로로-2H-벤조트리아졸" 은 이 화합물 및 이의 모든 호변 이성질체, 구체적으로 "5-클로로-벤조트리아졸" (CAS RN 94-97-3), "6-클로로-벤조트리아졸", "6-클로로-1H-벤조[d][1.2.3]트리아졸", "5-클로로-1H-벤조[d][1.2.3]트리아졸" 및 "5-클로로-2H-벤조[d][1.2.3]트리아졸" 로서 알려진 화합물을 포함한다. 또한, 그 반대로, 각 경우에 "5-클로로-2H-벤조트리아졸", "5-클로로-벤조트리아졸", "6-클로로-벤조트리아졸", "6-클로로-1H-벤조[d][1.2.3]트리아졸", "5-클로로-1H-벤조[d][1.2.3]트리아졸", "5-클로로-2H-벤조[d][1.2.3]트리아졸", "5-Cl-BTA" 또는 "6-Cl-BTA" 로서 본 문맥에서 언급된 화합물은 화합물 "5-클로로-2H-벤조트리아졸 및 이의 모든 호변 이성질체" 와 동일한 의미를 가진다. 이 정의는 본 문맥에서 정의된 또는 언급된 바와 같은 다른 벤조트리아졸, 특히 치환된 벤조트리아졸에 준용된다.
미치환되거나 또는 C1-4-알킬, 바람직하게는 메틸 및/또는 (바람직하게는 "또는") 할로겐으로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸이 바람직하다. 본 발명의 특히 바람직한 변형에 있어서, 성분 (C) 는 미치환된 벤조트리아졸 (BTA), 5-메틸-2H-벤조트리아졸, 5-클로로-2H-벤조트리아졸 및 이의 혼합물로 이루어진 군에서 선택된다.
하기와 같은, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 바람직하다:
- 하나 이상의 성분 (A) 는
- 상기에서 정의한 바와 같은 화학식 I 의 화합물 (또는 상기에서 정의한 바와 같은 화학식 I 의 바람직한 화합물),
- 상기에서 정의한 바와 같은 화학식 II 의 화합물 (또는 상기에서 정의한 바와 같은 화학식 II 의 바람직한 화합물)
로 이루어진 군에서 선택되고;
및/또는
- 성분 (B) 는 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되고,
바람직하게는, 하나 이상의 성분 (B) 는 암모늄 플루오라이드이고, 보다 바람직하게는 성분 (B) 는 암모늄 플루오라이드이며;
및/또는
- 하나 이상의 성분 (C) 는
- 미치환되거나 또는 C1-4-알킬, 아미노-C1-4-알킬, 페닐; 티오페닐; 할로겐, 히드록시, 니트로 및/또는 (바람직하게는 "또는") 티올로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸,
바람직하게는 미치환되거나 또는 C1-4-알킬 및/또는 (바람직하게는 "또는") 할로겐으로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸,
- 숙신산,
- 이의 혼합물
로 이루어진 군에서 선택된다.
하기와 같은, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 또한 바람직하다:
- 하나 이상의 성분 (D) 는 히스티딘, 바람직하게는 L-히스티딘; 1,2-시클로헥실렌디니트릴로테트라아세트산, 및 이의 혼합물로 이루어진 군에서 선택되고,
및/또는
- 조성물은 추가의 성분으로서 하기의 것을 포함한다:
(E) 하나 이상의 계면활성제.
상기에서 정의한 바와 같은 본 발명에 따른 조성물에 있어서, 하나 이상의 계면활성제 (E) 는 일반적으로 (존재하는 경우) 각 경우에 단독으로 (하나의 단일 화합물로서) 사용될 수 있거나, 또는 동일한 유형의 다른 화합물과 함께 사용될 수 있다.
하기와 같은, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 더욱 바람직하다:
- 조성물의 pH 는 3.5 내지 8 의 범위이고,
및/또는
- 조성물은 추가의 성분으로서 하기의 것을 포함한다:
(F) 조성물의 pH 를 3.5 내지 8 의 범위로 완충시키는데 적합한 완충 시스템.
하기의 것을 포함하는 (즉, 하나 이상의 추가의 물질이 존재할 수 있음), 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 또한 바람직하다:
(A) 하기로 이루어진 군에서 선택되는 하나 이상의 가용화제:
- 상기에서 정의한 바와 같은 화학식 I 의 화합물 (또는 상기에서 정의한 바와 같은 화학식 I 의 바람직한 화합물),
- 상기에서 정의한 바와 같은 화학식 II 의 화합물 (또는 상기에서 정의한 바와 같은 화학식 II 의 바람직한 화합물);
(B) 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 에칭제,
바람직하게는 하나 이상의 에칭제 성분 (B) 는 암모늄 플루오라이드이고, 보다 바람직하게는 에칭제 성분 (B) 는 암모늄 플루오라이드임;
(C) 하기로 이루어진 군에서 선택되는 하나 이상의 부식 억제제:
- 미치환되거나 또는 C1-4-알킬, 아미노-C1-4-알킬, 페닐, 티오페닐, 할로겐, 히드록시, 니트로 및/또는 (바람직하게는 "또는") 티올로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸;
바람직하게는 미치환되거나 또는 C1-4-알킬 및/또는 (바람직하게는 "또는") 할로겐으로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸;
- 숙신산;
- 이의 혼합물;
(D) 히스티딘, 1,2-시클로헥실렌디니트릴로테트라아세트산 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 킬레이트제;
(G) 물;
조성물의 pH 는 3.5 내지 8 의 범위임.
제 1 의 바람직한 변형에 있어서, 본 발명에 따른 조성물은, 조성물이 하기의 것을 포함하는 (즉, 하나 이상의 추가의 물질이 존재할 수 있음) 또는 이것으로 이루어지는 (즉, 하기 본원에서 정의한 바와 같은 성분 (A) 내지 (G) 이외에, 추가의 물질이 존재하지 않음), 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 바람직하게는 저-k 물질 및/또는 구리 층의 존재하에서, 알루미늄 화합물을 포함하는 층, 바람직하게는 산화 알루미늄을 포함하는 층을 선택적으로 에칭하기 위한 조성물에 관한 것이다:
(A) 4-메틸모르폴린-4-옥사이드, 트리메틸아민-N-옥사이드, 트리에틸아민-N-옥사이드, 트리에탄올아민-N-옥사이드, 피리딘-N-옥사이드, N-에틸모르폴린-N-옥사이드, N-에틸피롤리딘-N-옥사이드 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 가용화제;
(B) 바람직하게는 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되는 플루오라이드 음이온을 포함하는 하나 이상의 에칭제;
(C) 벤조트리아졸, (6-메틸-벤조트리아졸 (6-Me-BTA)), 5-메틸-벤조트리아졸, 에틸렌 우레아, 에틸렌 티오우레아, 1,2,4-트리아졸, 5-아미노테트라졸, 1-히드록시벤조트리아졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4-트리아졸, 3,5-디아미노-1,2,4-트리아졸, 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로벤조트리아졸 (여기에서, 할로는 F, Cl, Br 및 I 로 이루어진 군에서 선택된다), 나프토트리아졸, 1H-테트라졸-5-아세트산, 2-메르캅토벤조티아졸, 1-페닐-2-테트라졸린-5-티온, 2-메르캅토벤즈이미다졸, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 2H-이미다졸-2-티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인다졸, 아데닌, 시토신, 구아닌, 티민, 2,2'-아잔디일디아세트산, 프로판티올, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 요산, 글리신, 도데실포스폰산, 옥살산, 말론산, 숙신산, 니트릴로트리아세트산 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 부식 억제제;
(D) 1,2-시클로헥실렌디니트릴로테트라아세트산, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온, 아세틸아세토네이트, 2,2'-아잔디일디아세트산, 에틸렌디아민테트라아세트산, 에티드론산, 메탄술폰산, 아세틸아세톤, 1,1,1-트리플루오로-2,4-펜탄디온, 1,4-벤조퀴논, 8-히드록시퀴놀린, 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논, 2-(2-히드록시페닐)-벤족사졸, 2-(2-히드록시페닐)-벤조티아졸, 히드록시퀴놀린 술폰산, 술포살리실산, 살리실산, 피리딘, 2-에틸피리딘, 2-메톡시피리딘, 3-메톡시피리딘, 2-피콜린, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 펜타메틸디에틸렌트리아민, 아세토아세트아미드, 암모늄 카르바메이트, 암모늄 피롤리딘디티오카르바메이트, 디메틸 말로네이트, 메틸 아세토아세테이트, N-메틸 아세토아세트아미드, 테트라메틸암모늄 티오벤조에이트, 2,2,6,6-테트라메틸-3,5-헵탄디온, 테트라메틸티우람 디술파이드, 락트산, 암모늄 락테이트, 포름산, 프로피온산, 감마-부티로락톤, 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 킬레이트제;
(E) 하나 이상의 계면활성제;
(F) 조성물의 pH 를 6 내지 8 의 범위, 바람직하게는 6.4 내지 7.5 의 범위로 완충시키는데 적합한 완충 시스템; 및
(G) 물;
조성물의 pH 는 6 내지 8 의 범위, 바람직하게는 6.4 내지 7.5 의 범위임.
상기에서 정의한 바와 같은 본 발명에 따른 조성물에 있어서, 구체적으로 본 발명의 제 1 의 바람직한 변형에 따른 조성물에 있어서, 하나 이상의 가용화제 (A), 플루오라이드 음이온을 포함하는 하나 이상의 에칭제 (B), 하나 이상의 부식 억제제 (C), 하나 이상의 킬레이트제 (D) 및 하나 이상의 계면활성제 (E) 는 일반적으로 각 경우에 단독으로 (하나의 단일 화합물로서) 사용될 수 있거나, 또는 동일한 유형의 다른 화합물 (해당하는 경우, 각각 가용화제, 에칭제, 부식 억제제, 킬레이트제 또는 계면활성제) 과 함께 사용될 수 있다.
완충 시스템 (F) 가 NaH2PO4 및 Na2HPO4 를 포함하는 포스페이트 완충제, 2-[4-(2-히드록시에틸)피페라진-1-일]에탄술폰산을 포함하는 HEPES 완충제, 트리스(히드록시메틸)-아미노메탄을 포함하는 TRIS 완충제, 암모늄 아세테이트 완충제 및 이의 혼합물로 이루어진 군에서 선택되고,
보다 바람직하게는 완충 시스템이 암모늄 아세테이트 완충제이거나 또는 이것으로 이루어지고, 가장 바람직하게는 암모늄 아세테이트 완충제이며,
및/또는
조성물의 pH 가 6.4 내지 7.5 의 범위, 바람직하게는 6.8 내지 7.5 의 범위, 보다 바람직하게는 7.0 내지 7.4 의 범위인,
본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물이 바람직하다.
본 발명의 제 1 의 바람직한 변형에 따른 상기에서 정의한 바람직한 조성물에 있어서, 단일 완충 시스템 (예를 들어, 바로 암모늄 아세테이트 완충제 또는 바로 HEPES 완충제) 의 사용이, 완충 시스템의 혼합물의 사용에 비해서 바람직하다. 상기 본원에서 정의한 바와 같은 상기 완충제 모두는 당업계에 공지되어 있으며, 당업자는 본 발명의 문맥에서 상기 완충제를 적용하고 조정하는 방법을 알고 있을 것이다. 6.4 내지 7.5 의 범위, 바람직하게는 6.8 내지 7.5 의 범위, 보다 바람직하게는 7.0 내지 7.4 의 범위의 pH 를 갖는 본 발명에 따른 조성물은 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 바람직하게는 저-k 물질 및/또는 구리 층의 존재하에서, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 특히 안정적이고 재현 가능한 제어된 (선택적) 에칭 속도를 나타냈다. 또한, 상기에서 언급한 본 발명에 따른 조성물은 6 개월 동안 특히 안정한, 예를 들어 보관-안정한 것으로 나타났다.
하나 이상의 성분 (A) 가 4-메틸모르폴린-4-옥사이드 (CAS RN 7529-22-8) 이고, 바람직하게는 성분 (A) 가 4-메틸모르폴린-4-옥사이드인, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 이 바람직하다.
성분 (A) 로서 4-메틸모르폴린-4-옥사이드를 포함하는 본 발명의 제 1 의 바람직한 변형에 따른 조성물은 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 특히 저-k 물질의 층 및/또는 구리 층의 존재하에서, 알루미늄 화합물, 특히 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 안정적이고 재현 가능한 선택적 에칭 속도를 나타냈다.
성분 (B) 로서 암모늄 플루오라이드를 포함하는 본 발명의 제 1 의 바람직한 변형에 따른 조성물은 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 특히 저-k 물질의 층 및/또는 구리 층의 존재하에서, 알루미늄 화합물, 특히 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 안정적이고 재현 가능한 제어된 (선택적) 에칭 속도를 나타냈다.
성분 (C) 가 벤조트리아졸 (CAS RN 95-14-7), 6-메틸-벤조트리아졸 (CAS RN 136-85-6) 및 벤조트리아졸과 6-메틸-벤조트리아졸의 조합물 (즉, 혼합물) 로 이루어진 군에서 선택되고, 바람직하게는 성분 (C) 가 벤조트리아졸과 6-메틸-벤조트리아졸의 조합물인, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다. 성분 (C) 로서 벤조트리아졸, 6-메틸-벤조트리아졸 및 벤조트리아졸과 6-메틸-벤조트리아졸의 조합물을 포함하는, 바람직하게는 성분 (C) 로서 벤조트리아졸과 6-메틸-벤조트리아졸의 조합물을 포함하는 본 발명의 제 1 의 바람직한 변형에 따른 조성물은 바람직한 특히 낮은 구리 에칭 속도와 관련이 있는 것으로 나타났다. 미치환된 및 치환된 벤조트리아졸의 명명법에 대해서는, 또한 상기를 참조한다.
성분 (D) 가 1,2-시클로헥실렌디니트릴로테트라아세트산 (CDTA; CAS RN 13291-61-7) 이거나 또는 CDTA 및 상기 다른 킬레이트제 중 하나 이상을 포함하는, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다. 성분 (D) 로서 CDTA 를 포함하는 본 발명의 제 1 의 바람직한 변형에 따른 조성물은 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 특히 저-k 물질의 층 및/또는 구리 층의 존재하에서, 알루미늄 화합물, 특히 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 안정적이고 재현 가능한 제어된 (선택적) 에칭 속도를 나타냈다: 반도체 웨이퍼 상에서의 상기 에칭 속도는 중앙-엣지 효과가 거의 또는 전혀없이, 단일 웨이퍼 상에서 및 상이한 웨이퍼 사이에서 균일한 것으로 밝혀졌다.
하나 이상의 계면활성제 (E) (존재하는 경우) 가 하기로 이루어진 군에서 선택되는, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물), 특히 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물이 또한 바람직하다:
(i) 바람직하게는 암모늄 라우릴 술페이트, 바람직하게는 퍼플루오르화된 알킬술폰아미드 염 (바람직하게는 퍼플루오르화된, N-치환된 알킬술폰아미드 암모늄 염, PNAAS), 퍼플루오로옥탄술포네이트, 퍼플루오로부탄술포네이트, 퍼플루오로노나노에이트 및 퍼플루오로옥타노에이트로 이루어진 군에서 선택되는 플루오로계면활성제; 알킬-아릴 에테르 포스페이트 및 알킬 에테르 포스페이트로 이루어진 군에서 선택되는 음이온성 계면활성제,
(ii) 바람직하게는 (3-[(3-콜라미도프로필)디메틸암모니오]-1-프로판술포네이트) ("CHAPS"), 코카미도프로필 히드록시술타인 (CAS RN 68139-30-0), {[3-(도데카노일아미노)프로필](디메틸)암모니오}아세테이트, 포스파티딜세린, 포스파티딜에탄올아민, 포스파티딜콜린으로 이루어진 군에서 선택되는 쯔비터 이온성 계면활성제, 및
(iii) 바람직하게는 글루코시드 알킬 에테르, 글리세롤 알킬 에테르, 코카미드 에탄올아민 및 라우릴디메틸아민옥사이드로 이루어진 군에서 선택되는 비이온성 계면활성제.
본 발명의 제 1 의 바람직한 변형에 따른 조성물에서의 보다 바람직한 계면활성제 (E) 는 퍼플루오르화된, N-치환된 알킬술폰아미드 암모늄 염이거나 또는 이것을 포함한다.
본 발명에 따른 조성물에서의 바람직한 계면활성제 (E) 는 금속 또는 금속 이온을 포함하지 않는다.
개별 경우에 있어서, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 은 임의적인 추가의 성분으로서 하기의 것을 추가로 포함할 수 있다:
(H) 바람직하게는 테트라히드로푸란 (THF), N-메틸피롤리돈 (NMP), 디메틸 포름아미드 (DMF), 디메틸 술폭시드 (DMSO), 에탄올, 이소프로판올, 부틸디글리콜, 부틸글리콜, 술폴란 (2,3,4,5-테트라히드로티오펜-1,1-디옥사이드) 및 이의 혼합물로 이루어진 군에서 선택되는; 보다 바람직하게는 THF, NMP, DMF, DMSO, 술폴란 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 수-혼화성 유기 용매.
본 발명의 문맥에서의 용어 "수-혼화성 유기 용매" 는 바람직하게는 이 요건을 충족시키는 유기 용매가 20 ℃ 및 주위 (대기) 압력에서 적어도 1:1 (w/w) 비의 물과 혼화성인 것을 의미한다. 바람직하게는, 하나 이상의 수-혼화성 유기 용매 (H) 는 술폴란이다. 하나 이상의 수-혼화성 유기 용매 (H) 를 포함하지 않는, 본 발명의 제 1 의 바람직한 변형에 따른 조성물이 보다 바람직하다.
바람직하다고 상기에서 정의한 바와 같은 성분 (A), (B), (C), (D), (E) 및 (F) 중 하나 이상 또는 모두가 조합되어, 최종의 (즉시 사용 가능한) 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (모든 성분 (A) 내지 (G) 를 포함함, 또는 성분 (H) 가 존재하는 덜 바람직한 경우에 있어서, 모든 성분 (A) 내지 (H) 를 포함함) 을 생성하는, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물이 특히 바람직하다.
제 2 의 바람직한 변형에 있어서, 본 발명에 따른 조성물은, 조성물이 하기의 것을 포함하는 (즉, 하나 이상의 추가의 물질이 존재할 수 있음) 또는 이것으로 이루어지는 (즉, 하기 본원에서 정의한 바와 같은 성분 (A) 내지 (D) 및 (G) 이외에, 추가의 물질이 존재하지 않음), 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 바람직하게는 저-k 물질 및/또는 코발트 층의 존재하에서, 알루미늄 화합물을 포함하는 층, 바람직하게는 산화 알루미늄을 포함하는 층을 선택적으로 에칭하기 위한 조성물에 관한 것이다:
(A) 하기로 이루어진 군에서 선택되는 하나 이상의 가용화제:
- 화학식 I 의 화합물 (상기에서 정의한 바와 같은 치환기 R1 및 R2 의 바람직한 의미를 가짐):
(식 중, R1 은 하기로 이루어진 군에서 선택된다:
- 수소 및
- -C(O)-R2 (R2 는 수소 및 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬로 이루어진 군에서 선택된다)),
- 화학식 II 의 화합물 (상기에서 정의한 바와 같은 치환기 R3 의 바람직한 의미를 가짐):
(식 중, R3 은 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬이다),
- 트리메틸아민-N-옥사이드,
- 트리에틸아민-N-옥사이드,
- 트리에탄올아민-N-옥사이드,
- 피리딘-N-옥사이드,
- N-에틸피롤리딘-N-옥사이드, 및
- 이의 혼합물;
(B) 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되는 플루오라이드 음이온을 포함하는 하나 이상의 에칭제;
(C) 하기로 이루어진 군에서 선택되는 하나 이상의 부식 억제제:
미치환되거나 또는 C1-4-알킬, 아미노-C1-4-알킬, 페닐, 티오페닐, 할로겐, 히드록시, 니트로 및/또는 티올로 독립적으로 1 회 또는 2 회 치환되는 벤조트리아졸;
에틸렌 우레아, 에틸렌 티오우레아, 1,2,4-트리아졸, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4-트리아졸, 3,5-디아미노-1,2,4-트리아졸, 톨릴트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 나프토트리아졸, 1H-테트라졸-5-아세트산, 1-페닐-2-테트라졸린-5-티온, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 2H-이미다졸-2-티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인다졸, 아데닌, 시토신, 구아닌, 티민, 2,2'-아잔디일디아세트산, 프로판티올, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 요산, 글리신, 도데실포스폰산, 옥살산, 말론산, 숙신산, 니트릴로트리아세트산;
및 이의 혼합물;
(D) 히스티딘, 바람직하게는 L-히스티딘; 1,2-시클로헥실렌디니트릴로테트라아세트산, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온, 아세틸아세토네이트, 2,2'-아잔디일디아세트산, 에틸렌디아민테트라아세트산, 에티드론산, 메탄술폰산, 아세틸아세톤, 1,1,1-트리플루오로-2,4-펜탄디온, 1,4-벤조퀴논, 8-히드록시퀴놀린, 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논, 2-(2-히드록시페닐)-벤족사졸, 2-(2-히드록시페닐)-벤조티아졸, 히드록시퀴놀린 술폰산, 술포살리실산, 살리실산, 피리딘, 2-에틸피리딘, 2-메톡시피리딘, 3-메톡시피리딘, 2-피콜린, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 펜타메틸디에틸렌트리아민, 아세토아세트아미드, 암모늄 카르바메이트, 암모늄 피롤리딘디티오카르바메이트, 디메틸 말로네이트, 메틸 아세토아세테이트, N-메틸 아세토아세트아미드, 테트라메틸암모늄 티오벤조에이트, 2,2,6,6-테트라메틸-3,5-헵탄디온, 테트라메틸티우람 디술파이드, 락트산, 암모늄 락테이트, 포름산, 프로피온산, 감마-부티로락톤, 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 킬레이트제;
(G) 물;
바람직하게는, 조성물의 pH 는 3.5 내지 6 의 범위, 바람직하게는 4.0 내지 5.5 의 범위임.
상기에서 정의한 바와 같은 본 발명에 따른 조성물에 있어서, 구체적으로 본 발명의 제 2 의 바람직한 변형에 따른 조성물에 있어서, 하나 이상의 가용화제 (A), 플루오라이드 음이온을 포함하는 하나 이상의 에칭제 (B), 하나 이상의 부식 억제제 (C) 및 하나 이상의 킬레이트제 (D) 는 일반적으로 각 경우에 단독으로 (하나의 단일 화합물로서) 사용될 수 있거나, 또는 동일한 유형의 다른 화합물 (해당하는 경우, 각각 가용화제, 에칭제, 부식 억제제 또는 킬레이트제) 과 함께 사용될 수 있다.
존재하는 하나 이상의 가용화제 성분 (즉, 상기에서 정의한 바와 같은 가용화제 성분, 바람직하게는 바람직한 가용화제 성분) (A) 의 총량이 조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위, 바람직하게는 2 내지 15 wt.-% 의 범위, 보다 바람직하게는 2 내지 12 wt.-% 의 범위이고; 일부 경우에 있어서, 바람직하게는 2 내지 6 wt.-% 의 범위인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물을 포함하는, 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 또한 바람직하다.
존재하는 성분 (B) 의 하나 이상의 에칭제 (플루오라이드 음이온을 포함함, 즉, 상기에서 정의한 바와 같은 에칭제 성분, 바람직하게는 바람직한 성분 (B) 의 에칭제) 의 총량이 조성물의 총 중량에 대해서 0.001 내지 1 wt.-% 의 범위, 바람직하게는 0.01 내지 0.1 wt.-% 의 범위, 보다 바람직하게는 0.02 내지 0.08 wt.-% 의 범위인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물을 포함하는, 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 또한 바람직하다. 본원에서 정의한 바람직한 총량으로 에칭제 성분 (B) 를 포함하는 본 발명에 따른 조성물은 특히 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층, 보다 특히 본 발명의 제 1 의 바람직한 변형에 따른 조성물이 관여하는 구리 층, 및 보다 특히 본 발명의 제 2 의 바람직한 변형에 따른 조성물이 관여하는 코발트 층의 존재하에서, 특히 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 허용 가능한 에칭 속도와 에칭 속도 선택성의 우수한 균형을 나타냈다.
존재하는 하나 이상의 부식 억제제 (즉, 상기에서 정의한 바와 같은 부식 억제제 성분, 바람직하게는 바람직한 부식 억제제 성분) (C) 의 총량이 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위, 바람직하게는 0.1 내지 2 wt.-% 의 범위, 보다 바람직하게는 0.2 내지 1.5 wt.-% 의 범위인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물을 포함하는, 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 또한 바람직하다. 본원에서 정의한 바람직한 총량으로 부식 억제제 성분 (C) 를 포함하는 본 발명에 따른 조성물은 특히 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층, 보다 특히 본 발명의 제 1 의 바람직한 변형에 따른 조성물이 관여하는 구리 층, 및 보다 특히 본 발명의 제 2 의 바람직한 변형에 따른 조성물이 관여하는 코발트 층 (즉, 코발트 층의 존재하에서 선택적 에칭 속도) 의 존재하에서, 특히 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 허용 가능한 에칭 속도와 에칭 속도 선택성의 우수한 균형을 나타냈다.
존재하는 하나 이상의 킬레이트제 성분 (즉, 상기에서 정의한 바와 같은 킬레이트제 성분, 바람직하게는 바람직한 킬레이트제 성분) (D) 의 총량이 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위, 바람직하게는 0.02 내지 1 wt.-% 의 범위, 보다 바람직하게는 0.05 내지 0.8 wt.-% 의 범위인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물을 포함하는, 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 또한 바람직하다.
존재하는 하나 이상의 계면활성제 성분 (즉, 상기에서 정의한 바와 같은 계면활성제 성분, 바람직하게는 바람직한 계면활성제 성분) (E) 의 총량이 조성물의 총 중량에 대해서 0.0001 내지 1 wt.-% 의 범위, 바람직하게는 0.001 내지 0.5 wt.-% 의 범위, 보다 바람직하게는 0.002 내지 0.1 wt.-% 의 범위의 총량인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물, 바람직하게는 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른, 바람직하게는 제 1 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다.
존재하는 완충 시스템 성분 (즉, 완충제 성분) (F) 의 총량이 조성물의 총 중량에 대해서 0.1 내지 10 wt.-% 의 범위, 바람직하게는 0.2 내지 5 wt.-% 의 범위, 보다 바람직하게는 0.3 내지 3 wt.-% 의 범위인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물, 바람직하게는 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른, 바람직하게는 제 1 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다.
개별 경우에 있어서, 존재하는 하나 이상의 수-혼화성 유기 용매 (즉, 용매 성분) (H) 의 총량이 조성물의 총 중량에 대해서 0 내지 30 wt.-% 의 범위, 바람직하게는 0 내지 10 wt.-% 의 범위, 보다 바람직하게는 0 내지 7.5 wt.-% 의 범위, 더욱 바람직하게는 0 내지 6 wt.-% 의 범위인, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 이 바람직하다.
성분 (A), (B), (C), (D), (E) (존재하는 경우), (F) (존재하는 경우), (G) 및 (H) (존재하는 경우) 의 양이 조성물의 총 100 wt.-% (즉, 총 중량) 로 첨가되며, 바람직하게는 물이 조성물의 총 100 wt.-% (즉, 총 중량) 의 나머지인, 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (또는 제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물을 포함하는, 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명에 따른 조성물) 이 또한 바람직하다.
상기에서 정의한 총량 또는 바람직한 총량의 성분 (A), (B), (C), (D), (E), (F) 및 (임의로) (H) 가 상기에서 정의한 바와 같은 바람직한 또는 보다 바람직한 성분 (A), (B), (C), (D), (E), (F) 및/또는 (임의로) (H) 와 조합되는, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물이 특히 바람직하다.
조성물이 하기의 것으로 이루어지는 (즉, 하기에서 정의한 바와 같은 성분 (A) 내지 (H) 이외에, 추가의 물질이 존재하지 않음), 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 이 특히 바람직하다:
(A) 4-메틸모르폴린-4-옥사이드, 트리메틸아민-N-옥사이드, 트리에틸아민-N-옥사이드, 트리에탄올아민-N-옥사이드, 피리딘-N-옥사이드, N-에틸모르폴린-N-옥사이드, N-에틸피롤리딘-N-옥사이드 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 가용화제, 및 바람직하게는 하나 이상의 가용화제는 4-메틸모르폴린-4-옥사이드이거나 또는 이것을 포함함,
조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위, 바람직하게는 2 내지 12 wt.-% 의 범위, 보다 바람직하게는 2 내지 6 wt.-% 의 범위의 총량의, 바람직하게는 4-메틸모르폴린-4-옥사이드;
(B) 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되고, 바람직하게는 암모늄 플루오라이드이거나 또는 이것을 포함하는, 플루오라이드 음이온을 포함하는 하나 이상의 에칭제,
조성물의 총 중량에 대해서 0.01 내지 0.1 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 0.08 wt.-% 의 범위의 총량의, 바람직하게는 암모늄 플루오라이드;
(C) 벤조트리아졸, 6-메틸-벤조트리아졸, 5-메틸-벤조트리아졸, 에틸렌 우레아, 에틸렌 티오우레아, 1,2,4-트리아졸, 5-아미노테트라졸, 1-히드록시벤조트리아졸, 5-아미노-1,3,4-티아디아졸-2-티올, 3-아미노-1H-1,2,4-트리아졸, 3,5-디아미노-1,2,4-트리아졸, 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로벤조트리아졸 (여기에서, 할로는 F, Cl, Br 및 I 로 이루어진 군에서 선택된다), 나프토트리아졸, 1H-테트라졸-5-아세트산, 2-메르캅토벤조티아졸, 1-페닐-2-테트라졸린-5-티온, 2-메르캅토벤즈이미다졸, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 이미다졸, 벤즈이미다졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 2H-이미다졸-2-티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인다졸, 아데닌, 시토신, 구아닌, 티민, 2,2'-아잔디일디아세트산, 프로판티올, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 요산, 글리신, 도데실포스폰산, 옥살산, 말론산, 숙신산, 니트릴로트리아세트산 및 이의 혼합물로 이루어진 군에서 선택되는, 및 바람직하게는 벤조트리아졸, 6-메틸-벤조트리아졸 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 부식 억제제,
조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 바람직하게는 0.1 내지 2 wt.-% 의 범위의 총량, 보다 바람직하게는 0.2 내지 1.5 wt.-% 의 범위의 총량의, 바람직하게는 벤조트리아졸, 6-메틸-벤조트리아졸 및 이의 혼합물로 이루어진 군에서 선택됨;
(D) 1,2-시클로헥실렌디니트릴로테트라아세트산, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온, 아세틸아세토네이트, 2,2'-아잔디일디아세트산, 에틸렌디아민테트라아세트산, 에티드론산, 메탄술폰산, 아세틸아세톤, 1,1,1-트리플루오로-2,4-펜탄디온, 1,4-벤조퀴논, 8-히드록시퀴놀린, 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논, 2-(2-히드록시페닐)-벤족사졸, 2-(2-히드록시페닐)-벤조티아졸, 히드록시퀴놀린 술폰산, 술포살리실산, 살리실산, 피리딘, 2-에틸피리딘, 2-메톡시피리딘, 3-메톡시피리딘, 2-피콜린, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 펜타메틸디에틸렌트리아민, 아세토아세트아미드, 암모늄 카르바메이트, 암모늄 피롤리딘디티오카르바메이트, 디메틸 말로네이트, 메틸 아세토아세테이트, N-메틸 아세토아세트아미드, 테트라메틸암모늄 티오벤조에이트, 2,2,6,6-테트라메틸-3,5-헵탄디온, 테트라메틸티우람 디술파이드, 락트산, 암모늄 락테이트, 포름산, 프로피온산, 감마-부티로락톤, 및 이의 혼합물로 이루어진 군에서 선택되고, 바람직하게는 1,2-시클로헥실렌디니트릴로테트라아세트산이거나 또는 이것을 포함하는 하나 이상의 킬레이트제,
조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 바람직하게는 0.02 내지 1 wt.-% 의 범위의 총량, 보다 바람직하게는 0.05 내지 0.8 wt.-% 의 범위의 총량의, 바람직하게는 1,2-시클로헥실렌디니트릴로테트라아세트산;
(E) (i) 바람직하게는 암모늄 라우릴 술페이트, 바람직하게는 퍼플루오르화된 알킬술폰아미드 염 (바람직하게는 퍼플루오르화된, N-치환된 알킬술폰아미드 암모늄 염), 퍼플루오로옥탄술포네이트, 퍼플루오로부탄술포네이트, 퍼플루오로노나노에이트 및 퍼플루오로옥타노에이트로 이루어진 군에서 선택되는 플루오로계면활성제; 알킬-아릴 에테르 포스페이트 및 알킬 에테르 포스페이트로 이루어진 군에서 선택되는 음이온성 계면활성제, (ii) 바람직하게는 (3-[(3-콜라미도프로필)디메틸암모니오]-1-프로판술포네이트), 코카미도프로필 히드록시술타인, {[3-(도데카노일아미노)프로필](디메틸)암모니오}아세테이트, 포스파티딜세린, 포스파티딜에탄올아민, 포스파티딜콜린으로 이루어진 군에서 선택되는 쯔비터 이온성 계면활성제, (iii) 바람직하게는 글루코시드 알킬 에테르, 글리세롤 알킬 에테르, 코카미드 에탄올아민 및 라우릴디메틸아민옥사이드로 이루어진 군에서 선택되는 비이온성 계면활성제로 이루어진 군에서 선택되는 하나 이상의 계면활성제; 및 바람직하게는 하나 이상의 계면활성제는 퍼플루오르화된, N-치환된 알킬술폰아미드 암모늄 염이거나 또는 이것을 포함함,
조성물의 총 중량에 대해서 0.0001 내지 1 wt.-% 의 범위의 총량, 바람직하게는 0.001 내지 0.5 wt.-% 의 범위의 총량, 보다 바람직하게는 0.002 내지 0.1 wt.-% 의 범위의 총량의, 바람직하게는 퍼플루오르화된, N-치환된 알킬술폰아미드 암모늄 염으로 이루어진 군에서 선택됨;
(F) 조성물의 pH 를 6.4 내지 7.5 의 범위, 바람직하게는 6.8 내지 7.5 의 범위, 보다 바람직하게는 7.0 내지 7.4 의 범위로 완충시키는데 적합하고, 바람직하게는 NaH2PO4 및 Na2HPO4 와 같은 포스페이트 완충제, 2-[4-(2-히드록시에틸)피페라진-1-일]에탄술폰산을 포함하는 HEPES 완충제, 트리스(히드록시메틸)-아미노메탄을 포함하는 TRIS 완충제 및 암모늄 아세테이트 완충제로 이루어진 군에서 선택되며, 보다 바람직하게는 암모늄 아세테이트 완충제이거나 또는 이것을 포함하는 완충 시스템,
조성물의 총 중량에 대해서 0.1 내지 10 wt.-% 의 범위의 총량, 바람직하게는 0.2 내지 5 wt.-% 의 범위의 총량, 보다 바람직하게는 0.3 내지 3 wt.-% 의 범위의 총량;
(G) 각 경우에 조성물의 총 100 wt.-% 에 대한 나머지로서의 물;
(H) 바람직하게는 테트라히드로푸란 (THF), N-메틸피롤리돈 (NMP), 디메틸 포름아미드 (DMF), 디메틸 술폭시드 (DMSO) 및 술폴란 (2,3,4,5-테트라히드로티오펜-1,1-디옥사이드) 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 수-혼화성 유기 용매,
조성물의 총 중량에 대해서 0 내지 30 wt.-% 의 범위의 총량, 바람직하게는 0 내지 10 wt.-% 의 범위의 총량, 보다 바람직하게는 0 내지 7.5 wt.-% 의 범위의 총량, 더욱 바람직하게는 0 내지 6 wt.-% 의 범위의 총량;
조성물의 pH 는 6.4 내지 7.5 의 범위, 바람직하게는 6.8 내지 7.5 의 범위, 보다 바람직하게는 7.0 내지 7.4 의 범위임,
성분 (A), (B), (C), (D), (E), (F), (G) 및 (존재하는 경우) (H) 의 wt.-% 총량은 각 경우에 100 wt.-% 까지 첨가됨.
본 발명의 제 1 의 바람직한 변형의 상기에서 정의한 특히 바람직한 조성물에 있어서, 바람직한 또는 보다 바람직한 성분 (A), (B), (C), (D), (E), (F) 및/또는 (임의로) (H) 및/또는 상기에서 정의한 양 또는 바람직한 양의 성분 (A), (B), (C), (D), (E), (F) 및 (임의로) (H) 는 조합되어, 본 발명의 제 1 의 바람직한 변형에 따른 더욱 바람직한 조성물을 생성할 수 있다.
조성물이 하기의 것으로 이루어지는, 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 이 특히 바람직하다:
(A) 가용화제로서 4-메틸모르폴린-4-옥사이드,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위, 보다 바람직하게는 2 내지 12 wt.-% 의 범위, 더욱 바람직하게는 2 내지 6 wt.-% 의 범위의 총량;
(B) 에칭제로서 암모늄 플루오라이드,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 0.1 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 0.08 wt.-% 의 범위의 총량;
(C) 벤조트리아졸, 6-메틸-벤조트리아졸 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 부식 억제제,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.1 내지 2 wt.-% 의 범위의 총량, 더욱 바람직하게는 0.2 내지 1.5 wt.-% 의 범위의 총량;
(D) 킬레이트제로서 1,2-시클로헥실렌디니트릴로테트라아세트산,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 1 wt.-% 의 범위의 총량, 더욱 바람직하게는 0.05 내지 0.8 wt.-% 의 범위의 총량;
(E) 하나 이상의 계면활성제 중 하나 이상이 퍼플루오르화된, N-치환된 알킬술폰아미드 암모늄 염인 하나 이상의 계면활성제,
바람직하게는 조성물의 총 중량에 대해서 0.0001 내지 1 wt.-% 의 범위의 총량, 보다 바람직하게는 0.001 내지 0.5 wt.-% 의 범위의 총량, 더욱 바람직하게는 0.002 내지 0.1 wt.-% 의 범위의 총량;
(F) 조성물의 pH 를 6.4 내지 7.5 의 범위, 바람직하게는 6.8 내지 7.5 의 범위, 보다 바람직하게는 7.0 내지 7.4 의 범위로 완충시키는데 적합하고, 바람직하게는 암모늄 아세테이트 완충제인 완충 시스템,
바람직하게는 조성물의 총 중량에 대해서 0.1 내지 10 wt.-% 의 범위의 총량, 보다 바람직하게는 0.2 내지 5 wt.-% 의 범위의 총량, 더욱 바람직하게는 0.3 내지 3 wt.-% 의 범위의 총량;
(G) 각 경우에 조성물의 총 100 wt.-% 에 대한 나머지로서의 물;
조성물의 pH 는 6.4 내지 7.5 의 범위, 바람직하게는 6.8 내지 7.5 의 범위, 보다 바람직하게는 7.0 내지 7.4 의 범위임,
바람직하게는 성분 (A), (B), (C), (D), (E), (F) 및 (G) 의 wt.-% 총량은 각 경우에 100 wt.-% 까지 첨가됨.
다음과 같은, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 바람직하다:
(A) 하나 이상의 성분 (A) 는 상기에서 정의한 바와 같은 화학식 I 의 화합물 (또는 상기에서 정의한 바와 같은 화학식 I 의 바람직한 화합물) 이고, 바람직하게는 하나 이상의 성분 (A) 는 N-포르밀모르폴린이며,
및 바람직하게는 성분 (A) 는 조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위, 보다 바람직하게는 2 내지 15 wt.-% 의 범위, 더욱 바람직하게는 2 내지 12 wt.-% 의 범위, 및 더욱더 바람직하게는 5 내지 12 wt.-% 의 범위의 총량으로 존재함.
다음과 같은, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다:
(B) 성분 (B) 는 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되고;
바람직하게는 하나 이상의 성분 (B) 는 암모늄 플루오라이드이고, 보다 바람직하게는 성분 (B) 는 암모늄 플루오라이드이며,
및 바람직하게는 성분 (B) 는 조성물의 총 중량에 대해서 0.01 내지 0.1 wt.-% 의 범위의 총량으로, 보다 바람직하게는 0.02 내지 0.08 wt.-% 의 범위의 총량으로 존재함.
다음과 같은, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다:
(C) 하나 이상의 성분 (C) 는
- 미치환되거나 또는 C1-4-알킬 및/또는 (바람직하게는 "또는") 할로겐으로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸;
- 숙신산;
- 이의 혼합물
로 이루어진 군에서 선택되고;
및 바람직하게는 성분 (C) 는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.1 내지 2 wt.-% 의 범위의 총량, 및 더욱 바람직하게는 0.2 내지 1.5 wt.-% 의 범위의 총량으로 존재함.
다음과 같은, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 마찬가지로 바람직하다:
(D) 하나 이상의 성분 (D) 는 히스티딘, 바람직하게는 L-히스티딘이고;
및 바람직하게는 성분 (D) 는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 1 wt.-% 의 범위의 총량, 및 더욱 바람직하게는 0.05 내지 0.8 wt.-% 의 범위의 총량으로 존재함.
다음과 같은, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 특히 바람직하다:
(A) 하나 이상의 성분 (A) 는 상기에서 정의한 바와 같은 화학식 I 의 화합물 (또는 상기에서 정의한 바와 같은 화학식 I 의 바람직한 화합물) 이고, 바람직하게는 하나 이상의 성분 (A) 는 N-포르밀모르폴린이며,
및 바람직하게는 조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위, 보다 바람직하게는 2 내지 15 wt.-% 의 범위, 더욱 바람직하게는 2 내지 12 wt.-% 의 범위, 및 더욱더 바람직하게는 5 내지 12 wt.-% 의 범위의 총량으로 존재함;
(B) 성분 (B) 는 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되고;
바람직하게는 하나 이상의 성분 (B) 는 암모늄 플루오라이드이고, 보다 바람직하게는 성분 (B) 는 암모늄 플루오라이드이며,
및 바람직하게는 조성물의 총 중량에 대해서 0.01 내지 0.1 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 0.08 wt.-% 의 범위의 총량으로 존재함;
(C) 하나 이상의 성분 (C) 는
- 미치환되거나 또는 C1-4-알킬 및/또는 (바람직하게는 "또는") 할로겐으로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸;
- 숙신산;
- 이의 혼합물
로 이루어진 군에서 선택되고;
및 바람직하게는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.1 내지 2 wt.-% 의 범위의 총량, 및 더욱 바람직하게는 0.2 내지 1.5 wt.-% 의 범위의 총량으로 존재함;
(D) 하나 이상의 성분 (D) 는 히스티딘, 바람직하게는 L-히스티딘이고;
및 바람직하게는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 1 wt.-% 의 범위의 총량, 및 더욱 바람직하게는 0.05 내지 0.8 wt.-% 의 범위의 총량으로 존재함;
(G) 성분 (G) 는 물이고, 바람직하게는 각 경우에 조성물의 총 100 wt.-% 에 대한 나머지로서 존재함,
조성물의 pH 는 3.5 내지 6 의 범위, 바람직하게는 4.0 내지 5.5 의 범위임,
바람직하게는 성분 (A), (B), (C), (D) 및 (G) 의 wt.-% 총량은 각 경우에 100 wt.-% 까지 첨가됨.
다음과 같은, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다:
(A) 성분 (A) 는 상기에서 정의한 바와 같은 화학식 I 의 화합물 (또는 상기에서 정의한 바와 같은 화학식 I 의 바람직한 화합물) 이고, 바람직하게는 성분 (A) 는 N-포르밀모르폴린이며,
조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위, 바람직하게는 2 내지 15 wt.-% 의 범위, 보다 바람직하게는 2 내지 12 wt.-% 의 범위, 및 더욱 바람직하게는 5 내지 12 wt.-% 의 범위의 총량으로 존재함;
(B) 성분 (B) 는 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되고;
바람직하게는 하나 이상의 성분 (B) 는 암모늄 플루오라이드이고, 보다 바람직하게는 성분 (B) 는 암모늄 플루오라이드이며,
조성물의 총 중량에 대해서 0.01 내지 0.1 wt.-% 의 범위의 총량, 바람직하게는 0.02 내지 0.08 wt.-% 의 범위의 총량으로 존재함;
(C) 성분 (C) 는
- 미치환되거나 또는 C1-4-알킬 및/또는 (바람직하게는 "또는") 할로겐으로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸;
- 숙신산;
- 이의 혼합물
로 이루어진 군에서 선택되고;
조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 바람직하게는 0.1 내지 2 wt.-% 의 범위의 총량, 보다 바람직하게는 0.2 내지 1.5 wt.-% 의 범위의 총량으로 존재함;
(D) 성분 (D) 는 히스티딘, 바람직하게는 L-히스티딘이고;
조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 바람직하게는 0.02 내지 1 wt.-% 의 범위의 총량, 보다 바람직하게는 0.05 내지 0.8 wt.-% 의 범위의 총량으로 존재함;
(G) 성분 (G) 는 물이고, 각 경우에 조성물의 총 100 wt.-% 에 대한 나머지로서 존재함,
조성물의 pH 는 3.5 내지 6 의 범위, 바람직하게는 4.0 내지 5.5 의 범위임,
성분 (A), (B), (C), (D) 및 (G) 의 wt.-% 총량은 각 경우에 100 wt.-% 까지 첨가됨.
자체 실험에서, 하나 이상의 성분 (D) 로서, 특히 성분 (D) 로서 히스티딘, 바람직하게는 L-히스티딘을 포함하는 제 2 의 바람직한 변형에 따른 조성물이, 하나 이상의 성분 (D) 로서, 특히 성분 (D) 로서 히스티딘을 포함하지 않는 제 2 의 바람직한 변형에 따른 조성물에 비해, 코발트 층의 존재하에서 보다 선택적 에칭 속도를 나타내는 것으로 밝혀졌다.
하나 이상의 성분 (A) 가 N-포르밀모르폴린 (CAS RN 4394-85-8) 이고, 바람직하게는 성분 (A) 가 N-포르밀모르폴린인, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 또한 바람직하다.
가용화제 성분 (A) 로서 N-포르밀모르폴린을, 특히 상기에서 명시한 바와 같은 바람직한 총량으로 포함하는 본 발명의 제 2 의 바람직한 변형에 따른 조성물은 침전에 대한 조성물의 우수한 안정성, 및 실온 미만의 온도에서도 향상된 용해도를 나타냈다.
성분 (C) 가
- 미치환되거나 또는 C1-4-알킬 및/또는 (바람직하게는 "또는") 할로겐으로 독립적으로 1 회 또는 2 회, 바람직하게는 1 회 치환되는 벤조트리아졸; 바람직하게는 5-메틸-2H-벤조트리아졸 및 5-클로로-2H-벤조트리아졸;
- 숙신산;
- 이의 혼합물
로 이루어진 군에서 선택되는 본 발명의 제 2 의 바람직한 변형에 따른 조성물은 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 특히 저-k 물질의 층 및/또는 코발트 층의 존재하에서, 알루미늄 화합물, 특히 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 층을 에칭하기 위한 안정적이고 재현 가능한 선택적 에칭 속도 (즉, 코발트 층의 존재하에서 선택적 에칭 속도) 를 나타냈다.
하기의 것을 포함하거나 또는 이것으로 이루어지는, 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 상기에서 또는 하기에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 특히 바람직하다:
(A) 가용화제로서 N-포르밀모르폴린,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위, 보다 바람직하게는 2 내지 15 wt.-% 의 범위, 더욱 바람직하게는 2 내지 12 wt.-% 의 범위, 및 더욱더 바람직하게는 5 내지 12 wt.-% 의 범위의 총량;
(B) 에칭제로서 암모늄 플루오라이드,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 0.1 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 0.08 wt.-% 의 범위의 총량;
(C) 하기로 이루어진 군에서 선택되는 부식 억제제:
- 5-메틸-2H-벤조트리아졸,
- 5-클로로-2H-벤조트리아졸,
- 숙신산,
- 이의 혼합물,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.1 내지 2 wt.-% 의 범위의 총량, 더욱 바람직하게는 0.2 내지 1.5 wt.-% 의 범위의 총량;
(D) 킬레이트제로서 히스티딘, 바람직하게는 L-히스티딘,
바람직하게는 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위의 총량, 보다 바람직하게는 0.02 내지 1 wt.-% 의 범위의 총량, 더욱 바람직하게는 0.05 내지 0.8 wt.-% 의 범위의 총량;
(G) 바람직하게는 각 경우에 조성물의 총 100 wt.-% 에 대한 나머지로서의 물;
조성물의 pH 는 3.5 내지 6 의 범위, 바람직하게는 4.0 내지 5.5 의 범위임,
바람직하게는 성분 (A), (B), (C), (D) 및 (G) 의 wt.-% 총량은 각 경우에 100 wt.-% 까지 첨가됨.
본 발명은 또한 하기의 것을 위한, 본원에서 정의한 바와 같은 본 발명에 따른 조성물의 용도 (제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물의 용도를 포함) (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 각각의 용도) 에 관한 것이다:
- 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서;
바람직하게는 본 발명의 제 1 의 바람직한 변형의 조성물이 사용되는 저-k 물질의 층 및/또는 구리를 포함하는 층, 바람직하게는 구리 층의 존재하에서;
및/또는 바람직하게는 본 발명의 제 2 의 바람직한 변형의 조성물이 사용되는 저-k 물질의 층 및/또는 코발트를 포함하는 층, 바람직하게는 코발트 층의 존재하에서
알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 층을 선택적으로 에칭하기 위함;
및/또는
- 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서;
바람직하게는 본 발명의 제 1 의 바람직한 변형의 조성물이 사용되는 저-k 물질의 층 및/또는 구리를 포함하는 층, 바람직하게는 구리 층의 존재하에서;
및/또는 바람직하게는 본 발명의 제 2 의 바람직한 변형의 조성물이 사용되는 저-k 물질의 층 및/또는 코발트를 포함하는 층, 바람직하게는 코발트 층의 존재하에서
기판으로부터 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 층을 선택적으로 제거하기 위함;
및/또는
- 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서;
바람직하게는 본 발명의 제 1 의 바람직한 변형의 조성물이 사용되는 저-k 물질의 층 및/또는 구리를 포함하는 층의 존재하에서;
및/또는 바람직하게는 본 발명의 제 2 의 바람직한 변형의 조성물이 사용되는 저-k 물질의 층 및/또는 코발트를 포함하는 층, 바람직하게는 코발트 층의 존재하에서
반도체 기판의 표면으로부터 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 층을 선택적으로 제거하기 위함.
일반적으로, 본 발명의 조성물 (제 1 의 바람직한 변형 및 제 2 의 바람직한 변형을 포함) 의 문맥에서 본원에 논의된 본 발명의 모든 양태는 상기 및 하기 본원에서 정의한 바와 같은 본 발명에 따른 상기 조성물의 용도 (제 1 의 바람직한 변형의 용도 및 제 2 의 바람직한 변형의 용도를 포함) 에 준용된다. 또한 마찬가지로, 본원에 논의된 본 발명에 따른 상기 조성물의 용도의 모든 양태는 본 발명의 조성물에 준용된다.
용도가
(i) 바람직하게는 Ti 하드 마스크, TiN 하드 마스크, Ta 하드 마스크, TaN 하드 마스크, Al 하드 마스크, HfOx (즉, 산화 하프늄) 하드 마스크 및 AlCu (즉, AlCu 합금) 하드 마스크, 보다 바람직하게는 TiN 하드 마스크로 이루어진 군에서 선택되는 금속 하드 마스크,
(ii) 구리를 포함하는 층, 바람직하게는 구리 층 및/또는 코발트를 포함하는 층, 바람직하게는 코발트 층 상에 침착된 알루미늄 화합물의 에칭-정지 층
을 제거하는 2 단계 공정에서 있는;
바람직하게는 용도가 제 2 단계 (ii) 에서 있는;
및 바람직하게는 본 발명의 제 1 의 바람직한 변형의 조성물의 용도가, 알루미늄 화합물의 에칭-정지 층이 구리를 포함하는 층, 바람직하게는 구리 층 상에 침착되는 상기 2 단계 공정에서 있는;
및/또는 바람직하게는 본 발명의 제 2 의 바람직한 변형의 조성물의 용도가, 알루미늄 화합물의 에칭-정지 층이 코발트를 포함하는 층, 바람직하게는 코발트 층 상에 침착되는 상기 2 단계 공정에서 있는
상기에서 정의한 바와 같은 본 발명에 따른 용도 (제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물의 용도를 포함) (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 각각의 용도) 가 특히 바람직하다.
상기 본원에서 정의한 바와 같은 본 발명에 따른 2 단계 공정에서의 바람직한 용도에 있어서, 바람직하게는 금속 하드 마스크를 제거하는 공정 단계 (i) 은 제 1 공정 단계이고, 에칭-정지 층을 제거하는 공정 단계 (ii) 는 제 2 공정 단계이다. 상기 제 2 공정 단계는 상기 제 1 공정 단계 직후에 수행될 수 있거나, 또는 상기 제 1 공정 단계와 상기 제 2 공정 단계 사이에 하나 이상의 다른 공정 단계가 수행될 수 있다.
알루미늄 화합물, 바람직하게는 산화 알루미늄의 에칭-정지 층이, 에칭 전에 30 nm 이하, 바람직하게는 20 nm 이하, 보다 바람직하게는 10 nm 이하, 및 더욱 바람직하게는 5 nm 이하의 최대 두께를 갖는, 상기에서 정의한 바와 같은 본 발명에 따른 용도 (제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물의 용도를 포함) (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 각각의 용도) 가 또한 바람직하다.
2 단계 공정이 집적 회로 인터커넥트를 제조하기 위한 다마신 공정의 일부인, 상기에서 정의한 바와 같은 본 발명에 따른 용도 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 용도) 가 또한 바람직하다.
본 발명은 또한 알루미늄 화합물의 하나 이상의 층을 본원에서 정의한 바와 같은 본 발명에 따른 조성물 (제 1 의 바람직한 변형의 조성물 및 제 2 의 바람직한 변형의 조성물을 포함) (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 조성물) 과 1 회 이상 접촉시킴으로써, 저-k 물질의 층 및/또는 구리 및/또는 코발트를 포함하는 층의 존재하에서, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 하나 이상의 층을 선택적으로 에칭하는 단계를 포함하는 반도체 장치의 제조 방법에 관한 것이다.
방법이 저-k 물질의 층 및/또는 구리를 포함하는 층, 바람직하게는 구리 층의 존재하에서, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 하나 이상의 층을 선택적으로 에칭하는 단계를 포함하는 경우, 알루미늄 화합물의 하나 이상의 층을 본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 과 1 회 이상 접촉시키는 것이 바람직하다.
방법이 저-k 물질의 층 및/또는 코발트를 포함하는 층, 바람직하게는 코발트 층의 존재하에서, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 하나 이상의 층을 선택적으로 에칭하는 단계를 포함하는 경우, 알루미늄 화합물의 하나 이상의 층을 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 과 1 회 이상 접촉시키는 것이 바람직하다.
일반적으로, 본 발명의 조성물 및/또는 상기 조성물의 본 발명의 용도의 문맥에서 본원에 논의된 본 발명의 모든 양태는 상기 및 하기의 본원에서 정의한 바와 같은 본 발명의 반도체 장치의 제조 방법에 준용된다. 또한 마찬가지로, 본 발명의 반도체 장치의 제조 방법의 모든 양태는 본 발명의 조성물 및/또는 상기 조성물의 본 발명의 용도에 준용된다.
본원에서 정의한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명의 제 1 의 바람직한 변형에 따른 조성물) 이 사용되는 경우, 상기 에칭이 저-k 물질의 층 및 구리 및/또는 코발트를 포함하는 층의 존재하에서, 바람직하게는 저-k 물질의 층 및 구리를 포함하는 층, 바람직하게는 구리 층의 존재하에서 수행되고, 및 바람직하게는 본원에서 정의한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명의 제 2 의 바람직한 변형에 따른 조성물) 이 사용되는 경우, 상기 에칭이 저-k 물질의 층 및 코발트를 포함하는 층, 바람직하게는 코발트 층의 존재하에서 수행되는, 상기에서 정의한 바와 같은 본 발명에 따른 방법이 바람직하다.
알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 상기 하나 이상의 층이 상부 층이고, 구리 및/또는 코발트를 포함하는 층이 상부 층에 의해 피복된 하부 층이며, 상부 층과 하부 층 사이에 하나 이상의 추가의 층이 존재하거나 또는 존재하지 않고, 바람직하게는 상부 층과 하부 층 사이에 하나 이상의 (즉, 임의의) 추가의 층이 존재하지 않는, 상기에서 정의한 바와 같은 본 발명에 따른 방법 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 방법) 이 또한 바람직하다.
반도체 장치의 제조 공정이 집적 회로 인터커넥트를 제조하기 위한 다마신 공정인, 상기에서 정의한 바와 같은 본 발명에 따른 방법 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 방법) 이 또한 바람직하다.
하기의 단계를 포함하는 2 단계 세정 공정, 바람직하게는 반도체 장치의 세정 공정을 포함하는, 상기에서 정의한 바와 같은 본 발명에 따른 방법 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 방법) 이 또한 바람직하다:
- 별도의 제 2 단계에서, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 하나 이상의 층을 선택적으로 에칭하기 전에,
- 제 1 단계에서, 바람직하게는 Ti 하드 마스크, TiN 하드 마스크, Ta 하드 마스크, TaN 하드 마스크, Al 하드 마스크, HfOx (즉, 산화 하프늄) 하드 마스크 및 AlCu 하드 마스크로 이루어진 군에서 선택되는 금속 하드 마스크; 보다 바람직하게는 TiN 하드 마스크를 제거하는 단계.
바람직하게는, 상기 본원에서 정의한 바와 같은 본 발명의 바람직한 방법에서의 금속 하드 마스크는 Ti 하드 마스크, TiN 하드 마스크, Ta 하드 마스크, TaN 하드 마스크, Al 하드 마스크, HfOx (즉, 산화 하프늄) 하드 마스크 및 AlCu (즉, AlCu 합금) 하드 마스크로 이루어진 군에서 선택된다. 보다 바람직하게는, 금속 하드 마스크는 TiN 하드 마스크이다.
상기 본원에서 정의한 바와 같은 본 발명에 따른 바람직한 2 단계 공정에 있어서, 상기 별도의 제 2 공정 단계는 상기 제 1 공정 단계 직후에 수행될 수 있거나, 또는 상기 제 1 공정 단계와 상기 별도의 제 2 공정 단계 사이에 하나 이상의 다른 공정 단계가 수행될 수 있다.
알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 하나 이상의 층이, 에칭 전에 30 nm 이하, 바람직하게는 20 nm 이하, 보다 바람직하게는 10 nm 이하, 및 더욱 바람직하게는 5 nm 이하의 최대 두께를 갖는, 상기에서 정의한 바와 같은 본 발명에 따른 방법 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 방법) 이 또한 바람직하다. 일부 경우에 있어서, 알루미늄 화합물, 바람직하게는 산화 알루미늄을 포함하는 또는 이것으로 이루어지는 하나 이상의 층이 2 nm 이하, 바람직하게는 1 nm 이하, 보다 바람직하게는 0.5 nm 이하의 최대 두께를 갖는, 상기에서 정의한 바와 같은 본 발명에 따른 방법 (또는 바람직하다고 본원에서 기술한 바와 같은 본 발명에 따른 방법) 이 바람직하다.
실시예:
하기의 실시예는 본 발명의 범위를 제한하지 않으면서, 본 발명을 추가로 설명하기 위한 것이다.
실시예 1: 본 발명에 따른 조성물 (제 1 의 바람직한 변형) 및 비교 조성물 (본 발명에 따르지 않음) 의 제조
적용 가능한 경우, 성분 (A) 내지 (G) 또는 (A) 내지 (H) 를 혼합함으로써, 본 발명의 제 1 의 바람직한 변형에 따른 하기의 바람직한 조성물 ("I" 로서 표시됨, 즉, 조성물 I1 내지 I8) 을 제조하였다. 세부 내용은 하기 표 1a 에 나타낸다. 적용 가능한 경우, 성분 (A) 내지 (G) 또는 (A) 내지 (H) 를 혼합함으로써, 본 발명에 따른 추가의 조성물 ("I" 로서 표시됨, 즉, 조성물 I9 내지 I13) 을 제조하였다. 세부 내용은 하기 표 1b 에 나타낸다. 또한, 하기 표 1c 에서 보다 상세하게 나타낸 바와 같이, 유사한 방식으로 비교 조성물 (본 발명에 따르지 않음, "C" 로서 표시됨, 즉, 조성물 C1 내지 C2) 을 제조하였다. 상이한 조성물의 pH 를 조정하기 위해, 총량의 산성 완충제 성분 (아세테이트 완충제를 사용한 경우, 물 중의 96 % w/w 용액으로서의 아세트산) 을 조성물에 첨가하고, 이어서 조성물의 원하는 pH 에 도달할 때까지, 적합한 양의 상응하는 염기성 (알칼리성) 완충제 성분 (아세테이트 완충제를 사용한 경우, 물 중의 29 wt.-% 용액으로서의 암모니아) 을 첨가하였다.
표 1a: 본 발명에 따른 바람직한 시험 조성물 (제 1 의 바람직한 변형)
표 1b: 본 발명에 따른 추가의 시험 조성물 (제 1 의 바람직한 변형)
표 1c: 비교 시험 조성물
BDG: 부틸 디글리콜 (디에틸렌글리콜 부틸 에테르)
표 1a, 1b 및 1c 에서의 성분의 모든 wt.-% 는 순수한, 희석되지 않은 화합물로서 계산된다.
실시예 2: 시험 조성물 (제 1 의 바람직한 변형) 의 산화 알루미늄 (AlOx) 및 구리 에칭 결과의 결정
적절한 유형의 외부 층을 갖는 Si 웨이퍼 또는 웨이퍼 조각 (이하, "시험 웨이퍼" 라고 총칭함) 은 상업적 공급원으로부터 수득하였다. 시험 웨이퍼를 필요에 따라 전처리하였다: Cu 를 20-30 초 동안 옥살산 용액에 침지시키고, 이어서 물로 헹구고, 건조시켰다. AlOx-코팅된 표면은 전처리하지 않았다. 시험 웨이퍼 상의 구리 및 AlOx-층은 각 경우에 100 Å 의 두께를 가졌다.
산화 알루미늄 (AlOx)-코팅된 표면을 알루미늄 화합물 (상기에서 정의한 바와 같음) (을 포함하는 또는 이것으로 이루어지는) 의 층에 대한 대표적인 모델로서 사용하였다.
시험 조성물 (표 1a, 1b 및 1c 에서 정의한 바와 같음) 을 제조하고, 시험 웨이퍼 (상기 참조) 를 60 ℃ 의 온도에서, AlOx 표면의 경우에는 1 분의 반응 시간 동안 및 Cu 표면의 경우에는 5 분 내지 10 분의 반응 시간 동안 유리 비이커 내에서 시험 조성물과 접촉시키고, 이어서 시험 조성물로부터 회수하고, 물 또는 이소프로판올로 헹구고, 질소 기체로 건조시켰다.
시험 웨이퍼 상의 구리 및 산화 알루미늄 층의 두께를 X-선 형광 분석에 의해 시험 조성물과의 접촉 전 및 후에 결정하였다. 재현성을 보장하기 위해서 실험을 3 회 이상 반복하였다.
시험 조성물과의 접촉 전의 구리 또는 AlOx 층의 각각의 두께의 측정 값 - (마이너스) 시험 조성물과의 접촉 후의 동일한 구리 또는 산화 알루미늄 층의 각각의 두께의 측정 값의 차이는 각각의 경우에 총 에칭 손실로서 결정하였으며, 이렇게 결정된 총 에칭 손실을 처리 시간으로 나누어 에칭 속도를 산출하였다. 결과는 시험 조성물과의 접촉 후의 층의 에칭 속도 (Å/min) 로서 하기 표 2 에 나타낸다 (표 2 에서의 각각의 주어진 값은 3 회 이상 실험의 평균을 나타냄).
표 2: 시험 조성물 (제 1 의 바람직한 변형) 의 산화 알루미늄 및 구리 에칭 결과
Si 웨이퍼 상의 AlOx-층에 대한 표 2 에서의 "> 100" 의 에칭 속도 값은, 1 분의 적용 가능한 반응 시간 내에 또는 1 분의 상기 적용 가능한 반응 시간이 경과하기 전에, 전체 AlOx-층이 제거되었다는 의미를 가지며, 이들 경우에는 정확한 에칭 속도를 측정할 수 없다는 효과를 가진다 (이하, 이러한 경우를 "과잉-에칭" 의 경우라고도 한다).
수득된 시험 결과로부터, 다음의 관찰을 할 수 있다:
표 1a 에 나타낸 본 발명의 제 1 의 바람직한 변형에 따른 바람직한 시험 조성물 (I1, I2, I3, I4, I5, I6 및 I7) 은 모두 AlOx 대 Cu 층의 에칭에 대해 높은 에칭 속도 선택성을 나타낸다 (표 2 에서의 결과 참조). AlOx-층의 "과잉-에칭" (즉, > 100 % 에칭의 값) 의 발생은 pH 값이 6.4 (또는 그 이상; 조성물 I6 및 I7 참조) 인 조성물에서 먼저 사라진다 (적용된 시간 내에). 그러므로, pH 값이 6.4 (또는 그 이상, 최대 pH 7.5) 인 조성물은 본 발명에 따른 바람직한 시험 조성물로서 간주된다.
과잉-에칭 결과는, 최적의 pH 또는 조성으로부터의 편차가 에칭 속도의 바람직하지 않은 증가, 따라서 에칭 속도 선택성의 손실을 초래할 수 있다는 것을 입증한다. 에칭 결과를 최적화하기 위한 에칭 시간의 단순한 감소는, 실용적인 한계로 인해 에칭 시간의 부정확성을 초래할 수 있기 때문에 바람직하지 않다. 예를 들어, 본 실시예에서 AlOx-층을 에칭하기 위해 선택된 1 분의 에칭 시간은 산업적으로 관련된 시간이다. 실용적인 이유로, 특정한 조성물로 달성된 에칭 속도, 뿐만 아니라, 총 에칭 손실이 관련이 있다.
실용적으로 가장 바람직한 pH 범위 (pH 6.8 내지 7.5) 에서, 유기 용매를 포함하는 본 발명의 제 1 의 바람직한 변형에 따른 조성물은 pH 값 7.1 내지 7.3 의 급격한 감소와 함께, 불완전한 에칭 결과를 나타내는 경향이 보다 강하다 (조성물 I4 및 I5 참조). 대조적으로, 유기 용매를 포함하지 않는 조성물 I1 은 바람직한 pH 범위에서 Cu 층에 대해 높지만 제어된 에칭 속도 (그러나 과잉-에칭 없음), 및 높은 에칭 속도 선택성을 나타낸다. 그러므로, 유기 용매를 포함하지 않는 본 발명의 제 1 의 바람직한 변형에 따른 조성물 (예를 들어 조성물 I1 및 I2 참조) 이 바람직하다.
표 1b 에 나타낸 본 발명의 제 1 의 바람직한 변형에 따른 시험 조성물은 AlOx 대 Cu 층의 에칭에 대해 양호한 (유기 용매 또는 바람직한 유기 용매를 함유하지 않는 조성물 I9, I10 참조) 또는 만족스러운 (덜 바람직한 유기 용매로서 부틸 디글리콜을 모두가 함유하는 조성물 I11, I12 및 I13 참조) 에칭 속도 선택성을 나타낸다. 표 1b 에 나타낸 모든 시험 조성물은 적용된 반응 조건하에서 과잉-에칭을 나타냈다.
표 1c 에 나타낸 비교 조성물 (C1, C2) 은 AlOx 대 Cu 층의 에칭에 대해 만족스러운 에칭 속도 선택성을 나타내지 않았으며, 즉, 각각의 경우에 Cu 층의 유의하게 바람직하지 않은 에칭이 관찰되었다 (표 2 참조).
실시예 3: 본 발명에 따른 조성물 (제 2 의 바람직한 변형) 및 비교 조성물 (본 발명에 따르지 않음) 의 제조
성분 (A) 내지 (D) 및 (G) 를 혼합함으로써, 본 발명의 제 2 의 바람직한 변형에 따른 하기의 조성물 (조성물 I20, I21 및 I22) 을 제조하였다. 세부 내용은 하기 표 3 에 나타낸다. 또한, 하기 표 4 에서 보다 상세하게 나타낸 바와 같이, 유사한 방식으로 비교 조성물 (본 발명에 따르지 않음, 조성물 C20 및 C21) 을 또한 제조하였다.
표 3: 본 발명에 따른 시험 조성물 (제 2 의 바람직한 변형)
표 4: 비교 시험 조성물
DMSO: 디메틸 술폭시드
표 3 및 4 에서의 성분의 모든 wt.-% 는 순수한, 희석되지 않은 화합물로서 계산된다.
실시예 4: 시험 조성물 (제 2 의 바람직한 변형) 의 산화 알루미늄 (AlOx) 및 코발트 에칭 결과의 결정
적절한 유형의 외부 층 (Co; AlOx; 플라즈마-침착된 테트라에틸 오르토실리케이트 ("TEOS")) 을 갖는 Si 웨이퍼 또는 웨이퍼 조각 (이하, "시험 웨이퍼" 라고 총칭함) 은 상업적 공급원으로부터 수득하였다. 시험 웨이퍼를 필요에 따라 전처리하였다: Co 를 20-30 초 동안 옥살산 용액에 침지시키고, 이어서 물로 헹구고, 건조시켰다. AlOx-코팅된 표면은 전처리하지 않았다.
산화 알루미늄 (AlOx)-코팅된 표면을 알루미늄 화합물 (상기에서 정의한 바와 같음) (을 포함하는 또는 이것으로 이루어지는) 의 층에 대한 대표적인 모델로서 사용하였다.
시험 조성물 (표 3 및 4 에서 정의한 바와 같음) 을 제조하고, 시험 웨이퍼 (상기 참조) 를 코발트-코팅된 표면의 경우에는 40 ℃ 의 온도에서 1 분의 반응 시간 동안, 산화 알루미늄-코팅된 표면의 경우에는 35 ℃ 의 온도에서 15 초의 반응 시간 동안, 및 TEOS 표면의 경우에는 35 ℃ 의 온도에서 10 분의 반응 시간 동안 유리 비이커 내에서 시험 조성물과 접촉시켰다. 이어서, 시험 웨이퍼를 시험 조성물로부터 회수하고, 물 또는 이소프로판올로 헹구고, 질소 기체로 건조시켰다.
시험 웨이퍼 상의 코발트, TEOS 및 산화 알루미늄 층의 두께를 X-선 형광 분석에 의해 시험 조성물과의 접촉 전 및 후에 결정하였다. 재현성을 보장하기 위해서 실험을 3 회 이상 반복하였다.
시험 조성물과의 접촉 전의 코발트, TEOS 또는 AlOx 층의 각각의 두께의 측정 값 - (마이너스) 시험 조성물과의 접촉 후의 동일한 코발트, TEOS 또는 AlOx 층의 각각의 두께의 측정 값의 차이는 각각의 경우에 총 에칭 손실로서 결정하였으며, 이렇게 결정된 총 에칭 손실을 처리 시간으로 나누어 에칭 속도를 산출하였다. 결과는 시험 조성물과의 접촉 후의 층의 에칭 속도 (Å/min) 로서 하기 표 5 에 나타낸다 (표 5 에서의 각각의 주어진 값은 3 회 이상 실험의 평균을 나타냄).
표 5: 시험 조성물 (제 2 의 바람직한 변형) 의 코발트, TEOS 및 산화 알루미늄 에칭 결과
표 5 에 나타낸 시험 결과로부터, 다음의 관찰을 할 수 있다:
본 발명에 따른 조성물 I20 은 AlOx 대 Co 및 TEOS 층의 에칭에 대해 만족스러운 에칭 속도 선택성을 나타낸다.
본 발명에 따른 조성물 I22 및 C20 (본 발명에 따르지 않는 비교 조성물) 은 AlOx 대 Co 층의 에칭에 대한 에칭 속도 선택성과 관련하여 유사한 만족스러운 결과를 나타낸다 (그러나, 하기 실시예 5 의 결과 참조).
실시예 5: 침전에 대한 시험 조성물 (제 2 의 바람직한 변형) 의 안정성
본 발명에 따른 시험 조성물 I20 및 비교 시험 조성물 C20 을 5 ℃ 로 72 h 동안 냉각시켰다. 냉각 기간 직후, 두 조성물을 용액 (즉, 침전된 물질) 의 안정성에 대해 육안으로 검사하였다.
본 발명에 따른 조성물 I20 은 보다 낮은 온도에서도 용액의 안정성을 나타내는, 냉각 기간 후에 투명하게 유지된 반면, 비교 조성물 C20 은 실온 미만의 온도에서 용액의 불충분한 안정성을 나타내는, 물질의 침전을 나타낸 것으로 밝혀졌다.
이들 관찰로부터, 본 발명에 따른 가용화제 (조성물 I20 에서: N-포르밀모르폴린) 를 포함하는 조성물은, 본 발명에 따른 것을 포함하지 않거나 또는 다른 가용화제 (비교 조성물 C20 에서: DMSO) 를 포함하는 조성물과 비교하여, 침전에 대한 용액의 향상된 안정성을 나타내는 것으로 결론지을 수 있다.
당해 분야에 공지된 바와 같이, 반도체 장치의 제조에 사용하기 위한 하나 이상의 물질을 에칭하기 위한 조성물은, 예를 들어 상기 제조 방법 또는 이의 생성물을 방해할 수 있거나 또는 손상시킬 수 있는 침전물을 형성하지 않아야 하며, 제조 조건하에서 안정하게 유지되어야 한다. 그러므로, 이러한 제조 방법의 조건하에서 침전물을 형성하는 반도체 장치의 제조에 사용하기 위한 하나 이상의 물질을 에칭하기 위한 조성물은 산업적 용도로는 허용 가능하지 않다.

Claims (15)

  1. 저-k 물질의 층, 구리 및 코발트 중 하나 이상을 포함하는 층, 또는 이들 모두의 존재하에서, 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물로서, 하기의 것을 포함하는 조성물:
    (A) 하기로 이루어진 군에서 선택되는 하나 이상의 가용화제:
    - 화학식 I 의 화합물:

    (식 중, R1 은 하기로 이루어진 군에서 선택된다:
    - 수소 및
    - -C(O)-R2 (R2 는 수소 및 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬로 이루어진 군에서 선택된다)),
    - 화학식 II 의 화합물:

    (식 중, R3 은 1, 2, 3 또는 4 개의 탄소 원자를 갖는 알킬이다),
    - 트리메틸아민-N-옥사이드,
    - 트리에틸아민-N-옥사이드,
    - 트리에탄올아민-N-옥사이드,
    - 피리딘-N-옥사이드,
    - N-에틸피롤리딘-N-옥사이드, 및
    - 이의 혼합물;
    여기서, 하나 이상의 성분 (A) 의 총량이 조성물의 총 중량에 대해서 0.01 내지 20 wt.-% 의 범위임;
    (B) 플루오라이드 음이온을 포함하는 하나 이상의 에칭제;
    여기서, 하나 이상의 성분 (B) 의 총량이 조성물의 총 중량에 대해서 0.001 내지 1 wt.-% 의 범위임;
    (C) 하기로 이루어진 군에서 선택되는 적어도 둘을 포함하는 혼합물인 부식 억제제:
    미치환된 벤조트리아졸, C1-4-알킬로 1 회 치환된 벤조트리아졸 및 할로겐으로 1 회 치환된 벤조트리아졸;
    여기서, 하나 이상의 성분 (C) 의 총량이 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위임;
    (D) 히스티딘, 1,2-시클로헥실렌디니트릴로테트라아세트산, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온, 아세틸아세토네이트, 2,2'-아잔디일디아세트산, 에틸렌디아민테트라아세트산, 에티드론산, 메탄술폰산, 아세틸아세톤, 1,1,1-트리플루오로-2,4-펜탄디온, 1,4-벤조퀴논, 8-히드록시퀴놀린, 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논, 2-(2-히드록시페닐)-벤족사졸, 2-(2-히드록시페닐)-벤조티아졸, 히드록시퀴놀린 술폰산, 술포살리실산, 살리실산, 피리딘, 2-에틸피리딘, 2-메톡시피리딘, 3-메톡시피리딘, 2-피콜린, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 펜타메틸디에틸렌트리아민, 아세토아세트아미드, 암모늄 카르바메이트, 암모늄 피롤리딘디티오카르바메이트, 디메틸 말로네이트, 메틸 아세토아세테이트, N-메틸 아세토아세트아미드, 테트라메틸암모늄 티오벤조에이트, 2,2,6,6-테트라메틸-3,5-헵탄디온, 테트라메틸티우람 디술파이드, 락트산, 암모늄 락테이트, 포름산, 프로피온산, 감마-부티로락톤, 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 킬레이트제;
    여기서, 하나 이상의 성분 (D) 의 총량이 조성물의 총 중량에 대해서 0.01 내지 4 wt.-% 의 범위임;

    (G) 물.
  2. 제 1 항에 있어서, 하기 중 하나 이상의 특징을 갖는, 조성물:
    (i) 하나 이상의 성분 (A) 가
    - 제 1 항에서 정의한 바와 같은 화학식 I 의 화합물;

    - 제 1 항에서 정의한 바와 같은 화학식 II 의 화합물
    로 이루어진 군에서 선택됨;
    (ii) 하나 이상의 성분 (B) 가 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택됨.
  3. 제 1 항에 있어서,
    - 하나 이상의 성분 (D) 가 히스티딘, 또는 L-히스티딘; 1,2-시클로헥실렌디니트릴로테트라아세트산 및 이의 혼합물로 이루어진 군에서 선택되고,
    및/또는
    - 조성물이 추가의 성분으로서,
    (E) 하나 이상의 계면활성제
    를 포함하는 조성물.
  4. 제 1 항에 있어서,
    - 조성물의 pH 가 3.5 내지 8 의 범위이고,
    및/또는
    - 조성물이 추가의 성분으로서,
    (F) 조성물의 pH 를 3.5 내지 8 의 범위로 완충시키는데 적합한 완충 시스템
    을 포함하는 조성물.
  5. 제 1 항에 있어서, 하기의 것을 포함하는 조성물:
    (A) 하기로 이루어진 군에서 선택되는 하나 이상의 가용화제:
    - 제 1 항에서 정의한 바와 같은 화학식 I 의 화합물,

    - 제 1 항에서 정의한 바와 같은 화학식 II 의 화합물;
    (B) 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 에칭제;
    (C) 하기로 이루어진 군에서 선택되는 적어도 둘을 포함하는 혼합물인 부식 억제제:
    미치환된 벤조트리아졸, C1-4-알킬로 1 회 치환된 벤조트리아졸 및 할로겐으로 1 회 치환된 벤조트리아졸;
    (D) 히스티딘, 1,2-시클로헥실렌디니트릴로테트라아세트산 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 킬레이트제;

    (G) 물;
    조성물의 pH 는 3.5 내지 8 의 범위임.
  6. 제 1 항에 있어서, 하기의 것을 포함하는 조성물:
    (A) 4-메틸모르폴린-4-옥사이드, 트리메틸아민-N-옥사이드, 트리에틸아민-N-옥사이드, 트리에탄올아민-N-옥사이드, 피리딘-N-옥사이드, N-에틸모르폴린-N-옥사이드, N-에틸피롤리딘-N-옥사이드 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 가용화제;
    (B) 암모늄 플루오라이드, 암모늄 바이플루오라이드, 트리에탄올암모늄 플루오라이드, 디글리콜암모늄 플루오라이드, 메틸디에탄올암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 트리에틸아민 트리하이드로플루오라이드, 불화 수소, 플루오로붕산, 테트라플루오로붕산, 암모늄 테트라플루오로보레이트, 플루오로아세트산, 암모늄 플루오로아세테이트, 트리플루오로아세트산, 플루오로규산, 암모늄 플루오로실리케이트, 테트라부틸암모늄 테트라플루오로보레이트 및 이의 혼합물로 이루어진 군에서 선택되는 플루오라이드 음이온을 포함하는 하나 이상의 에칭제;
    (C) 벤조트리아졸, 6-메틸-벤조트리아졸, 5-메틸-벤조트리아졸 및 할로벤조트리아졸로 이루어진 군에서 선택되는 둘 이상의 부식 억제제;
    (D) 1,2-시클로헥실렌디니트릴로테트라아세트산, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온, 아세틸아세토네이트, 2,2'-아잔디일디아세트산, 에틸렌디아민테트라아세트산, 에티드론산, 메탄술폰산, 아세틸아세톤, 1,1,1-트리플루오로-2,4-펜탄디온, 1,4-벤조퀴논, 8-히드록시퀴놀린, 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논, 2-(2-히드록시페닐)-벤족사졸, 2-(2-히드록시페닐)-벤조티아졸, 히드록시퀴놀린 술폰산, 술포살리실산, 살리실산, 피리딘, 2-에틸피리딘, 2-메톡시피리딘, 3-메톡시피리딘, 2-피콜린, 디메틸피리딘, 피페리딘, 피페라진, 트리에틸아민, 트리에탄올아민, 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민, 모노에탄올아민, 메틸디에탄올아민, 피롤, 이속사졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 이소퀴놀린, 인돌, 1-메틸이미다졸, 디이소프로필아민, 디이소부틸아민, 아닐린, 펜타메틸디에틸렌트리아민, 아세토아세트아미드, 암모늄 카르바메이트, 암모늄 피롤리딘디티오카르바메이트, 디메틸 말로네이트, 메틸 아세토아세테이트, N-메틸 아세토아세트아미드, 테트라메틸암모늄 티오벤조에이트, 2,2,6,6-테트라메틸-3,5-헵탄디온, 테트라메틸티우람 디술파이드, 락트산, 암모늄 락테이트, 포름산, 프로피온산, 감마-부티로락톤, 및 이의 혼합물로 이루어진 군에서 선택되는 하나 이상의 킬레이트제;
    (E) 하나 이상의 계면활성제;
    (F) 조성물의 pH 를 6 내지 8 의 범위로 완충시키는데 적합한 완충 시스템; 및
    (G) 물;
    조성물의 pH 는 6 내지 8 의 범위임.
  7. 제 1 항에 있어서, 존재하는 성분 (A) 의 하나 이상의 가용화제의 총량이 조성물의 총 중량에 대해서 2 내지 15 wt.-% 의 범위, 또는 2 내지 12 wt.-% 의 범위인 조성물.
  8. 제 1 항에 있어서, 존재하는 성분 (B) 의 하나 이상의 에칭제의 총량이 조성물의 총 중량에 대해서 0.01 내지 0.1 wt.-% 의 범위인 조성물.
  9. 제 1 항에 있어서, 존재하는 성분 (C) 의 하나 이상의 부식 억제제의 총량이 조성물의 총 중량에 대해서 0.1 내지 2 wt.-% 의 범위인 조성물.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    저-k 물질의 층, 구리 및 코발트 중 하나 이상을 포함하는 층, 또는 이들 모두의 존재하에서, 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위해,
    또는
    저-k 물질의 층, 구리 및 코발트 중 하나 이상을 포함하는 층, 또는 이들 모두의 존재하에서, 기판으로부터 알루미늄 화합물을 포함하는 층을 선택적으로 제거하기 위해,
    또는
    저-k 물질의 층, 구리 및 코발트 중 하나 이상을 포함하는 층, 또는 이들 모두의 존재하에서, 반도체 기판의 표면으로부터 알루미늄 화합물을 포함하는 층을 선택적으로 제거하기 위해
    사용되는 조성물.
  11. 제 10 항에 있어서,
    (i) 금속 하드 마스크, 또는 Ti 하드 마스크, TiN 하드 마스크, Ta 하드 마스크, TaN 하드 마스크, Al 하드 마스크, HfOx 하드 마스크 및 AlCu 하드 마스크로 이루어진 군에서 선택되는 금속 하드 마스크, 또는 TiN 하드 마스크,

    (ii) 구리를 포함하는 층, 또는 구리 층 및/또는 코발트를 포함하는 층, 또는 코발트 층 상에 침착된 알루미늄 화합물의 에칭-정지 층
    을 제거하는 2 단계 공정에서 사용되고, 여기서, 제 2 단계 (ii) 에서 사용되는 조성물.
  12. 하기의 단계를 포함하는 반도체 장치의 제조 방법:
    알루미늄 화합물의 하나 이상의 층을 제 1 항 내지 제 9 항 중 어느 한 항에 따른 조성물과 1 회 이상 접촉시킴으로써, 저-k 물질의 층, 또는 구리 및 코발트 중 하나 이상을 포함하는 층, 또는 이들 모두의 존재하에서 또는 저-k 물질의 층, 및 구리 및 코발트 중 하나 이상을 포함하는 층의 존재하에서, 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 하나 이상의 층을 선택적으로 에칭하는 단계.
  13. 제 12 항에 있어서, 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 상기 하나 이상의 층이 상부 층이고, 구리 및 코발트 중 하나 이상을 포함하는 층이 상부 층에 의해 피복된 하부 층이며, 상부 층과 하부 층 사이에 하나 이상의 추가의 층이 존재하거나 또는 존재하지 않는 반도체 장치의 제조 방법.
  14. 제 12 항에 있어서, 하기의 단계를 포함하는 2 단계 세정 공정을 포함하는 반도체 장치의 제조 방법:
    별도의 제 2 단계에서, 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 하나 이상의 층을 선택적으로 에칭하기 전에,
    제 1 단계에서, 금속 하드 마스크, 또는 Ti 하드 마스크, TiN 하드 마스크, Ta 하드 마스크, TaN 하드 마스크, Al 하드 마스크, HfOx 하드 마스크 및 AlCu 하드 마스크로 이루어진 군에서 선택되는 금속 하드 마스크; 또는 TiN 하드 마스크를 제거하는 단계.
  15. 제 12 항에 있어서, 알루미늄 화합물을 포함하는 또는 이것으로 이루어지는 하나 이상의 층이 에칭 전에, 30 nm 이하, 또는 20 nm 이하, 또는 10 nm 이하, 또는 5 nm 이하의 최대 두께를 갖는 반도체 장치의 제조 방법.
KR1020207019060A 2017-12-08 2018-12-05 저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법 KR102609044B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17206096 2017-12-08
EP17206096.4 2017-12-08
EP18180361 2018-06-28
EP18180361.0 2018-06-28
PCT/EP2018/083683 WO2019110680A2 (en) 2017-12-08 2018-12-05 Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt

Publications (2)

Publication Number Publication Date
KR20200096576A KR20200096576A (ko) 2020-08-12
KR102609044B1 true KR102609044B1 (ko) 2023-12-01

Family

ID=64556932

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207019060A KR102609044B1 (ko) 2017-12-08 2018-12-05 저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법

Country Status (7)

Country Link
US (2) US20200339523A1 (ko)
EP (1) EP3720989A2 (ko)
JP (1) JP7383614B2 (ko)
KR (1) KR102609044B1 (ko)
CN (1) CN111465716A (ko)
IL (1) IL274880B2 (ko)
WO (1) WO2019110680A2 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11377624B2 (en) * 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
TW202124776A (zh) * 2019-12-20 2021-07-01 美商慧盛材料美國有限責任公司 Co/cu選擇性濕蝕刻劑
KR20220152755A (ko) 2021-05-10 2022-11-17 삼성전자주식회사 원자층 식각 방법 및 이를 이용한 반도체 장치 제조 방법
KR102574751B1 (ko) * 2021-12-07 2023-09-06 인하대학교 산학협력단 구리 박막의 건식 식각방법
CN115323383B (zh) * 2022-08-09 2023-10-27 河南大学 离子液体缓蚀剂改性的水滑石复合涂层的制备方法及其在镁合金防腐中的应用
CN117946686A (zh) * 2022-10-18 2024-04-30 安集微电子科技(上海)股份有限公司 一种选择性蚀刻氧化铝的组合物
WO2024141400A1 (en) 2022-12-29 2024-07-04 Basf Se Composition for selectively removing oxide compounds and etching residues of one or both of co and cu

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
JP2002241795A (ja) 2001-02-21 2002-08-28 Tosoh Corp 洗浄剤
US6696222B2 (en) 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
US7166419B2 (en) 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
US20040061092A1 (en) 2002-09-30 2004-04-01 Seagate Technology Llc Wet etch for selective removal of alumina
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
JP2009512194A (ja) * 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
CN101356629B (zh) * 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
KR20100082012A (ko) 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
US8222149B2 (en) 2008-09-22 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for photoresist pattern removal
KR101627509B1 (ko) * 2010-03-04 2016-06-08 삼성전자주식회사 식각액, 식각액을 사용한 게이트 절연막의 형성 방법 및 식각액을 사용한 반도체 소자의 제조 방법
US8114773B2 (en) 2010-07-06 2012-02-14 United Microelectronics Corp. Cleaning solution, cleaning method and damascene process using the same
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
SG11201509933QA (en) * 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal

Also Published As

Publication number Publication date
WO2019110680A2 (en) 2019-06-13
JP7383614B2 (ja) 2023-11-20
WO2019110680A3 (en) 2019-11-21
IL274880B1 (en) 2023-12-01
TW201925428A (zh) 2019-07-01
IL274880B2 (en) 2024-04-01
IL274880A (en) 2020-07-30
EP3720989A2 (en) 2020-10-14
US20200339523A1 (en) 2020-10-29
KR20200096576A (ko) 2020-08-12
CN111465716A (zh) 2020-07-28
US20240093089A1 (en) 2024-03-21
JP2021506130A (ja) 2021-02-18

Similar Documents

Publication Publication Date Title
KR102609044B1 (ko) 저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법
KR101449774B1 (ko) 에칭 후 잔류물의 제거를 위한 액체 세정제
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR102334603B1 (ko) 경질 마스크를 선별적으로 제거하기 위한 제거 조성물 및 이의 방법
JP4758982B2 (ja) 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス
TWI651396B (zh) 選擇性蝕刻氮化鈦之組成物及方法
US20090118153A1 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
TWI648396B (zh) 用來移除表面殘餘物的清洗調配物
US8822396B2 (en) Solution for removing residue after semiconductor dry process and method of removing the residue using the same
KR102372109B1 (ko) 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거
KR20140138902A (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
KR102375342B1 (ko) Tin 풀-백 및 클리닝 조성물
US20220220421A1 (en) Composition and process for electively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
TW202108821A (zh) 蝕刻組成物
TWI842690B (zh) 用於在低-k材料、銅及/或鈷之層之存在下選擇性蝕刻包含鋁化合物之層之組成物及方法
US20190048292A1 (en) Processing Composition of Improved Metal Interconnect Protection and The Use Thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant