KR102560205B1 - 반도체 프로세스를 위해서 무-f3no fno 가스 및 무-f3no fno 가스 혼합물의 저장 및 공급을 위한 시스템 및 방법 - Google Patents

반도체 프로세스를 위해서 무-f3no fno 가스 및 무-f3no fno 가스 혼합물의 저장 및 공급을 위한 시스템 및 방법 Download PDF

Info

Publication number
KR102560205B1
KR102560205B1 KR1020217022223A KR20217022223A KR102560205B1 KR 102560205 B1 KR102560205 B1 KR 102560205B1 KR 1020217022223 A KR1020217022223 A KR 1020217022223A KR 20217022223 A KR20217022223 A KR 20217022223A KR 102560205 B1 KR102560205 B1 KR 102560205B1
Authority
KR
South Korea
Prior art keywords
fno
gas
etching
free
mixture
Prior art date
Application number
KR1020217022223A
Other languages
English (en)
Other versions
KR20210100186A (ko
Inventor
아야까 니시야마
지로 요꼬타
치-유 슈
펭 센
나탄 스태포드
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20210100186A publication Critical patent/KR20210100186A/ko
Application granted granted Critical
Publication of KR102560205B1 publication Critical patent/KR102560205B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/083Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms
    • C01B21/084Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms containing also one or more oxygen atoms, e.g. nitrosyl halides
    • C01B21/0842Halides of nitrogen oxides
    • C01B21/0844Nitrosyl fluoride
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/80Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70
    • C01P2002/82Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70 by IR- or Raman-data
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

무-F3NO FNO-함유 가스를 공급하기 위한 시스템 및 방법 그리고 에칭을 위해서 무-F3NO FNO-함유 가스를 이용하는 시스템 및 방법이 개시된다. 시스템은 무-F3NO FNO-함유 가스를 저장하기 위한, 폴리싱된 내부 표면을 갖는 NiP 코팅된 강 실린더, 무-F3NO FNO-함유 가스를 실린더로부터 방출하기 위한 실린더 밸브, 압력 조절기를 포함하는 매니폴드 조립체, 및 무-F3NO FNO-함유 가스를 목표 반응기에 전달하기 위한 라인 구성요소를 포함한다. 압력 조절기는 매니폴드 조립체 내에서 무-F3NO FNO-함유 가스를 감압시키고, 그에 의해서 매니폴드 조립체를 압력 조절기 상류의 제1 압력 구역 및 압력 조절기 하류의 제2 압력 구역으로 분할한다. 기체 조성물은 약 1 부피% 미만의 F3NO 불순물을 포함하는 무-F3NO FNO 가스; 및 무-F3NO FNO 가스 내의 F3NO 불순물의 농도를 억제할 수 있는 불활성 가스를 포함한다.

Description

반도체 프로세스를 위해서 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물의 저장 및 공급을 위한 시스템 및 방법
관련 출원의 상호 참조
본 출원은 2018년 12월 20일자로 출원된 미국출원 제16/227,623호의 이익을 주장하며, 모든 목적을 위해 그 전체 내용이 본 명세서에 참고로서 포함된다.
기술분야
반도체 프로세스를 위해서 무-F3NO FNO, 무-F3NO FNO/N2, 무-F3NO FNO/F2, 또는 무-F3NO FNO/F2/N2, 또는 기타와 같은 무-F3NO 가스 및 무-F3NO 가스 혼합물을 저장 및 공급하기 위한 시스템 및 방법, 그리고 반도체 구조물을 에칭하기 위해서 무-F3NO 가스 및 무-F3NO 가스 혼합물을 이용하는 시스템 및 방법이 개시된다.
불소-함유 화합물이 반도체 재료를 에칭하기 위해서 이용되고 있다. 열 에칭 가스로서 이용될 수 있는 고반응성 불소화 에칭 화합물의 예로서, 니트로실 불화물(FNO)이 있다.
FNO를 생산하기 위한 다양한 방법이 개시되어 있다. 예를 들어, C. Woolf("Oxyfluoride of Nitrogen", Adv. Fluorine Chem. 5 (1965), p1-30)는 FNO를 생산하기 위해서 시작 재료 일산화질소(NO) 및 불소(F2)를 이용하는 것을 개시한다. FNO를 생산하기 위해서 NO를 시작 재료로 이용할 때, 이산화질소(NO2), 아산화질소(N2O), 등과 같은, 질소 산소 화합물의 미량의 가스 불순물이 NO 내에 존재할 수 있다. C. Woolf와 관련된 반응은 이하를 포함한다:
2NO + F2 → 2FNO,
N2O + 2F2 → NF3 + FNO,
NF3 + NO → ½ N2F4 + FNO.
C. Woolf는 또한, FNO를 생산하기 위한 니트로실 화합물과 금속 불화물 사이의 반응, 예를 들어 NOBF4 + NaF → FNO + NaBF4를 개시한다. J. H. Holloway 등(Advances in inorganic chemistry and radiochemistry Vol.27, p157-195)은, C. Woolf에 제시된 방법과 함께, FNO를 생산하기 위해서 AgF에 의한 NOCl의 불소화 및 XeF2 또는 XeF4에 의한 NO의 불소화를 이용하는 것을 개시한다. Stepaniuk 등의 US 4996035는 FNO를 생산하기 위해서 온화한 조건에서 질화물과 수소 불화물을 혼합하는 것을 개시한다. Lipscomb 등의 US 3043662는, 전기 아크와 함께 1000℃ 초과의 온도에서 시작 재료 CF4 또는 COF2 그리고 2원계 질소 산화물, 즉 N2O, NO, N2O3 및 NO2를 이용하는 것을 개시하고, 이는 NF3, N2F2 및 FNO를 생산한다.
FNO 또는 FNO 가스 혼합물은 에칭 가스 또는 세정제로서 이용되어 왔다. 예를 들어, Sonobe 등의 WO 2008/117258은, F2(과다) + NO → F2 + FNO로 생산된 FNO를 이용한 저온 열 세정 방법을 개시한다. McDonald 등의 US 4536252는, 반도체 표면을 에칭하기 위해서 이용되는 레이저-유도 방법에 의해서 FNO가 제조되는 것을 개시한다. Kameda 등의 US 2014/0248783는, 기재 상에 필름을 형성한 후에 CVD 반응 챔버 내의 침착물(deposit)을 제거하기 위한 세정제로서 F2 및 FNO의 혼합물을 이용하는 것을 개시하고, 여기에서 FNO는 F2 및 NO의 반응으로부터 생산된다. Sato 등의 US 2013/0220377은 가열과 함께 F2 및 NO를 이용하여 필름-형성 장치를 세정하는 방법을 개시한다. Khan 등의 US 6318384는, FNO를 포함하는 FC 화합물로 반도체 기재 상의 필름을 에칭하는 것 및 에칭 챔버를 세정하는 것을 포함하는, 규소 기재 내에 깊은 트렌치를 형성하는 자가-세정 방법을 개시한다. Akira 등의 US 2003/0143846은 F3NO 또는 F3NO와 O2의 조합 및/또는 불활성 가스(들)을 포함하거나 FNO 또는 FNO와 O2의 조합 및/또는 불활성 가스(들)을 포함하는, 규질(silicic) 침착물로 오염된 필름 침착 챔버의 내측부를 세정하기 위한 가스 조성물; 그리고 또한 규소-함유 화합물의 필름 예를 들어 반도체 재료의 필름을 에칭하기 위한 유사 가스 조성물을 개시한다.
이러한 종래 기술 중에서, 가장 단순한 FNO 제조 방법은 F2와 NO 사이의 직접적인 반응이고, 이는 많은 FNO 산출량(yield) 및 적은 불순물 생성을 가질 것으로 예상된다. 그러나, F2 및 NO를 시작 재료로 이용하는 것은, 반응 조건에 따라, FNO 대신 F3NO(니트로실 삼불화물 또는 트리플루오로아민 산화물)를 또한 생산할 수 있다. 예를 들어, Maxwell 등(US 3341292)은 F2와 NO 사이의 반응으로부터 F3NO를 만들기 위한 프로세스를 개시하고, 여기에서 F2 및 NO의 공급 속도 및 F2 및 NO의 비율은 F2 및 NO의 자발적인 발열 반응을 유지하도록 조절된다. Maxwell 등은 전체적인 반응을 1.5F2 + NO → F3NO + 열로 요약하였으나, 실시되는 그러한 반응의 메커니즘은 이해되지 않았다.
F3NO는 여러 조건에서 다양한 시작 재료를 이용하여 생산되고 있다. Maxwell 등(US 3341292)에 의해서 개시된 것과 같이 F2과 NO 사이의 반응으로부터 F3NO를 생산하는 것 이외에, F3NO를 생산하기 위해서 다른 시작 재료가 이용된다. 예를 들어, Fox 등(US 3306834)은, 25 내지 50℃ 범위의 온도에서 자외선 광을 조사하면서 FNO를 F2와 혼합하여 F3NO를 생산하는 것, 즉 FNO + F2 F3NO를 개시한다. Fox 등(US 3392099)은 또한 반응기의 반응 구역 내의 전기 방전에서 시작 재료 NF3 및 O2로 F3NO를 생산하는 것을 개시한다. Gross 등(US 3554699)은, 이하와 같이, 글로우 방전의 존재 하에서 NF3와 산화된 산소 또는 N2O 사이의 반응에 의해서 F3NO가 제조되는 것을 개시한다:
NF3 + O3 → F3NO + O2
NF3 + N2O → F3NO + N2.
Yonemura 등("Evaluation of FNO and F3NO as Substitute Gases for Semiconductor CVD Chamber Cleaning", J. Electrochem. Soc. 2003 150(11): G707-G710) (2003))은, Yonemura 등으로부터 재현된 도 1에 도시된 바와 같이, F3NO가 FNO보다 Si 재료에 대해서 더 큰 반응성을 갖는다는 것을 개시한다.
또한, FNO가 부식적이고, 이는 에칭 가스 컨테이너 및 파이프 라인, 에칭 챔버, 에칭되는 기재 등을 부식시킬 수 있고, 반도체 소자 성능을 저하시킬 수 있다는 것이 알려져 있다.
따라서, 제어된 양의 F3NO 형성으로 반도체 표면을 에칭하기 위해서 FNO가 이용되는 원래의 장소(in situ)에서 그 가까이에서 FNO를 생산하기 위한, 그리고 또한 FNO의 저장 및 전달을 위한 효과적인 재료 양립성(compatibility)을 제공하기 위한 절차의 제공이 필요하다.
무-F3NO FNO-함유 가스의 저장 및 공급을 위한 시스템이 개시된다. 개시된 시스템은, 무-F3NO FNO-함유 가스를 저장하도록 구성되고 적응된 폴리싱된 내부 표면을 갖는 NiP 코팅된 강 실린더, 실린더로부터 무-F3NO FNO-함유 가스를 방출하도록 구성되고 적응된, 실린더와 유체 연통되는, 실린더 밸브, 및 무-F3NO FNO-함유 가스를 목표 반응기에 전달하도록 구성되고 적응된, 실린더 밸브 하류의, 압력 조절기 및 라인 구성요소를 포함하는, 매니폴드 조립체를 포함하고, 압력 조절기는, 매니폴드 조립체를 압력 조절기 상류의 제1 압력 구역 및 압력 조절기 하류의 제2 압력 구역으로 분할하기 위해서, 매니폴드 조립체 내에서 무-F3NO FNO-함유 가스를 감압하도록 구성되고 적응된다.
무-F3NO FNO-함유 가스의 저장 및 공급을 위한 방법이 개시된다. 방법은: 폴리싱된 내부 표면을 갖는 NiP 코팅된 강 실린더 내에서 무-F3NO FNO-함유 가스를 저장하는 단계, 실린더 및 매니폴드 조립체와 유체 연통되는 실린더 밸브를 활성화시키는 것에 의해서 무-F3NO FNO-함유 가스를 실린더로부터 매니폴드 조립체로 방출하는 단계, 매니폴드 조립체를 압력 조절기 상류의 제1 압력 구역 및 압력 조절기 하류의 제2 압력 구역으로 분할하기 위해서, 매니폴드 조립체 내에서 압력 조절기를 활성화하는 것에 의해서 무-F3NO FNO-함유 가스를 감압하는 단계, 및 감압된 무-F3NO FNO-함유 가스를 제2 압력 구역 하류의 목표 반응기에 공급하는 단계를 포함한다.
또한 에칭 시스템이 개시된다. 개시된 시스템은, 피에칭 기재를 내부에서 유지하도록 구성되고 적응된 반응기, 가압된 에칭 가스 무-F3NO FNO를 저장하도록 구성되고 적응된 NiP 코팅된 강 실린더, NiP 코팅된 강 실린더로부터 에칭 가스 무-F3NO FNO를 방출하도록 구성되고 적응된, 실린더와 유체 연통되는, 실린더 밸브, 및 에칭 가스 무-F3NO FNO를 반응기에 전달하도록 구성되고 적응된, 실린더 밸브 하류의, 압력 조절기 및 라인 구성요소를 포함하는, 매니폴드 조립체를 포함하고, 매니폴드 조립체 내의 압력 조절기는, 매니폴드 조립체를 압력 조절기 상류의 제1 압력 구역 및 압력 조절기 하류의 제2 압력 구역으로 분할하기 위해서, 에칭 가스 무-F3NO FNO를 감압하도록 구성되고 적응된다.
개시된 시스템 및 방법 중 임의의 것이 다음 양태들 중 하나 이상을 포함할 수 있다:
· 무-F3NO FNO 가스는 F3NO 불순물을 적게 포함하거나 포함하지 않고;
· 무-F3NO FNO 가스는 약 1 부피% 미만의 F3NO를 포함하고;
· 무-F3NO FNO 가스가 0.1 부피% 미만의 F3NO를 포함하고;
· 무-F3NO FNO 가스가 0.01 부피% 미만의 F3NO를 포함하고;
· 무-F3NO는 1% 미만의 F3NO 불순물을 가지는 가스를 지칭하고;
· 무-F3NO FNO-함유 가스 내에 포함된 무-F3NO FNO 가스는 약 1 부피% 미만의 F3NO를 가지고;
· 미리-합성된 FNO는 99% 이상의 순도를 가지고;
· F2 및 NO는 2NO + F2 → 2FNO의 반응으로 원래의 장소에서 무-F3NO FNO 가스를 생산하기 위한 시작 재료이고;
· 시작 재료 NO는 순수하고;
· 시작 재료 NO는 약 99.9 부피% 내지 약 100.0 부피%이고;
· 시작 재료 NO는 약 99.99 부피% 내지 약 100.00 부피%이고;
· 시작 재료 NO는 약 99.999 부피% 내지 약 100.000 부피%이고;
· 시작 재료 NO 가스는, NO 가스 대신 약 0 부피 ppm 내지 약 600 부피 ppm의 N-O 함유 가스를 갖는 약 0.0 부피% 내지 약 0.1 부피%의 미량 가스 불순물을 포함하고;
· 시작 재료 NO 가스는, 약 0 부피 ppm 내지 약 600 부피 ppm의 NO2를 갖는 약 0.0 부피% 내지 약 0.1 부피%의 미량 가스 불순물을 포함하고;
· 시작 재료 NO 가스는, 약 0 부피 ppm 내지 약 600 부피 ppm의 N2O를 갖는 약 0.0 부피% 내지 약 0.1 부피%의 미량 가스 불순물을 포함하고;
· 화학양론적 조건 이하(F2/NO ≤ ½)의 F2/NO 비율로 F2 및 NO를 혼합하고;
· 필요에 따른 무-F3NO FNO 가스의 농도를 획득하기 위해서, N2, Ar, He, Ne, Kr, Xe, 또는 이들의 혼합물과 같은 불활성 가스 내에서 무-F3NO FNO 가스를 희석하고;
· 필요에 따른 무-F3NO FNO 가스의 농도를 획득하기 위해서 무-F3NO FNO 가스를 N2 내에서 희석하고;
· N2 내의 무-F3NO FNO 가스의 농도가 0.01% 내지 80% 범위이고;
· N2 내의 무-F3NO FNO 가스의 농도가 0.01% 내지 30% 범위이고;
· N2 내의 무-F3NO FNO 가스의 농도가 3%이고;
· N2 내의 무-F3NO FNO 가스의 농도가 15%이고;
· 무-F3NO FNO 가스 혼합물은 무-F3NO FNO/F2/N2의 가스 혼합물이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 무-F3NO FNO 가스의 농도가 0.01% 내지 80% 범위이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 무-F3NO FNO 가스의 농도가 0.01% 내지 30% 범위이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 무-F3NO FNO 가스의 농도가 3%이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 무-F3NO FNO 가스의 농도가 15%이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 F2의 농도가 0% 내지 80% 범위이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 F2의 농도가 0% 내지 20% 범위이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 F2의 농도가 0%이고;
· 무-F3NO FNO/F2/N2 가스 혼합물 내의 F2의 농도가 10%이고;
· FNO/F2/N2의 가스 혼합물 내의 무-F3NO FNO 가스의 농도가 15%이고, FNO/F2/N2의 가스 혼합물 내의 F2 의 농도가 10%이고;
· 2-단계의 F2 혼합 프로세스로 FNO/F2/N2의 가스 혼합물을 생산하고;
· 2-단계의 F2 혼합 프로세스는 i) F2 및 NO를 화학양론적 조건 이하(F2/NO ≤ ½)에서 혼합하여 무-F3NO FNO 가스를 생산하는 것, 및 ii) 부가적인 F2를 생산된 무-F3NO FNO 가스에 첨가하는 것을 포함하고;
· 2-단계의 F2 혼합 프로세스가 F3NO의 형성을 억제하고;
· 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물이 NiP 코팅된 강 실린더 내에 저장되고;
· NiP 코팅된 강 실린더는 강으로 제조된 탄소강 실린더이고;
· NiP 코팅된 강 실린더는 합금 4130X로 제조된 탄소강 실린더이고;
· NiP 코팅된 강 실린더는 NiP 코팅된 내부 표면을 갖는 합금 4130X로 제조된 탄소강 실린더이고;
· NiP 코팅된 강 실린더의 NiP 코팅된 내부 표면이 폴리싱되고;
· NiP 코팅된 강 실린더와 유체 연통되는 실린더 밸브가 니켈 재료로 제조되고;
· NiP 코팅된 강 실린더와 유체 연통되는 실린더 밸브가 니켈 합금으로 제조되고;
· NiP 코팅된 강 실린더와 유체 연통되는 실린더 밸브가 적어도 14 중량%의 니켈 함량을 가지는 니켈 합금으로 제조되고;
· 실린더 밸브가 Ceodeux D306 Ni 본체 Ni 격막이고;
· 매니폴드 조립체가 압력 조절기에 의해서 제1 압력 구역 및 제2 압력 구역으로 분할되고;
· 제1 압력 구역 내의 압력이 제2 압력 구역 내의 압력보다 높고;
· 제1 압력 구역 내의 압력이 0.8 MPa 내지 3.5 MPa의 범위이고;
· 제1 압력 구역 내의 압력이 0.99 MPa이고;
· 제2 압력 구역 내의 압력이 0.1 MPa 내지 0.8 MPa의 범위이고;
· 제2 압력 구역 내의 압력이 0.5 MPa이고;
· 제1 압력 구역 내의 라인 구성요소가 고-니켈 함량 재료로 구성되고;
· 제1 압력 구역 내의 라인 구성요소가 MONEL®로 구성되고;
· 제1 압력 구역 내의 라인 구성요소가 INCONEL®로 구성되고;
· 제1 압력 구역 내의 라인 구성요소가 HASTELLOY® C-22® 합금으로 구성되고;
· 고-니켈 함량 재료가 적어도 14 중량%의 니켈을 포함하고;
· 제1 압력 구역 내의 라인 구성요소가 Fe-함유 합금으로 구성되지 않고;
· 제1 압력 구역 내의 라인 구성요소가 스테인리스 강(SS)으로 구성되지 않고;
· 제1 압력 구역 내의 라인 구성요소가 Mn-강으로 구성되지 않고;
· 제2 압력 구역 내의 라인 구성요소가, 14 중량% 미만의 니켈을 포함하는 저-니켈 함량 재료로 구성되고;
· 제2 압력 구역 내의 라인 구성요소가 니켈을 포함하지 않는 저-니켈 함량 재료로 구성되고;
· 제2 압력 구역 내의 라인 구성요소가 임의의 금속 또는 금속 합금으로 구성되고;
· 제2 압력 구역 내의 라인 구성요소가 금속 또는 금속 합금으로 제조되고;
· 제2 압력 구역 내의 라인 구성요소가 스테인리스 강으로 구성되고;
· 스테인리스 강이 SS316L이고;
SS316L은 14% 이하의 니켈을 포함하고;
SS316L은 F3NO-단독(only)과 양립될 수 있고;
SS316L은 무-F3NO FNO/F2/N2와 양립될 수 없고;
SS316L은, F2 또는 FNO를 이용한 부동태화 후에, 제2 압력 구역 내에서 무-F3NO FNO/F2/N2와 양립될 수 있고;
SS316L은, 에칭 가스가 F2를 포함하지 않는 경우에, 제2 압력 구역 내의 라인 구성요소를 제조하기에 적합하고;
· 무-F3NO FNO-함유 가스는 무-F3NO FNO 가스, 무-F3NO FNO 가스와 불활성 가스의 혼합물, 무-F3NO FNO 가스와 부가적인 가스의 혼합물, 및 무-F3NO FNO 가스와 불활성 가스 및 부가적인 가스의 혼합물로 이루어진 그룹으로부터 선택되고;
· 무-F3NO FNO-함유 가스는 무-F3NO FNO 가스이고;
· 무-F3NO FNO-함유 가스는 무-F3NO FNO 가스와 불활성 가스의 혼합물이고;
· 무-F3NO FNO-함유 가스는 무-F3NO FNO 가스와 부가적인 가스의 혼합물이고;
· 무-F3NO FNO-함유 가스는 무-F3NO FNO 가스와 불활성 가스 및 부가적인 가스의 혼합물이고;
· 무-F3NO FNO 가스 혼합물은 무-F3NO FNO 가스, 무-F3NO FNO 가스와 불활성 가스의 혼합물, 무-F3NO FNO 가스와 부가적인 가스의 혼합물, 및 무-F3NO FNO 가스와 불활성 가스 및 부가적인 가스의 혼합물로 이루어진 그룹으로부터 선택되고;
· 무-F3NO FNO 가스 혼합물은 무-F3NO FNO 가스이고;
· 무-F3NO FNO 가스 혼합물은 무-F3NO FNO 가스와 불활성 가스의 혼합물이고;
· 무-F3NO FNO 가스 혼합물은 무-F3NO FNO 가스와 부가적인 가스의 혼합물이고;
· 무-F3NO FNO 가스 혼합물은 무-F3NO FNO 가스와 불활성 가스 및 부가적인 가스의 혼합물이고;
· 불활성 가스는 N2, Ar, He, Ne, Kr, Xe, 또는 이들의 혼합물이고;
· 불활성 가스가 N2이고;
· 부가적인 가스는 F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, 및 이들의 조합으로 이루어진 그룹으로부터 선택되고;
· 부가적인 가스가 F2이고;
· 산화제가 무-F3NO FNO 가스 또는 무-F3NO FNO-함유 가스 혼합물에 첨가되고;
· 산화제가 O2, O3, CO, CO2, COS, NO, N2O, NO2, SO2, 및 이들의 조합이고;
· 산화제 및 무-F3NO FNO 가스 또는 무-F3NO FNO-함유 가스 혼합물이, 반응 챔버 또는 에칭 챔버 내로 도입되기 전에, 함께 혼합되고;
· 산화제는 챔버 내에 도입된 혼합물의 약 0.01 부피% 내지 약 99.99 부피%를 포함하고(99.99 부피%는 연속적인 도입 대안에서 거의 순수한 산화제의 도입을 나타내고);
· 산화제는 반응 챔버 내로 연속적으로 도입되고, 에칭 가스는 펄스로 반응 챔버 내로 도입되고;
· 적어도 99.9 부피%의 NO 가스의 순도로, 그리고 ½ 이하의 F2 가스 대 NO 가스의 비율로 NO 및 F2 가스를 혼합하는 것에 의해서 무-F3NO FNO-함유 가스 내에 포함된 무-F3NO FNO 가스를 생산하고, 생산된 무-F3NO FNO 가스는 약 1% 미만의 F3NO를 포함하고;
· 이하의 단계를 포함하는 2-단계 F2 혼합 절차에 의해서 무-F3NO FNO 가스, F2 및 N2의 가스 혼합물을 생산하고
무-F3NO FNO 가스를 생산하기 위해서, 적어도 99.9 부피%의 NO의 순도로, 그리고 ½ 이하의 F2/NO의 비율로 F2 및 NO를 혼합하는 단계;
무-F3NO FNO 가스 및 F2의 가스 혼합물을 생산하기 위해서, 생산된 무-F3NO FNO 가스와 부가적인 양의 F2를 혼합하는 단계; 및
무-F3NO FNO 가스, F2 및 N2의 가스 혼합물을 형성하기 위해서, N2 내에서 무-F3NO FNO 가스 및 F2의 가스 혼합물을 희석하는 단계;
· F2로 매니폴드 조립체를 부동태화하고;
· FNO로 매니폴드 조립체를 부동태화하고;
· F2로 매니폴드 조립체의 제1 압력 구역을 부동태화하고;
· FNO로 매니폴드 조립체의 제1 압력 구역을 부동태화하고;
· F2로 매니폴드 조립체의 제2 압력 구역을 부동태화하고;
· FNO로 매니폴드 조립체의 제2 압력 구역을 부동태화하고;
· 제1 가스 라인이 매니폴드 조립체에 평행하고;
· 제1 가스 라인이 부가적인 에칭 가스를 에칭 챔버에 공급하고, 부가적인 에칭 가스는 F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, 및 이들의 조합으로 이루어진 그룹으로부터 선택되고;
· 제1 가스 라인이 부가적인 에칭 가스를 NiP 코팅된 강 실린더에 공급하고, 부가적인 에칭 가스는 F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, 및 이들의 조합으로 이루어진 그룹으로부터 선택되고;
· 제1 가스 라인이 F2를 공급하고;
· 무-F3NO FNO 에칭 가스 및 부가적인 가스(예를 들어, F2)는 반응 챔버로의 도입 전에 혼합되고;
· 불활성 가스를 매니폴드 조립체의 제1 압력 구역에 공급하기 위한 제2 가스 라인으로서, 무-F3NO FNO 가스가 불활성 가스와 혼합되어 희석된 무-F3NO FNO 가스를 생산하고, 불활성 가스는 N2, Ar, He, Ne, Kr, Xe, 또는 이들의 혼합물이며;
· N2를 매니폴드 조립체의 제1 압력 구역에 공급하기 위한 제2 가스 라인;
· 불활성 가스를 NiP 코팅된 강 실린더에 공급하기 위한 제2 가스 라인으로서, 무-F3NO FNO 가스가 불활성 가스와 혼합되어 희석된 무-F3NO FNO 가스를 생산하고, 불활성 가스는 N2, Ar, He, Ne, Kr, Xe, 또는 이들의 혼합물이며;
· N2를 NiP 코팅된 강 실린더에 공급하기 위한 제2 가스 라인;
· 가스 실린더 내의 무-F3NO FNO 가스가 불활성 가스를 포함하고;
· 가스 실린더 내의 무-F3NO FNO 가스가 N2를 포함하고;
· 에칭 프로세스가 열 에칭 프로세스이고;
· 에칭 프로세스가 플라즈마 건식 에칭 프로세스이고;
· 에칭 챔버가 소정 온도까지 가열되고;
· 에칭 챔버의 온도가 20℃ 내지 1000℃의 범위이고;
· 에칭 챔버의 온도가 실온 내지 1000℃의 범위이고;
· 에칭 챔버의 온도가 100℃ 내지 600℃의 범위이고;
· 에칭 챔버의 온도가 100℃ 내지 300℃의 범위이고;
· 에칭 챔버의 온도가 100℃이고;
· 에칭 챔버의 온도가 500℃이고;
· 에칭 챔버의 온도가 600℃이고;
· 제1 압력 구역 내의 압력이 0.8 MPa 내지 3.5 MPa의 범위이고;
· 제2 압력 구역 내의 압력이 0.1 MPa 내지 0.8 MPa의 범위이고;
· 제1 압력 구역 내의 압력이 0.99 MPa이고;
· 제2 압력 구역 내의 압력이 0.5 MPa이고;
· 에칭 챔버 내의 압력이 약 0.1 mTorr 내지 약 1000 Torr의 범위이고;
· 무-F3NO FNO 함유 에칭 가스의 유량이 약 0.1 sccm 내지 약 30 slm의 범위이고;
· 반응 챔버가 열 에칭 챔버이고;
· 반응 챔버가 플라즈마 에칭 챔버이고;
· 반응 챔버가 침착 챔버이고;
· 반응기 내의 기재가 피에칭 필름을 포함하고;
· 에칭 챔버 내의 기재가 피에칭 필름을 포함하고;
· 반응기의 내부 표면이 피에칭 침착물을 포함하고;
· 반응기의 내부 표면이 피에칭 필름을 포함하고;
· 침착 챔버의 내부 표면은 에칭 또는 제거하기 위한 내부 표면 상의 침착물의 층을 포함하고;
· 침착 챔버의 내부 표면은 에칭 또는 제거하기 위한 내부 표면 상의 필름을 포함하고;
· 침착 챔버의 내부 표면은 세정하기 위한 내부 표면 상의 침착물의 층을 포함하고;
· 침착 챔버의 내부 표면은 세정하기 위한 내부 표면 상의 필름을 포함하고;
· 제1 압력 구역 내의 라인 구성요소가 가스 필터, 압력 센서, 압력 조절기, 가스 밸브, 파이프, 및 이들의 조합을 포함하고;
· 제2 압력 구역 내의 라인 구성요소가 가스 필터, 압력 센서, 가스 밸브, 질량 유동 제어기(MFC), 파이프, 및 이들의 조합을 포함하고;
· 고-니켈 함량 재료가 적어도 14 중량%의 니켈을 갖는 니켈 합금이고;
· 고-니켈 함량 재료가 순수 니켈이고;
· 고-니켈 함량 재료가 니켈 합금이고;
· 고-니켈 함량 재료가 MONEL®, INCONEL® 또는 HASTELLOY® C-22® 합금이고;
· 저-니켈 함량 재료가 14 중량% 미만의 니켈을 갖는 니켈 합금이고;
· 저-니켈 함량 재료가 스테인리스 강이고;
또한, 반도체 적용예를 위한 기체 조성물이 개시된다. 기체 조성물은 약 1 부피% 미만의 F3NO 불순물을 포함하는 무-F3NO FNO 가스; 및 무-F3NO FNO 가스 내의 F3NO 불순물의 농도를 억제할 수 있는 불활성 가스를 포함한다. 개시된 가스 조성물은 이하의 양태들 중 하나 이상을 포함한다:
· 무-F3NO FNO 가스는 약 1 부피% 미만의 F3NO불순물을 포함하고;
· 불활성 가스는 N2, Ar, He, Ne, Kr, Xe, 또는 이들의 혼합물이고;
· 불활성 가스가 N2이고;
· 불활성 가스는 무-F3NO FNO 가스 내의 F3NO 불순물의 농도를 억제할 수 있고;
· N2는 무-F3NO FNO 가스 내의 F3NO 불순물의 농도를 억제할 수 있고;
· 무-F3NO FNO 가스는 99 부피%의 순도를 가지고;
· 무-F3NO FNO 가스는 약 99 부피% 내지 약 99.999 부피% 범위의 순도를 가지고;
· 무-F3NO FNO 가스는 1 부피% 미만의 미량의 가스 불순물을 포함하고;
· 미량 가스 불순물은 물을 포함하고;
· 미량 가스 불순물은 NO2를 포함하고;
· 미량 가스 불순물은 N2O를 포함하고;
· 미량 가스 불순물은 F3NO를 포함하고;
· 무-F3NO FNO 가스가 1 부피% 미만의 F3NO를 포함하고; 그리고
· 무-F3NO FNO 가스는 20 ppmw 미만의 물 함량을 갖는다.
표기법 및 명명법
이하의 상세한 설명 및 청구범위는 많은 수의 약어, 심볼, 및 용어를 이용하고, 이들은 일반적으로 당업계에 잘 알려져 있고, 이하를 포함한다;
본 명세서에서 사용되는 바와 같이, 단수형은 하나 이상을 의미한다.
본원에서 사용되는 "약(about, around)" 또는 "대략(approximately)"은 텍스트(text)에서 또는 청구항에서 기술된 값의 ±10%를 의미한다.
본원에서 사용된 바와 같이, 텍스트 또는 청구항에서 "적게 포함하거나 포함하지 않는(less to no)"은 기재된 값이 약 1% 내지 없음(nil)의 범위를 갖는다는 것을 의미한다.
본원에서 사용된 바와 같이, 텍스트 또는 청구항 내의 "실온"은 약 20℃ 내지 약 25℃를 의미한다.
"주변 온도"라는 용어는 약 20℃ 내지 약 25℃의 주위 온도를 지칭한다.
"무-F3NO" 또는 "적은-F3NO"라는 용어는 가스 혼합물이 1% 미만의 F3NO 불순물을 포함한다는 것을 지칭한다.
상표명 "HASTELLOY®"은 큰 내식성을 나타내는 니켈-계 강 합금의 계열을 지칭한다. HASTELLOY®은 니켈-몰리브덴 합금이다. 종종 몇 개의 숫자에 의해서 번호가 부여된 B, C, D, M, NS, W, X ...22개의 문자로 표시되는 수백개의 상이한 Hastelloy® 합금이 있다. 많은 상이한 등급의 Hastelloy®가 있고, 이들 중 많은 것이 니켈-크롬-몰리브덴 합금이다. 이러한 등급의 각각은 특정 목적을 위해서 최적화되었으나, 이들 모두는 큰 내식성을 갖는다. HASTELLOY®는 강한 산화제 및 환원제에 대해서 현저한 내성을 가지고, 강한 부식 분위기에 적합한 훌륭한 선택이 되게 한다. 가장 다목적적인 HASTELLOY® 합금은 HASTELLOY® C-22® 합금과 같은 "C-유형" 합금이다.
상표명 "HASTELLOY® C-22® 합금"은 잘 알려진 그리고 잘 입증된 니켈-크롬-몰리브덴 재료 중 하나를 지칭하고, 그 주요 속성은 산화 및 비-산화 화학물질 모두에 대한 내성, 그리고 피팅(pitting), 크레비스 공격(crevice attack), 및 응력 부식 균열로부터의 보호이다. HASTELLOY® C-22® 합금 내의 니켈의 조성은 56 중량%이다.
상표명 "MONEL®"은, 적은 양의 철, 망간, 탄소 및 규소와 함께, 주로 니켈 및 구리로 구성되는, 니켈 합금의 그룹을 지칭한다. 순수 니켈보다 더 강한 MONEL® 합금은, 빨리 흐르는 해수를 포함하는, 많은 작용제(agent)에 의한 부식에 대해서 내성을 갖는다. MONEL® 내의 니켈의 조성은 63 내지 65 중량% 또는 심지어 67 중량% 이하이다.
상표명 "INCONEL®"은 니켈-철-크롬 초합금의 계열을 지칭한다. 또한, 많은 상이한 등급의 이용 가능한 INCOLOY®가 있다. INCONEL® 합금은, 압력 및 열을 받는 극한 분위기에서의 서비스에 매우 적합한 산화-부식-내성 재료이다. INCONEL® 넓은 온도 범위에 걸쳐 강도를 유지하고, 이는 고온 적용예에서 매력적이다. INCONEL®은, 제조 중의 매우 가혹한 일부 사용 조건을 위해서 특별히 최적화된 재료이다. INCONEL®의 고온 강도 및 해수, 염수, 사워 가스(sour gas) 및 염화물에 대한 내성은, 오일 및 가스 산업에서 이상적으로 사용될 수 있게 한다. INCONEL® 내의 니켈의 조성은 50 내지 80 중량%의 니켈이다.
"고-니켈 함량 재료"라는 용어는, 적어도 14 중량%의 니켈을 포함하는 니켈 합금을 지칭한다.
"저-니켈 함량 재료"라는 용어는, 14 중량% 미만의 니켈을 포함하거나 니켈을 포함하지 않는 재료를 지칭한다.
"스테인리스 강 316 (SS316)" 또는 "SUS(steel use stainless) 316(SUS316)" (SUS는 일본 산업 표준(JIS)으로부터의 두문자어이다)이라는 용어는 유형 316으로 지칭되는 해양 등급 스테인리스 강을 지칭하고, 특정 유형의 상호 작용에 대해서 내성을 갖는다. 316 L, F, N, H, 및 몇몇 다른 것을 포함하는, 다양한 상이한 유형의 316 스테인리스 강이 있다. 각각은 상이한 Ni 함량을 갖는다. "L" 표시는, SS316L이 SS316보다 적은 탄소를 갖는다는 것을 의미한다. SS316L은 14% 이하의 Ni를 포함한다.
"Ceodeux D306"이라는 용어는, 타이드 격막 밀봉 유형(tied diaphragm seal type)이고 주 본체 재료가 니켈 및 HASTELLOY®로 제조된 초고순도 가스(예를 들어, 순도 ≥ 99.999%)를 위해서 이용되는, 고압 실린더 밸브를 지칭한다.
"합금 4130X"이라는 용어는, SAE(Society of Automotive Engineers)에 의해서 구체화된 바와 같은, SAE 강 등급의 41xx 강 계열 내의 합금을 지칭한다. 합금 원소는 크롬 및 몰리브덴을 포함하고, 결과적으로, 이러한 재료는 비공식적으로 크롬강으로 종종 지칭된다.
"금속"이라는 용어는, 전형적으로 경질이고, 광택이 있고, 가단성을 가지고, 융합될 수 있고, 연성을 가지며, 전기 및 열 전도도가 우수한 고체 재료를 지칭한다. 금속은, 철, 금, 은, 구리, 및 알루미늄과 같은 화학적 원소, 또는 스테인리스 강과 같은 합금일 수 있다.
"금속 합금"이라는 용어는, 금속들의 조합 또는 금속과 다른 원소의 조합으로 제조된 금속을 지칭한다. 합금은 금속 원소들의 고용체 또는 금속 상들의 혼합물일 수 있다.
"에칭 시스템"이라는 용어는, 반응 챔버 내측에 존재하는 필름을 제거하는(즉, 에칭 또는 세정하는) 시스템을 지칭한다. 반응 챔버는 열 또는 플라즈마 에칭 챔버 또는 침착 챔버일 수 있다. 필름은 에칭 챔버의 내측에 배치된 기재 홀더와 함께 기재 상에 존재할 수 있고, 이는 에칭 프로세스를 지칭한다. 필름은, 제거할 필요가 있는 침착 챔버의 내부 표면 상의 침착물의 층일 수 있다. 침착 챔버의 내부 표면 상의 침착물의 층을 제거하는 것은 세정 프로세스로도 지칭된다.
"NiP 코팅된 강 실린더"라는 용어는 니켈 도금(NiP)의 내부 표면 코팅을 갖는 강 실린더를 지칭하고, 여기에 NiP의 내부 표면은 폴리싱된다. 강 실린더는 합금 4130X로 제조된 탄소강 실린더일 수 있다.
"폴리싱" 또는 "폴리싱된"이라는 용어는, 기계적 또는 전기-기계적 폴리싱에 의해서 표면을 매끄럽게 그리고 광택적으로 만드는 것을 지칭한다.
"기재"라는 용어는, 프로세스가 실시되는 재료 또는 재료들을 지칭한다. "기재"는, 프로세스가 실시되는 재료 또는 재료들을 가지는 웨이퍼를 지칭할 수 있다. 기재는 반도체, 광전지, 평판 패널, 또는 LCD-TFT 소자 제조에서 이용되는 임의의 적합한 웨이퍼일 수 있다. 기재는 또한, 이전 제조 단계에서 이미 침착된 상이한 재료들의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(예를 들어, 결정질, 비정질, 다공성 등), 규소 함유 층(예를 들어, SiO2, SiN, SiON, SiCOH 등), 금속 함유 층(예를 들어, 구리, 코발트, 루테늄, 텅스텐, 백금, 팔라듐, 니켈, 금 등), 또는 그 조합을 포함할 수 있다. 또한, 기재는 평면형이거나 패터닝될 수 있다. 기재는 유기 패터닝 포토레지스트 필름일 수 있다. 기재는, MEMS, 3D NAND, MIM, DRAM, 또는 FeRam 소자 분야에서 유전체 재료(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 삼원계 산화물계 재료 등)로서 이용되는 산화물의 층 또는 전극으로서 이용되는 질화물-계 필름(예를 들어, TaN, TiN, NbN)을 포함할 수 있다. 당업자는, 본원에서 사용된 "필름" 또는 "층"이라는 용어가 표면 위에 놓인 또는 그 위에서 확전된(spread) 일부 재료의 두께를 지칭한다는 것 그리고 그러한 표면이 트렌치 또는 라인일 수 있다는 것을 이해할 것이다. 명세서 및 청구범위 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 연관된 층이 기판으로서 지칭된다.
"웨이퍼" 또는 "패터닝된 웨이퍼"라는 용어는, 기재 상의 규소-함유 필름들의 적층체 및 패턴 에칭을 위해서 형성된 규소-함유 필름들의 적층체 상의 패터닝된 하드마스크 층을 가지는 웨이퍼를 지칭한다.
"패턴 에칭" 또는 "패터닝된 에칭"이라는 용어는, 패터닝된 하드마스크 층 아래의 규소-함유 필름들의 적층체와 같은, 비-평면형 구조물의 에칭을 지칭한다.
본원에서 사용된 바와 같이, "에치" 또는 "에칭"이라는 용어는 등방성 에칭 프로세스 및/또는 비등방성 에칭 프로세스를 지칭한다. 등방성 에칭 프로세스는, 기재 상의 재료의 일부가 제거되는 결과를 초래하는 에칭 화합물과 기재 사이의 화학적 반응을 포함한다. 에칭 프로세스는 다수의 프로세스 일 수 있고, 에칭 프로세스는 제1 단계에서의 표면을 수정하기 위한 표면 반응 및 제2 단계에서의 수정된 표면 층의 제거를 포함할 수 있다. 이러한 유형의 에칭 프로세스는 화학적 건식 에칭, 증기 상 화학적 에칭, 열적 건식 에칭, 또는 기타를 포함한다. 등방성 에칭 프로세스는 기재 내에서 측방향 또는 수평 에칭 프로파일을 생성한다. 등방성 에칭 프로세스는 기재 내의 미리-형성된 개구의 측벽 상에서 함몰부 또는 수평 함몰부를 생성한다. 비등방성 에칭 프로세스는, 이온 충격이 수직 방향으로 화학적 반응을 가속하고, 그에 따라 수직 측벽이 마스킹된 피쳐의 연부를 따라서 기재에 직각으로 형성되는(Manos and Flamm, Thermal etching an Introduction, Academic Press, Inc. 1989 pp.12-13) 플라즈마 에칭 프로세스(즉, 건식 에칭 프로세스)를 포함한다. 플라즈마 에칭 프로세스는 기재 내에서 수직 에칭 프로파일을 생성한다. 플라즈마 에칭 프로세스는 수직 개구, 트렌치, 채널 홀, 게이트 트렌치, 스테어케이스 콘택(staircase contact), 커패시터 홀, 콘택 홀 등을 기재 내에 생성한다.
"선택비"라는 용어는, 하나의 재료의 에칭 속도 대 다른 재료의 에칭 속도의 비율을 의미한다. "선택적 에칭" 또는 "선택적으로 에칭한다"라는 용어는 하나의 재료를 다른 재료보다 더 에칭한다는 것, 또는 다시 말해서 2개의 재료 사이에서 1:1 초과 또는 미만의 에칭 선택비를 갖는다는 것을 의미한다.
본원에서, 용어 "필름(film)" 및 "층(layer)"이 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 필름이 층에 상응할 수 있거나 그와 관련될 수 있다는 것, 그리고 층이 필름을 지칭할 수 있다는 것을 이해하여야 한다. 또한, 당업자는, 본원에서 사용되는 용어 "필름" 또는 "층"이 표면 상에 놓여 지거나 표면 위에 펼쳐진 일부 재료의 두께를 지칭하며, 표면이 전체 웨이퍼 정도로 크거나 트렌치 또는 라인 정도로 작은 범위일 수 있다는 것을 인지할 것이다.
본원에서, 용어 "에칭 화합물" 및 "에칭 가스"는 에칭 화합물이 실온 및 주변 압력에서 가스 상태인 경우 서로 교환 가능하게 사용될 수 있다는 것에 주목하여야 한다. 에칭 화합물이 에칭 가스에 상응할 수 있거나 그와 관련될 수 있다는 것, 그리고 에칭 가스가 에칭 화합물을 지칭할 수 있다는 것을 이해하여야 한다.
본원에서 사용된 바와 같이, 약어 "NAND"는 "Negated AND" 또는 "Not AND" 게이트를 지칭하고; 약어 "2D"는 평면형 기재 상의 2차원적인 게이트 구조물을 지칭하고; 약어 "3D"는 3차원적인 또는 수직인 게이트 구조물을 지칭하며, 여기에서 게이트 구조물은 수직 방향으로 적층된다.
원소 주기율표로부터의 원소의 표준 약어가 본 명세서에서 사용된다. 원소가 그 약어에 의해서 지칭될 수 있다는 것(예를 들어, Si는 규소를 지칭하고, N은 질소를 지칭하고, O는 산소를 지칭하며, C는 탄소를 지칭하고, H는 수소를 지칭하고, F는 불소를 지칭하고, 기타 등등으로 지칭한다는 것)을 이해하여야 한다.
화학물질 식별 서비스(Chemical Abstract Service)에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 식별하기 위해 제공된다.
규소-함유 필름, 예를 들어 SiN 및 SiO가, 그 적절한 화학양론에 대한 언급이 없이, 명세서 및 청구범위 전체를 통해서 나열되어 있다는 것을 주목하여야 한다. 규소-함유 필름은 결정질 Si, 폴리-실리콘(p-Si 또는 다결정질 Si), 또는 비정질 규소와 같은 순수한 규소(Si) 층; 규소 질화물(SikNl) 층; 또는 규소 산화물(SinOm) 층; 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, 및 n은 0.1 내지 6의 범위이다(경계값 포함함). 바람직하게, 규소 질화물은 SikNl이며, 여기서, k 및 l는 각각 0.5 내지 1.5의 범위이다. 더 바람직하게 규소 질화물은 Si3N4이다. 여기서, 이하의 설명에서 SiN은 SikNl 함유 층들을 나타내기 위해서 사용될 수 있다. 바람직하게, 규소 산화물은 SinOm이며, 여기서, n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 더 바람직하게, 규소 산화물은 SiO2이다. 여기서, 이하의 설명에서 SiO는 SinOm 함유 층들을 나타내기 위해서 사용될 수 있다. 규소-함유 필름은 또한 Applied Materials, Inc.에 의한 화학식 SiOCH를 갖는 Black Diamond II 또는 III 재료와 같은, 유기계 또는 규소 산화물계 저-k 유전 재료와 같은 규소 산화물계 유전 재료일 수 있다. 규소-함유 필름은 또한 SiaObNc를 포함할 수 있으며, 여기서, a, b, c는 0.1 내지 6의 범위이다. 규소-함유 필름은 또한 B, C, P, As 및/또는 Ge과 같은 도펀트를 포함할 수 있다.
범위는 본원에 대략적으로 하나의 특정 값으로부터, 및/또는 대략적으로 다른 특정 값까지인 것으로 표현될 수 있다. 그러한 범위가 표현되었을 때, 다른 실시형태가, 그러한 범위 내의 모든 조합과 함께, 하나의 특별한 값으로부터 및/또는 다른 특별한 값까지라는 것을 이해할 수 있을 것이다.
본원에서 "일 실시형태" 또는 "실시형태"의 언급은, 실시형태와 관련하여 설명된 특정 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함될 수 있다는 것을 의미한다. 명세서 내의 여러 곳에서 "일 실시형태"라는 문구의 출현이 반드시 동일한 실시형태를 지칭하는 것이 아니고, 별도의 또는 대안적인 실시형태가 반드시 다른 실시형태와 상호 배타적이라는 것을 지칭하는 것이 아니다. "구현예"라는 용어에서도 마찬가지이다.
본 발명의 특성 및 목적을 보다 이해하기 위해서, 유사한 요소에 동일한 또는 유사한 참조 번호가 부여된, 첨부 도면과 함께 기재된, 이하의 상세한 설명을 참조하여야 한다.
도 1은 Yonemura 등으로부터 재현되었으며, FNO/Ar, F3NO/Ar, NF3/Ar 및 C2F6/O2에 대한 가스 농도에 따른 에칭 속도를 나타낸다.
도 2는 실린더로부터 반도체 적용예 챔버로의 무-F3NO FNO 가스 및/또는 무-F3NO FNO 가스 혼합물의 예시적인 패키징의 도면이다.
도 3의 (a)는 N2 내에서 FNO를 생산하기 위한 F2, NO 및 N2의 혼합 순서이다.
도 3의 (b)는 N2 내에서 FNO를 생산하기 위한 F2, NO 및 N2의 다른 혼합 순서이다.
도 3의 (c)는 N2 내에서 FNO를 생산하기 위한 F2, NO 및 N2의 다른 혼합 순서이다.
도 3의 (d)는 N2 내에서 FNO를 생산하기 위한 F2, NO 및 N2의 다른 혼합 순서이다.
도 4는 F2-부화(rich) 조건 하에서 현장(on-site) 합성으로부터 생산된 N2 내의 30% FNO에 대한, 화학양론적 조건 하에서 현장 합성으로부터 생산된 N2 내의 30% FNO 내의 F3NO 불순물의 FT-IR 스펙트럼의 비교이다.
도 5의 (a)는 무-F3NO FNO/F2/N2의 가스 혼합물을 생산하기 위한 F2, NO 및 N2의 혼합 순서이다.
도 5의 (b)는 무-F3NO FNO/F2/N2 가스 혼합물을 생산하기 위한 F2, NO 및 N2의 다른 혼합 순서이다.
도 5의 (c)는 무-F3NO FNO/F2/N2의 가스 혼합물을 생산하기 위한 F2, NO 및 N2의 다른 혼합 순서이다.
도 5의 (d)는 무-F3NO FNO/F2/N2의 가스 혼합물을 생산하기 위한 F2, NO 및 N2의 다른 혼합 순서이다.
도 6은 F2의 전체 양에 대한 제1 F2 공급량(%)을 이용한 F3NO 형성의 데이터 세트이다.
도 7은 N2의 전체 양에 대한 제1 N2 공급량(%)을 이용한 F3NO 형성의 데이터 세트이다.
도 8은 상이한 F2 혼합 순서들을 갖는 FNO 및 F2 가스 혼합물로 SiN을 에칭한 후의 FTIR 신호 및 에칭 속도이다.
도 9는 상이한 N2 혼합 순서들 갖는 F3NO의 형성이다.
도 10은 FTIR 신호 및 에칭 속도 대 FNO 농도이다.
도 11은 FTIR 신호 및 에칭 속도 대 에칭 시간이다.
도 12는 상이한 조성물들의 모니터링에 관한 FTIR 결과이다.
도 13은 에칭 성능의 모니터링의 결과이다.
반도체 구조물을 에칭하기 위한 열 및/또는 플라즈마 건식 에칭 가스로서 이용하기 위한, 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물, 예를 들어 FNO/F2, FNO/F2/N2를 저장 및 공급하기 위한 시스템 및 방법이 개시된다. 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물을 이용하여 반도체 구조물을 열적으로 에칭하기 위한 및/또는 플라즈마 건식 에칭하기 위한 시스템 및 방법이 또한 개시된다. 또한, 반도체 구조물을 에칭하기 위한 열 에칭 가스로서 이용하기 위한 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물을 생산하기 위한 방법이 개시된다. 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물을 생산하기 위한 개시된 방법은 99% 이상의 무-F3NO FNO 가스의 순도 및 1% 미만의 F3NO의 불순물을 제공할 수 있다.
FNO(니트로실 플루오라이드, CAS 번호: 7789-25-5, 비등점: -72.4℃(-98.3°F)) 및/또는 FNO와 F2, HF, cC4F8, C4F6, CF4, CHF3, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, SO2 및 기타와 같은 다른 에칭 가스의 혼합물이 매우 반응적인 불소화 열 에칭 가스로서 이용될 수 있다. 출원인은, 반도체 구조물을 열적으로 에칭하기 위해서 이용되는 FNO 가스가 F3NO(트리플루오로아민 산화물, CAS 번호: 13847-65-9, 비등점: -87.6℃) 불순물을 적게 포함하거나 포함하지 않아야 한다는 것을 발견하였다. 따라서, 개시된 무-F3NO FNO 가스는 F3NO 불순물을 적게 포함하거나 포함하지 않으며, 이는 무-F3NO FNO 가스를 지칭한다. 본원에서 무-F3NO는 1% 미만의 F3NO 불순물을 가지는 가스를 지칭한다. 무-F3NO는 또한 무-F3NO와 동일한 정의를 가지는 적은-F3NO를 지칭할 수 있다. 반도체 적용예에서, 필요에 따른 FNO의 농도를 획득하기 위해서, FNO는 N2, Ar, He, Ne, Kr, Xe, 또는 이들의 혼합물과 같은 불활성 가스 내에서 희석될 수 있다. FNO 가스 혼합물 FNO/F2/N2는 예시적인 FNO 가스 혼합물 중 하나이다. 무-F3NO FNO가 불활성 가스 내에서 희석될 때, 혼합물 내의 F3NO 불순물은 심지어 1% 미만이다. 예를 들어, 무-F3NO FNO의 가스 혼합물 내의 15% FNO 및 N2는 0.15% 미만의 F3NO 불순물을 가질 수 있다.
반도체 적용예에서, FNO 가스는 에칭제로서 이용하기 위해서 미리-합성될 수 있거나, 반도체 구조물을 에칭하기 위해서 이용되는 원래의 장소에서 또는 그 가까이에서 생산될 수 있다. 미리-합성된 FNO 가스와 관련하여, 99%+ FNO의 순도가 얻어질 수 있고, 다른 불순물이 FNO 가스 내에 존재할 수 있다는 것으로 고려하여, FNO 내에 존재하는 F3NO 불순물은 1% 미만이다.
F2 및 NO를 원래의 장소에서 혼합하여 FNO를 생산하는 것이 알려져 있다. 그러나, FNO를 생산하기 위해서 시작 재료로서 F2 및 NO를 이용하는 것은, 생성물 FNO 내에 존재하는 부산물로서, F3NO, FNO2, NF3, N2O, NO2, 등과 같은 다른 생성물을 생성할 수 있다. NO 및 F2의 혼합과 관련된 반응은 이하의 반응을 포함할 수 있다.
2NO + F2 → 2FNO
2NO + 3F2 → 2F3NO
FNO + F2 → F3NO
N2O+ 2F2 → NF3 + FNO
N2O 및 NO2는 불순물로서 시작 재료 NO 내에 존재할 수 있다.
따라서, 에칭 프로세스에서 사용되는 시간에 원래의 장소에서 F2가 NO와 혼합되어 F2 및 NO의 가스 혼합물을 형성하고, 희망하는 FNO 에칭 가스를 형성하는 것 외에도, F3NO와 같은 그룹핑(grouping) F-N-O를 포함하는 질소의 산불화물이 또한 F2 및 NO의 가스 혼합물 내에서 불순물로서 형성될 수 있다. 출원인은, F2 대 NO의 비율이 매우 잘 제어되는 경우에 F2 및 NO를 혼합하는 것에 의해서 FNO를 생산할 때, F3NO가 F2 및 NO의 혼합물 내에 존재할 수 있다는 것을 발견하였다.
출원인의 지식으로, 이제까지 어떠한 기존 작업도, 미리-합성된 FNO 가스 내의 및/또는 원래의 장소에서 생산된, 예를 들어 원래의 장소에서 F2 및 NO 가스를 혼합하는 것에 의해서 생산된, FNO 생성물 내의 불순물로서의 F3NO의 존재를 언급하지 않았다. F3NO가 도 1에 도시된 바와 같이 FNO보다 Si-함유 재료에 대해서 큰 반응성을 가지기 때문에, 반도체 구조물을 에칭하는데 있어서, 생산된 에칭 가스 FNO는 F3NO를 가지지 않아야 한다. FNO 에칭 조성물 내에 존재하는 F3NO는, 이하의 예에서 확인될 수 있는, 기재 상에 형성된 입자 및 기재 내에서 발생되는 균열과 같이, 에칭 프로세스에 유해한 영향을 미칠 수 있다. FNO 에칭 조성물 내에 존재하는 F3NO는 또한, 선택비 및 에칭 속도 제어 가능성과 같은, 에칭 성능에 영향을 미칠 수 있다. 따라서, 반도체 산업에서 정밀한 에칭 프로세스를 실시하기 위해서, FNO의 생산에서 F3NO 형성을 제어하는 것이 요구된다. 이 시점에서, 에칭 화합물로서 이용되는 FNO는, F3NO 레벨이 최소화된, 고 순도이어야 한다.
반도체 적용예에서, 질소의 산불화물 즉, 그룹핑 F-N-O를 포함하는 화합물, 예를 들어 FNO, FNO2 및 F3NO는 에칭 가스 컨테이너 및 파이프라인, 에칭 챔버, 피에칭 기재 등에 대해서 부식적일 수 있고, 이는 반도체 소자 성능을 저하시킬 수 있다. 또한, FNO-함유 가스를 저장하고 에칭 챔버로 전달하기 위한 컨테이너, 파이프라인 및 파이프 라인을 따른 구성요소의 제조 재료 및 에칭 챔버의 제조 재료가 FNO와 양립되어야 한다. 이는, 에칭 가스 및 피에칭 기재의 오염을 유발할 수 있는 FNO와 전술한 재료 사이의 부식 및 반응이 발생되지 않는다는 것을 의미한다. FNO가 에칭 가스로서 사용되는 시간에 전구체/시작 재료(예를 들어, NO 및 F2)로부터 생산될 때, 전구체는 또한 생성물 FNO로부터 다른 저장 및 핸들링 문제들을 생성할 수 있다. 결과적으로, FNO를 생산할 때, 시작 재료(예를 들어, F2 및 NO) 및 심지어 FNO 자체와 컨테이너(예를 들어, 실린더), 밸브, 매니폴드 및 반응 챔버 사이의 재료 양립성이, 단기간 또는 장기간의 사용에서의 그 에칭 성능 저하와 함께, 우려되어 왔다.
재료 양립성 테스트는, 개시된 무-F3NO FNO 및 무-F3NO FNO 가스 혼합물의 임의의 성분이 컨테이너(예를 들어, 실린더), 밸브, 매니폴드 및 챔버의 재료와 반응할 지의 여부 그리고 개시된 무-F3NO FNO 및 무-F3NO FNO 가스 혼합물의 임의의 성분이 단기간 또는 장기간의 사용에서 그 에칭 성능을 저하시킬 것인지의 여부를 결정하는데 있어서 중요하다. 재료 양립성은, F2, NO, FNO, F3NO 등과 같은 화학물질과 접촉될 때, 부식, 녹 및 스테인에 대한 재료의 내성을 지칭한다. 때때로, 컨테이너(예를 들어, 실린더), 밸브, 매니폴드 및 챔버의 제조 재료는, 열 에칭을 위해서, 고온, 예를 들어 20℃ 초과의 온도에서 그리고 고압, 예를 들어 1 atm 초과에서 이러한 화학물질에 노출되고, 이는 그 저하를 촉진할 수 있다.
무-F3NO FNO 가스를 생산하기 위한 개시된 방법은, F2 및 NO의 혼합비를 제어하는 것에 의해서 시작 재료 F2 및 NO를 혼합하는 것을 포함한다. 다양한 농도의 무-F3NO FNO 가스를 획득하기 위해서, N2, Ar, Kr 및 Xe와 같은 불활성 가스, 바람직하게는 N2를 첨가하여, 생산된 무-F3NO FNO 가스를 무-F3NO FNO 가스의 목표 농도로 희석할 수 있다. 또한, 불활성 가스를 첨가하는 것은 원래의 장소에서 무-F3NO FNO 가스를 생산하는 프로세스에서 F3NO의 형성을 감소시키는데 도움을 주고, 이에 대해서는 이하의 예를 참조한다. 개시된 혼합 방법으로, F2와 NO 사이의 반응 중의 F3NO의 형성을 억제할 수 있다. 우리가 알기로, 개시된 혼합 방법(즉, 혼합비)은 F2 및 NO로 FNO를 생산하기 위한 종래 기술에서 발견되지 않았다. F2와 NO 사이의 직접적인 반응이 FNO를 생산하기 위한 개시된 가장 단순한 방법이라는 것이 알려져 있다. 그러나, 어떠한 종래의 작업도 FNO 내의 불순물로서 F3NO를 언급하지 않은 반면, 출원인은, F2와 NO 사이의 반응으로부터의 F3NO의 존재가 여러 에칭 프로세스에서의 FNO 가스의 이용에 유해하다는 것을 발견하였다. 출원인은 또한, 무-F3NO FNO 가스를 생산하기 위한 혼합물 내의 F3NO의 양을 제어하기 위해서 N2와 함께 또는 N2가 없이 F2 및 NO를 혼합하는 방법을 발견하였다. 이는, F3NO 불순물을 정밀하게 제어하면서, FNO 가스를 생산하는데 있어서 유리하다.
무-F3NO FNO 가스 혼합물을 생산하기 위한 개시된 방법은 F2 및 NO의 혼합비를 제어하는 것에 의해서 시작 재료 F2 및 NO를 혼합하는 단계 그리고 이어서 F2, NO 및 부가적인 가스의 혼합 순서를 제어하는 것에 의해서 부가적인 가스와 혼합하는 단계를 포함한다. 부가적인 가스는 F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다. 바람직하게, 부가적인 가스는 F2이다. 무-F3NO FNO 가스 혼합물 내에서 다양한 농도의 무-F3NO FNO 가스를 획득하기 위해서, N2, Ar, Kr 및 Xe와 같은 불활성 가스, 바람직하게는 N2를 첨가하여, 생산된 무-F3NO FNO 가스 혼합물을 목표 농도의 무-F3NO FNO 가스로 희석할 수 있다. 유사하게, 불활성 가스를 첨가하는 것은 원래의 장소에서 무-F3NO FNO 가스 혼합물을 생산하는 프로세스에서 F3NO의 형성을 감소시키는 데 도움을 주고, 이에 대해서는 이하의 예를 참조한다. 개시된 혼합 방법으로, F2, NO 및 부가적인 가스 사이의 반응 중의 F3NO의 형성을 억제할 수 있다. 우리가 알기로, 개시된 혼합 방법(즉, 혼합비의 제어 및 혼합 순서의 제어)은 F2 및 NO로 FNO 가스 및 FNO 가스 혼합물을 생산하기 위한 종래 기술에서 발견되지 않았다. 출원인은 또한, 무-F3NO FNO 가스 혼합물을 생산하기 위한 혼합물 내의 F3NO의 양을 제어하기 위해서 N2와 함께 또는 N2가 없이 F2, NO 및 부가적인 가스를 혼합하는 방법을 발견하였다. 이는, F3NO 불순물을 정밀하게 제어하면서, FNO-함유 가스 혼합물을 생산하는데 있어서 유리하다.
개시된 혼합 방법은, F2가 원래의 장소에서 NO와 혼합될 때, F3NO 불순물의 형성을 억제하기 위한 프로세스를 제공한다. F2 및 NO로부터 무-F3NO FNO를 생산하기 위한 개시된 혼합 방법은 화학양론적 조건 이하의 F2/NO 비율(F2/NO ≤ ½)로 F2 및 NO를 혼합하는 단계를 포함한다. 이러한 방식으로, 생산된 무-F3NO FNO 내의 F3NO 불순물의 형성이 억제될 수 있다. 생산된 무-F3NO FNO를 N2, Ar, Kr 및 Xe, 바람직하게는 N2와 같은 불활성 가스 내에서 추가적으로 희석하여, 적용예 요건에 따라 N2 내의 상이한 농도의 무-F3NO FNO를 형성할 수 있다.
F2 및 NO로부터 무-F3NO FNO 가스 혼합물(예를 들어, FNO/F2)을 생산하기 위한 개시된 혼합 방법은 2-단계의 F2 혼합 프로세스를 포함한다. 제1 단계에서, F2 및 NO를 화학양론적 조건 이하(F2/NO ≤ ½)로 혼합하여 무-F3NO FNO 가스를 생산한다. 제2 단계에서, 부가적인 F2를 생산된 무-F3NO FNO 가스에 첨가하여 무-F3NO FNO 및 F2 가스 혼합물을 생산한다. 이러한 방식으로, 생산된 무-F3NO FNO 및 F2 가스 혼합물 내의 F3NO 불순물의 형성이 억제될 수 있다. 생산된 무-F3NO FNO 및 F2 가스 혼합물을 N2, Ar, Kr 및 Xe, 바람직하게는 N2와 같은 불활성 가스 내에서 추가적으로 희석하여, 적용예 요건에 따라 N2 내의 상이한 농도의 무-F3NO FNO 및 F2를 형성할 수 있다.
NO 가스는 안정적이지 않고, NO2, N2O, 또는 기타와 같은 질소 산소 화합물의 미량의 가스 불순물을 포함할 수 있고, 그에 따라 불안정성을 초래할 수 있다. NO가 F2와 혼합되면, 이하의 반응: F2 + NO2 → F3NO 또는 F2 + N2O → F3NO에서 확인되는 바와 같이, 미량의 가스 불순물이 F2와 반응하여 FNO의 생성물 내에서 F3NO를 최종적으로 생성할 수 있다. 그에 따라, N2O 및 NO2와 같은 적은 불순물을 위해서 설계된 고순도 NO를 이용하는 것이 매우 바람직할 수 있다. F3NO의 형성을 억제하기 위해서, FNO를 생산하기 위해서 본원에서 사용되는 NO 가스는 가능한 한 순수하여야 한다. 바람직하게, 약 99.9 부피% 내지 약 100.0 부피%, 더 바람직하게는 약 99.99 부피% 내지 약 100.00 부피%, 그리고 보다 더 바람직하게는 약 99.999 부피% 내지 약 100.000 부피%의 NO의 순도가 제공된다. 또한, NO 가스는, NO 가스 대신, 상기 미량의 기체 불순물 내에 포함된, NO2, N2O, 또는 기타와 같은, 약 0 부피 ppm 내지 약 600 부피 ppm의 N-O 함유 가스를 갖는 약 0.0 부피% 내지 약 0.1 부피%의 미량 가스 불순물을 포함할 수 있다.
개시된 혼합 방법이 F3NO의 형성을 억제할 수 있기 때문에, FNO 내의 불순물 F3NO는, 개시된 무-F3NO FNO 가스를 열 및/또는 플라즈마 건식 에칭 가스로서 이용할 때, 에칭 성능에 영향을 미치지 않을 수 있다.
개시된 시스템 및 방법은 또한, FNO와 컨테이너, 매니폴드, 파이프라인, 에칭 챔버 등의 사이에서 양립 가능한 재료를 이용하는 것을 통해서, 무-F3NO FNO 가스 및/또는 무-F3NO FNO 가스 혼합물을 저장하고 전달하기 위한 시스템 및 방법을 포함한다.
무-F3NO FNO 및/또는 N2, Ar, Kr 및 Xe, 바람직하게는 N2와 같은 불활성 가스 내에서 희석된 무-F3NO FNO를 저장 및 전달하기 위한 개시된 방법은 부식성 가스 무-F3NO FNO 또는 무-F3NO FNO/N2 혼합물을 NiP 코팅된 내부 표면을 갖는 합금 4130X으로 제조된 강 실린더 내에서 저장하는 단계, 그리고 부식성 가스 무-F3NO FNO 또는 무-F3NO FNO/N2 혼합물을 매니폴드 조립체를 통해서 적용 반응기에 전달하는 단계를 포함한다. 합금 4130X으로 제조된 강 실린더의 내부 표면이 니켈 도금으로 코팅되고, 니켈 도금의 내부 표면은, 적은 수분 함량을 초래하는 매끄러운 표면을 가지도록, 폴리싱된다. 이하에서, 니켈 도금의 내부 표면이 폴리싱된, 니켈 도금의 내부 표면 코팅을 갖는 합금 4130X으로 제조된 강 실린더는 NiP 코팅된 강 실린더를 지칭한다.
실린더 및 매니폴드 조립체와 유체 연통되는 실린더 밸브가 니켈 또는 니켈 합금으로 제조된다. 실린더와 적용 반응기 사이의 압력차로 인해서, 매니폴드 조립체는, 압력 조절기 또는 압력 감소 디바이스에 의해서, 실린더 밸브와 유체 연통되는 고압 구역 및 적용 챔버와 유체 연통되는 저압 구역으로 분할된다. 매니폴드 조립체는 2개의 압력 구역으로 분할되는 것으로 제한되지 않는다. 매니폴드 조립체는, 상이한 감소된 압력을 각각 가지는 다수의 압력 구역으로 분할될 수 있다. 따라서, 다수의 압력 구역들로, 매니폴드 조립체는 가스를, 상이한 감소된 압력을 각각 필요로 하는, 상이한 반응 챔버들에 전달할 수 있다.
부식성 가스 무-F3NO FNO 또는 무-F3NO FNO/N2 혼합물의 압력은, 저압 구역에 진입하기 전에, 압력 조절기에 의해서 감소된다. 고압 구역 내의 라인 구성요소가 고-니켈 함량 재료로 구성될 수 있다. 저압 구역 내의 라인 구성요소가 저-니켈 함량 재료, 금속 또는 금속 합금으로 구성될 수 있다. 고압 및 저압 구역 내의 라인 구성요소가 가스 필터, 압력 센서, 가스 밸브, 질량 유동 제어기(MFC), 파이프 등을 포함한다. "고-니켈 함량 재료"는, 적어도 14 중량%의 니켈을 포함하는 니켈 합금을 지칭한다. 예를 들어, MONEL®, INCONEL® 또는 HASTELLOY® C-22® 합금이다. "저-니켈 함량 재료"는, 14 중량% 미만의 니켈을 포함하거나 니켈을 포함하지 않는 재료를 지칭한다. 예를 들어, 스테인리스 강이다. 이러한 방식으로, F3NO 불순물 및 장비의 저하가 감소될 수 있다. NiP 코팅된 강 실린더는, 비제한적으로, 0.5 L 내지 49 L 범위의 크기의 NiP 코팅된 강 실린더를 가질 수 있다. 실린더 밸브가 Ceodeux D306 Ni 본체 Ni 격막일 수 있다. 실린더 밸브는 HASTELLOY® C-22® 합금, MONEL®, INCONEL®, 순수 니켈, 또는 임의의 다른 고-니켈 함량 재료로 제조될 수 있다.
매니폴드 조립체의 고압 구역은 약 0.8 MPa 내지 약 10 MPa, 더 바람직하게는 약 0.8 내지 약 3.5MPa 범위의 압력을 가질 수 있다. 매니폴드 조립체의 저압 구역은 약 0.1 MPa 내지 약 0.8 MPa 범위의 압력을 가질 수 있다. 매니폴드 조립체는 2개의 압력 구역 내에서 이하의 라인 구성요소: 압력 조절기, 압력 센서, 밸브, 가스 필터, 파이핑 등을 포함한다. 고압 구역 내의 라인 구성요소가 고-니켈 함량 재료, 예를 들어 MONEL®, INCONEL® 또는 HASTELLOY® C-22® 합금으로 구성된다. 고-니켈 함량 재료가 적어도 14%의 니켈을 포함할 수 있다. 전형적으로, 14% 이상의 니켈을 포함하는 임의의 재료가 고압 구역 내의 라인 구성요소의 제조를 위해서 이용될 수 있지만, Fe-함유 합금, 예를 들어 스테인리스 강(SS)은 사용되지 못할 수 있다. 반면, 저압 구역에서, 라인 구성요소는, 14 중량% 미만의 니켈을 포함하거나 니켈을 포함하지 않는 저-니켈 함량 재료로 구성될 수 있다. 저압 구역 내의 라인 구성요소는 또한, 고-니켈 함량 재료를 포함하는, 임의의 금속 또는 임의의 금속 합금으로 제조될 수 있다. 저압 구역 내의 라인 구성요소가 스테인리스 강으로 제조될 수 있다.
이하는, 개시된 무-F3NO FNO 가스 및/또는 무-F3NO FNO 가스 혼합물을 목표 적용 반응기(예를 들어, 에칭을 위한 에칭 챔버 또는 세정을 위한 침착 챔버) 내로 전달하기 위한 개시된 저장 및 전달 시스템의 예시적인 실시형태이고, 여기에서 재료 양립성이 고려된다.
일 실시형태에서, 실린더로부터 반도체 적용예, 예를 들어 에칭 챔버로의 무-F3NO FNO 가스의 패키징이 도 2에 도시되어 있다. 패키징은, 2개의 압력 구역을 포함하는 매니폴드(101)를 포함하고, 하나의 압력 구역은 고압 구역(102)이고 다른 압력 구역은 저압 구역(104)이다. 압력 구역(102) 내의 압력은 압력 구역(104) 내의 압력보다 높다. 압력 구역(102) 내의 압력 범위는 약 0.8 MPa 내지 10 MPa이다. 압력 구역(104) 내의 압력 범위는 약 0.1 MPa 내지 0.8 MPa이다. 하나의 예시적인 실시형태에서, 압력 구역(102) 내의 압력은 0.99 MPa이고; 압력 구역(104) 내의 압력은 0.5 MPa이다. 가압된 에칭 가스 무-F3NO FNO(예를 들어, 0.8 MPa 내지 3.5 MPa)를 포함하는 실린더(106)가 실린더 밸브(108)를 통해서 압력 구역(102)에 유체적으로 연결된다. 실린더(106) 내에 저장된 무-F3NO FNO 가스는 시작 재료로서 F2 및 NO를 이용하여 합성될 수 있거나, 미리-합성된 FNO일 수 있다. 실린더(106) 내에 저장된 무-F3NO FNO 가스는 99%의 순도를 갖는다. 대안적으로, 실린더(106) 내에 저장된 무-F3NO FNO 가스는 불활성 가스(N2, Ar, Kr 및 Xe) 내에서 희석되어, 예를 들어 N2 가스 내에서 희석되어 무-F3NO FNO 및 N2의 혼합물을 형성할 수 있다. 실린더(106)는 합금 4130X으로 제조된 탄소강 실린더이고, 니켈 도금의 내부 표면 코팅 및 폴리싱된 코팅 표면(예를 들어, NiP 코팅된 강 실린더)을 갖는다. 매끄러운 표면이 공기로부터의 수분에 의한 오염을 줄일 수 있기 때문에, 코팅된 니켈 도금의 내부 표면이 중요하다. 실린더 밸브(108)는 파이프라인(110)을 통해서 압력 구역(102)으로부터 압력 구역(104)으로 전달되는 에칭 가스 무-F3NO FNO를 제어하고, 밸브(112), 압력 센서(114), 및 압력 조절기(116)가 파이프라인(110)에 유체적으로 연결된다. 압력 센서(114)는 압력 구역(102) 내의 압력을 판독한다. 불활성 가스(예를 들어, N2)가 압력 구역(102) 내에서 무-F3NO FNO 가스에 첨가되어 희석된 무-F3NO FNO 가스를 생산할 수 있다. 예를 들어, N2 가스가 압력 구역(102) 내에서 밸브(118)를 통해서 무-F3NO FNO 가스의 유동에 첨가되어, 무-F3NO FNO 와 N2의 혼합물을 형성한다. 실린더(106)가 이미 희석된 무-F3NO FNO 가스(예를 들어, N2 내의 50% FNO)를 포함하는 경우에, 압력 구역(102) 내에서 밸브(118)를 통해서 이미 희석된 무-F3NO FNO 가스의 유동에 첨가된 N2 가스는 이미 희석된 무-F3NO FNO 가스를 더 희석할 것이다. 이러한 방식으로, 무-F3NO FNO 가스의 농도가 적용 요건에 따라 조정될 수 있다. 압력 조절기(116)는, 무-F3NO FNO와 N2의 가스 혼합물이 압력 구역(104)에 진입하기 전에, 무-F3NO FNO 및 N2의 가스 혼합물의 압력을 감소시킨다. 압력 센서(120)는 압력 구역(104) 내의 압력을 판독한다. 이어서, 압력 구역(102)으로부터의 무-F3NO FNO 및 N2의 가스 혼합물이 감압되고 파이프라인(130)을 통해서 압력 구역(104) 내의 질량 유동 제어기(126)로 전달된다. 질량 유동 제어기(126)는, 에칭 프로세스를 위해서 에칭 챔버(128)에 공급되는 무-F3NO FNO 및 N2의 가스 혼합물의 유량을 제어한다. 밸브(122124)가 질량 유동 제어기(126)의 상류 및 하류에 설치될 수 있다.
도 2에 도시된 실린더, 밸브, 매니폴드, 챔버 등에 포함되는 중요 재료는, NiP 코팅된 강, 니켈, 니켈 합금을 포함하는 고-니켈 함량 재료, 및 스테인리스 강을 포함하는 저-니켈 함량 재료를 포함한다. 무-F3NO FNO 가스는 약 0.8 내지 약 10 MPa 범위의 압력으로 실린더(106) 내에 충진되었다. 실린더(106)는 용기, 실린더 또는 임의의 압력 컨테이너(압력 범위 0.1 MPa 내지 10 MPa)일 수 있다. 고-니켈 함량 밸브(108)를 갖는 실린더(106)는, 에칭 챔버(128)에 유체적으로 연결된, 압력 조절기, 압력 센서, 밸브, 가스 필터, 파이핑 등과 같은 전달 라인 구성요소를 포함하는 매니폴드(101)와 유체 연통된다. 실린더(106)는 순도가 99%인 FNO 가스를 포함한다. 실린더(106)는 NiP 코팅된 강으로 제조된다. 실린더(106)는 니켈 도금의 내부 표면 코팅을 갖는 합금 4130X으로 제조된 탄소강 실린더이고, 니켈 도금의 내부 표면은 폴리싱된다.
실린더 밸브(108)는 니켈 함량이 14% 초과인 합금일 수 있고, 바람직하게는 실린더 밸브(108)는 HASTELLOY® 또는 다른 니켈 합금이다. 하나의 예시적인 실시형태에서, 실린더 밸브(108)는 구체적으로 HASTELLOY® 재료를 이용하고, 여기에서 (Fe, Ni, Cr, Mn과 같은) 금속 불순물은 1 ng/mL 미만이다. 고압 FNO 또는 FNO/N2 혼합물은 저압 혼합물보다 더 부식적이다. 따라서, 특별한 패키지 내의 고압 FNO/N2 혼합물은, 압력 조절기(116)까지 니켈 합금 매니폴드(101)와 연통되는 NiP 코팅된 강 실린더(106)를 갖도록 설계되고, 압력 조절기(116)가 적용되어 압력을 감소시킨다. 이러한 방식으로, 감압된 FNO/N2 혼합물은 하류의 저압 구역(104) 및 에칭 챔버(128)에서 덜 부식적이 된다. 이러한 설정으로, 니켈로 구성된 실린더 밸브(108)가 적은 부식/분말 형성을 갖는다는 것이 확인되었다. NiP 코팅된 강으로 구성된 실린더(106)는 매우 매끄러운 표면 및 적은 수분을 갖는다.
도 2에 도시된 패키징은 또한, 무-F3NO FNO 가스와 부가적인 에칭 가스, 예를 들어 F2를 혼합하는 것에 의해서 형성된 무-F3NO FNO 가스 혼합물을 저장 및 전달하기 위해서 이용될 수 있다. 이러한 경우에, 무-F3NO FNO 가스 혼합물은 무-F3NO FNO 가스 및 F2이다.
무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물(예를 들어, 무-F3NO FNO 및 F2의 가스 혼합물)의 저장 및 전달을 위한 개시된 시스템은, 에칭 챔버(128) 내로 전달되는 금속 불순물을 감소시키기 위한, 실린더(106), 실린더 밸브(108), 매니폴드 조립체(101)의 저압 구역(104)의 부동태화 프로세스를 포함한다. 부동태화 프로세스는 FNO 가스 또는 F2 가스로 실시될 수 있다. 고압 구역(102)에서, 라인 구성요소의 부동태화 프로세스가 실시될 수 있거나 고압으로 인해서 실시되지 않을 수 있다. 따라서, 고압 구역 내의 라인 구성요소를 제조하기 위해서 고-니켈 함량 재료가 적용될 수 있다. 저압 구역(104)에서, 부동태화 프로세스가 적용될 수 있다.
개시된 시스템 및 방법은 또한, 개시된 무-F3NO FNO 가스 및/또는 무-F3NO FNO 가스 혼합물을 이용하여 반도체 구조물을 에칭하기 위한 시스템 및 방법을 포함할 수 있다. 개시된 에칭 시스템 및 방법은 열 에칭, ALE(원자 층 에칭)을 포함하는 플라즈마 건식 에칭, 또는 기타를 포함한다. 개시된 무-F3NO FNO 가스 및/또는 무-F3NO FNO 가스 혼합물이 열 및 플라즈마 건식 에칭 프로세스에 적용된다. 개시된 무-F3NO FNO 가스는 단독적인(순수) 에칭 가스로서 이용될 수 있거나, 불활성 가스, 예를 들어 N2, Ar, He, Xe, 등에서 희석될 수 있다. 희석된 무-F3NO FNO의 농도는 15% 미만, 바람직하게는 10% 미만, 더 바람직하게는 5% 미만, 보다 더 바람직하게는 1% 미만일 수 있다. 일 실시형태에서, 희석된 무-F3NO FNO의 농도가 0.01%까지 희석될 수 있다. 개시된 무-F3NO FNO 가스는 또한, F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, 및 이들의 조합과 같은, 부가적인 에칭 가스와 혼합되어 에칭 가스로서 이용될 수 있다. 바람직하게, 개시된 무-F3NO FNO 가스는 F2와 혼합되어 에칭 가스로서 이용된다.
예시적인 다른 가스는, 비제한적으로, O2, O3, CO, CO2, COS, NO, N2O, NO2, SO2, 및 이들의 조합과 같은 산화제를 포함한다. 개시된 에칭 가스 및 산화제는 반응 챔버 또는 에칭 챔버 내에 도입 전에 함께 혼합될 수 있다.
대안적으로, 산화제는 반응 챔버 내에 연속적으로 도입될 수 있으며, 에칭 가스는 반응 챔버 내에 펄스식으로 도입될 수 있다. 대안적으로, 산화제 및 에칭 가스 모두가 반응 챔버 내로 연속적으로 도입될 수 있다. 산화제는 챔버 내에 도입된 혼합물의 약 0.01 부피% 내지 약 99.99 부피%를 포함할 수 있다(99.99 부피%는 연속적인 도입 대안에서 거의 순수한 산화제의 도입을 나타낸다).
일 실시형태에서, 개시된 무-F3NO FNO 가스는 N2 내에서 희석되었고(즉, FNO/N2) 부가적인 에칭 가스와 혼합되었다(즉, FNO/N2/F2 혼합물). 개시된 무-F3NO FNO 가스 혼합물 FNO/N2/F2는 10 부피% 초과의 FNO, 바람직하게는 15 부피% 초과의 FNO를 포함할 수 있다.
개시된 무-F3NO FNO 에칭 가스 및 부가적인 가스(예를 들어, F2)는 반응 챔버로의 도입 전에 혼합될 수 있다. 추가적인 에칭 가스는 챔버 내에 도입된 혼합물의 약 0.01 부피% 내지 약 99.99 부피%를 포함할 수 있다.
개시된 무-F3NO FNO 가스는 99% 부피 v/v 초과의 순도, 바람직하게는 99.99% 부피 v/v 초과의 순도, 그리고 더 바람직하게는 99.999% 부피 v/v 초과의 순도로 제공된다. 개시된 무-F3NO FNO 가스는 1 부피% 이하의 미량의 가스 불순물을 포함하고, 상기 미량의 가스 불순물 내에는 150 부피 ppm 미만의 불순물 가스, 예를 들어 H2O, NO2, N2O 및/또는 CO2가 포함된다. 바람직하게, 개시된 무-F3NO FNO 가스 내의 물 함량은 20 중량 ppm 미만이다.
개시된 무-F3NO FNO 가스는 1 부피% 미만, 바람직하게는 0.1 부피% 미만, 더 바람직하게는 0.01 부피% 미만의 F3NO를 포함하고, 이는 정확한 에칭 성능 및 보다 양호한 프로세스 반복성을 제공할 수 있다.
개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물을 이용하여, 3D NAND 플래시 메모리 또는 DRAM 메모리와 같은 반도체 구조물의 상단부에 캡핑된, SiN 필름과 같은, 규소-함유 필름을 열 에칭 또는 플라즈마 건식 에칭할 수 있다. 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물은 또한, SiN 층과 같은 기재 상의 규소-함유 필름을 열 에칭 또는 플라즈마 건식 에칭하기 위해서 이용될 수 있다. 개시된 열 에칭 또는 플라즈마 건식 에칭 방법은 NAND 또는 3D NAND 게이트와 같은 반도체 소자 또는 핀-형상 전계-효과 트랜지스터(FinFET), 래터럴 게이트-올-어라운드(Lateral Gate-All-Around; LGAA) 소자 및 버티컬 게이트-올-어라운드(Vertical Gate-All-Around; VGAA) 소자, 벌크 상보적 금속-산화물-반도체(Bulk CMOS), 완전 공핍형 실리콘-온-절연체(FD-SOI) 구조, 및 모노리틱 3D(M3D)와 같은 플래쉬 또는 DRAM 메모리 또는 트랜지스터의 제조에서 유용할 수 있다. 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물은 다른 적용예, 예를 들어 상이한 FEOL(front end of the line) 및 BEOL(back end of the line) 에칭 적용예 및 저 k 적용예에서도 이용될 수 있다. 또한, 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물은 기판 상의 로직(logic)에 메모리를 상호연결시키기 위해 3D 관통 실리콘 애퍼처(TSV) 에칭 적용예에서 Si를 에칭시키기 위해 사용될 수 있다. 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물은, 침착 프로세스 후에, 침착 챔버의 내부 표면에 형성된 침착물의 층 또는 필름을 제거하기 위해서 이용될 수 있다. 그러한 프로세스는 침착 후의 세정 프로세스로 지칭된다.
개시된 에칭 방법은 필름이 위에 배치된 기재 또는 챔버 벽의 내부 표면 상에서 침착물(또는 필름)을 갖는 반응 챔버를 제공하는 단계를 포함한다. 반응 챔버는 디바이스 내의 임의의 외장 또는 챔버일 수 있고, 그러한 디바이스에서, 에칭 방법이, 예를 들어, 그리고 비제한적으로, 반응성 이온 에칭(RIE), 단일 또는 다중 주파수 RF 공급원을 이용한 용량 결합 플라즈마(CCP), 유도 결합 플라즈마(ICP), 전자 사이클로트론 공명(ECR), 또는 마이크로파 플라즈마 반응기, 또는 규소-함유 필름의 일부를 선택적으로 제거할 수 있는 다른 유형의 에칭 시스템과 같은, 플라즈마 에칭을 위해서 이용되는 임의의 챔버 또는 외장에서 실시된다. 챔버는 또한 상이한 전구체들을 위한 하나 이상의 가스 유입구를 갖는 침착 프로세스용 챔버일 수 있다. 침착용 챔버는 일반적으로 기재 홀더의 온도를 제어할 수 있고, 반응 챔버와 가스 유입구 사이의 버퍼 챔버일 수 있다. 챔버의 압력은 펌프 시스템에 의해서 제어된다. 적합한 미리-합성된 반응 챔버는, 비제한적으로, 상표명 eMAXTM으로 판매되는 Applied Materials의 자기 강화 반응성 이온 에칭기, 상표명 2300® FlexTM으로 판매되는 Lam Research CCP의 반응성 이온 에칭기 유전체 에칭 제품 계열, 또는 Tokyo Electron 의 침착 시스템으로 판매 상표명 INDYTM, INDY PLUSTM 및 NT333TM를 포함한다. 반응 챔버는 실온 내지 약 1000℃ 범위의 온도로 가열될 수 있다. 바람직하게는 실온으로부터 600℃, 더 바람직하게는 100 내지 300℃. 적용예 목표에 따라, 온도는 약 100℃, 500℃, 또는 600℃일 수 있다. 이러한 종류의 열 에칭기는, 샤워헤드 또는 다른 설계를 통한 유동과 같이, 상이한 방식들에 의해서 분자를 도입할 수 있다. 챔버의 압력을 제어하는 펌핑 시스템에 연결된 가스 배출구가 있을 것이다.
개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물은, 규소-함유 필름 내의 채널 홀, 게이트 트렌치, 스테어케이스 콘택, 커패시터 홀, 콘택 홀 등과 같은, 열 에칭 및 플라즈마 건식 에칭을 포함하는 반도체 구조물의 에칭에 적합하다. 열 에칭에서, 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물이 열 반응기 내에서의 등방성 에칭 목적을 위해서 적용될 수 있다. 플라즈마 에칭에서, 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물이 고종횡비 구조물의 양호한 프로파일과 함께 마스크 상에서 손상을 거의 유도하지 않거나 전혀 유도하지 않기 때문에, 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물은 현재 입수 가능한 마스크 재료와 양립 가능할뿐만 아니라, 미래 세대의 마스크 재료와도 양립 가능하다. 다시 말해서, 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물은, 최소 패턴 붕괴 또는 조도를 가지는 수직 에칭 패턴을 생성할 수 있다. 바람직하게, 개시된 무-F3NO FNO 가스 및 무-F3NO FNO 가스 혼합물 에칭 조성물은 반응기/챔버 내로의 전달을 위한 에칭 프로세스 중에 적절하게 안정적이다.
반응 챔버는 하나 또는 하나 초과의 기재를 포함할 수 있다. 기재는 반도체, 광전지, 평면 패널 또는 LCD-TFT 소자 제조에서 사용되는 임의의 적합한 기재일 수 있다. 적합한 기재의 예에는 규소 웨이퍼, 실리카 웨이퍼, 유리 웨이퍼, 또는 GaAs 웨이퍼와 같은 웨이퍼가 포함된다. 웨이퍼는 이전 제조 단계로부터 웨이퍼 상에 다수의 필름 또는 층을 가질 것이며, 이는 규소-함유 필름 또는 층을 포함한다. 층은 패턴화될 수 있거나 되지 않을 수 있다.
개시된 무-F3NO FNO 에칭 가스는 기재를 포함하는 반응 챔버 내로 도입된다. 가스는 약 0.1 sccm 내지 약 30 slm 범위의 유량으로 챔버로 도입될 수 있다. 당업자는 유량이 툴(tool)에 따라 그리고 적용예에 따라 달라질 수 있다는 것을 인지할 것이다.
개시된 무-F3NO FNO 에칭 가스는 순수한 형태로 또는 N2, Ar, He, Xe, 등과 같은 불활성 가스와 혼합되어 공급될 수 있다. 개시된 무-F3NO FNO 에칭 가스는 혼합물 내에서 가변적인 농도로 존재할 수 있다.
FTIR, 현미경 분석, 압력 모니터링(압력 센서), 엘립소미터(ellipsometer), 에너지 분산형 X-선 분광기(EDX), 유도 결합 플라즈마 질량 분석법(ICP-MS), 분석 전자 현미경(AEM), X-선 광전자 분광기(XPS), 주사 전자 현미경(SEM), 투과 전자 현미경(TEM) 또는 기타 측정 툴을 이용하여, 반도체 구조물을 에칭하기 위해서 개시된 무-F3NO FNO 에칭 가스를 이용할 때의 조성물 및 에칭 성능의 변화를 모니터링할 수 있고, 또한 실린더, 실린더 밸브 및 매니폴드 조립체 내의 라인 구성요소의 재료의 저하를 결정하기 위해서 챔버 배출물로부터의 열적으로 활성화된 에칭 가스를 모니터링할 수 있다.
개시된 무-F3NO FNO 에칭 가스는, 반응 챔버 내로의 도입 전에 또는 반응 챔버 내측에서, 다른 가스와 혼합될 수 있다. 바람직하게, 가스는 진입하는 가스의 균일한 농도를 제공하기 위해 챔버에 도입 전에 혼합될 수 있다.
다른 대안예에서, 개시된 무-F3NO FNO 에칭 가스는 다른 가스와 독립적으로, 예를 들어, 가스들 중 둘 이상이 반응할 때 챔버 내에 도입될 수 있다.
다른 대안예에서, 개시된 무-F3NO FNO 에칭 가스 및 불활성 가스는, 에칭 프로세스 중에 이용되는 유일한 2개의 가스이다.
반응 챔버 내의 온도 및 압력은, 기재 상의 필름이 에칭 가스와 반응하기에 적합한 조건에서 유지된다. 예를 들어, 챔버 내의 압력은, 에칭 매개변수에 의해서 요구되는 바에 따라, 약 0.1 mTorr 내지 약 1000 Torr, 바람직하게는 약 1 Torr 내지 약 400 Torr에서 유지될 수 있다. 마찬가지로, 챔버 내의 기재 온도는, 프로세스 요건에 따라, 대략적으로 실온과 약 1000℃ 사이의 범위일 수 있다. 바람직하게는 실온으로부터 600℃, 더 바람직하게는 100 내지 300℃. 적용예 목표에 따라, 온도는 약 100℃, 500℃, 또는 600℃일 수 있다.
실시예
본 발명의 실시형태를 추가적으로 예시하기 위해 다음의 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본 명세서에 기술된 본 발명의 범위를 제한하도록 의도된 것이 아니다.
이하의 실시예에서, FTIR 스펙트럼은, 셀 길이: 6.4mm; 셀 온도: 40℃; 셀 압력: 10Torr; 스캔: 10번 및 2cm-1 해상도를 갖는 Thermo NICOLET6700로 수집되었다. 이하의 실시예에서, 에칭 가스는 무-F3NO FNO-단독 및/또는 무-F3NO FNO/F2/N2 가스 혼합물로부터 선택되었다. 무-F3NO FNO/F2/N2 가스 혼합물은 N2 내의 약 15% 무-F3NO FNO 및 약 10% F2를 함유하였다.
실시예 1 미리-합성된 무-F3NO FNO
미리-합성된 무-F3NO FNO 가스는 99% FNO의 순도를 갖는다. 미리-합성된 무-F3NO FNO 가스 내의 불순물은 F3NO, NO2, N2O, 등을 포함할 수 있다. NO2 및 N2O는 NO 실린더 에이징으로부터 얻어질 수 있다. F3NO 불순물은 1% 미만이다. 이하의 실시예로부터, 불활성 가스, 예를 들어 N2 가스 내에서 희석된 FNO는, F2 및 NO로 원래의 장소에서 FNO를 생산할 때, F3NO의 형성을 억제할 수 있다. 또한, 반도체 적용예에 따라, FNO 가스는 하나 이상의 부가 에칭 가스와 혼합되거나 불활성 가스 내에서 희석되었다. 따라서, 미리-합성된 무-F3NO FNO에 의해서 형성된 FNO-함유 에칭 가스는 훨씬 적은 F3NO 불순물을 포함할 것이다. 예를 들어, 미리-합성된 무-F3NO FNO에 의해서 형성된 FNO-함유 에칭 가스가 15%의 미리-합성된 무-F3NO FNO를 포함하는 경우에, F3NO 불순물은 0.15% 미만일 것이다. 따라서, 미리-합성된 무-F3NO FNO에 의해서 형성된 FNO-함유 에칭 가스는 F3NO를 적게 포함하거나 포함하지 않을 것이다.
실시예 2 원래의 장소에서 생산된 무-F3NO FNO
미리-합성된 무-F3NO FNO 이외에, 무-F3NO FNO 가스는 F2 + 2NO → 2FNO의 반응을 통해서 시작 재료 F2 및 NO로 원래의 장소에서 생산될 수 있다. 생성물 FNO 내의 F3NO 불순물의 형성을 억제하기 위해서, F2 및 NO의 반응은 화학양론적 조건에서, 즉 약 ½의 반응물 F2 및 NO의 비율에서 이루어진다. F3NO가 적게 형성되게 하기 위해서, 반응물 F2 및 NO의 비율은 약 ½ 미만일 수 있다.
생산된 무-F3NO FNO 가스는, 반도체 적용예에서 에칭 가스로서 이용하기 위해서, 불활성 가스 내에서 희석될 수 있다. 불활성 가스는 N2, Ar, He, Ne, Kr, Xe일 수 있다. 일 실시형태에서, 무-F3NO FNO 가스가 N2로 희석되어, 무-F3NO FNO 및 N2 가스 혼합물을 형성할 수 있다. 무-F3NO FNO 및 N2 가스 혼합물은 F2/NO ≤ ½의 몰비로 F2, NO 및 N2를 혼합하는 것에 의해서 생산될 수 있고, 필요한 N2의 양은 FNO 농도에 관한 적용 요건에 따라 달라진다. 무-F3NO FNO 및 N2 가스 혼합물을 형성하기 위한 F2, NO 및 N2의 혼합 순서가 도 3의 (a) 내지 3의 (d)에 도시되어 있다. 혼합 순서에 있어서의 중요한 점은, F2 내 NO의 비율이 화학양론적 조건인 것, 즉 약 ½, 또는 약 ½미만인 것이다. 도 3의 (a)는, 3개의 성분 F2, NO 및 N2이 반응기 내에서 동시에 혼합되고, 이어서 과다 N2가 반응기에 첨가되는 것을 도시한다. 이는, 반응물 F2 및 NO가 초기에 N2 내에서 희석되어 N2 내의 생성물 FNO를 생산하는 것 그리고 이어서 생산된 FNO가 N2 내에서 더 희석되는 것과 동일하다. 반응식은 2NO + F2 → 2FNO이다. 반응물 내의 F2와 동일하거나 그 미만으로, FNO가 생산되고, F3NO의 형성은 제어될 수 있다. 예를 들어, 7.5sccm F2, 15sccm NO 및 75sccm N2로 형성된 F2, NO 및 N2의 혼합물을 반응기로 공급하면, F2 와 NO 사이의 반응이 발생되어 N2 내에서 희석된 생성물 FNO를 형성한다. F2 대 NO의 비율이 = ½이기 때문에, 모든 F2가 소비되어 FNO를 생산할 것이고, F3NO를 생성하기 위한 F2는 남지 않는다. 이러한 방식으로, F3NO 형성이 제한될 수 있고, 생성된 FNO는 무-F3NO FNO이다. 이어서, 더 많은 N2(10sccm)가 반응기에 첨가되어, N2 내에서 희석된 무-F3NO FNO를 초래하고, 그에 의해서 N2 내의 15% 무-F3NO FNO의 가스 혼합물을 형성한다.
대안적으로, F2/NO ≤ 1/2의 몰비에서 F2/N2 및 NO를 혼합하는 것에 의해서, 무-F3NO FNO 및 N2의 가스 혼합물이 생산될 수 있다. F2, N2 및 NO의 혼합 순서가 도 3의 (b)에 도시되어 있다. F2 및 N2의 혼합물이 먼저 형성되고, 이어서 NO가 혼합물 내로 첨가되고, 여기에서 F2 및 NO의 반응이 발생되어 N2 내의 FNO를 생산한다. 이어서, 부가적인 N2가 생성물 FNO에 첨가되어, N2 내에서 희석된 FNO를 형성한다. 반응식은 2NO + F2 → 2FNO이다. 반응물 내의 F2와 동일하거나 그 미만에서, F3NO의 형성이 제어될 수 있다. 예를 들어, F2 및 N2의 혼합물이 반응기에 공급된 7.5sccm F2 및 75sccm N2로 형성된다. 이어서, 혼합물은 반응기 내에서 15sccm NO와 혼합되고, 여기에서 F2와 NO 사이의 반응이 발생되어 생성물 무-F3NO FNO를 형성한다. 이어서, 생성물 무-F3NO FNO는 10sccm N2로 N2 내에서 희석되어, N2 내에서 희석된 15% FNO의 가스 혼합물을 형성한다.
대안적으로, F2/NO ≤ 1/2의 몰비에서 F2 및 NO/N2를 혼합하는 것에 의해서, 무-F3NO FNO 및 N2의 가스 혼합물이 생산될 수 있다. F2, N2 및 NO의 혼합 순서가 도 3의 (c)에 도시되어 있다. NO 및 N2의 혼합물이 먼저 형성되고, 이어서 F2가 혼합물 내로 첨가되고, 여기에서 F2 및 NO의 반응이 발생되어 N2 내의 FNO를 생산한다. 부가적인 N2가 생성물 FNO에 첨가되어, N2 내에서 추가적으로 희석된 FNO를 형성한다. 반응식은 2NO + F2 → 2FNO이다. 반응물 내의 F2 이하로, 생성물 FNO가 생산되고, F3NO의 형성은 제어될 수 있다.
대안적으로, F2/NO ≤ 1/2의 조건에서 F2/N2 및 NO/N2를 혼합하는 것에 의해서, 무-F3NO FNO 및 N2의 가스 혼합물이 생산될 수 있고, F2 및 NO는 N2 내에서 각각 희석된다. F2, N2 및 NO의 혼합 순서가 도 3의 (d)에 도시되어 있다. F2 및 N2의 혼합물이 먼저 형성되고, 이어서 NO 및 N2의 혼합물이 F2 및 N2의 혼합물 내로 첨가되고, 여기에서 F2 및 NO의 반응이 발생되어 N2 내의 FNO를 생산한다. 이어서, 부가적인 N2가 N2 내의 생성물 FNO에 첨가되어, 상이한 농도의 N2 내의 FNO를 형성한다. 반응식은 2NO + F2 → 2FNO이다. 반응물 내의 F2와 동일하거나 그 미만으로, 생성물 FNO가 생산되고, F3NO의 형성은 제어될 수 있다.
실시예 3 화학양론적 조건 대 F2-부화 조건
실시예 2로부터의 결과적인 생성물을 FT-IR로 분석하였고 생성물 내에 F3NO가 적다는 것 또는 없다는 것을 확인하였는데, 이는, F2 대 NO의 비율이 ≤ ½이고, 모든 F2가 FNO 생산을 위해서 소비되었고 F3NO를 생성하기 위한 F2가 남지 않을 것이기 때문이다. 도 4는 화학양론적 조건 및 F2-부화 조건에서 각각 생산된 N2 내의 30% FNO의 FTIR 스펙트럼의 비교이다. 상부 스펙트럼은 화학양론적 조건에서 생산된 30% FNO이고; 하부 스펙트럼은 F2-부화 조건에서 생산된 30% FNO이다. FNO가 화학양론적 조건에서 만들어지는 경우에, F3NO 피크는 검출되지 않았다.
실시예 4 원래의 장소에서의 N2 내의 무-F3NO FNO 및 F2의 가스 혼합물 제조(I)
원래의 장소에서 생산된 무-F3NO FNO 가스는, 반도체 적용예에서 에칭 가스로서 이용하기 위해서, F2와 같은 부가적인 에칭 가스와 혼합될 수 있다. FNO/F2/N2의 가스 혼합물을 생산하는 프로세스에서 F3NO의 형성을 억제하기 위해서, 혼합 절차는 F2 혼합 순서를 제어하는 것과 함께 실시되었다.
무-F3NO FNO/F2/N2의 가스 혼합물은 F2, NO 및 N2의 상이한 혼합 순서에 의해서 생산될 수 있다. 도 5의 (a)는, F2, NO 및 N2가 먼저 함께 혼합되고 이어서 부가적인 N2가 첨가되는 것을 도시한다. 무-F3NO FNO/F2/N2의 가스 혼합물 내에서 목표 F2 조성을 획득하기 위해서, F2/NO 비율은 ½보다 커야 한다. 대안적으로, 무-F3NO FNO/F2/N2의 가스 혼합물은, 도 5의 (b)에 도시된 바와 같이, F2 및 N2를 먼저 혼합하는 것, 이어서 F2/NO ≤ ½의 조건에서 NO를 첨가하여 FNO를 생산하는 것 그리고 이어서 부가적인 F2, 및 부가적인 N2를 첨가하는 것에 의해서 생산될 수 있다. 이러한 경우에, N2 및 NO의 혼합 순서는 서로 바뀔 수 있다. 즉, F2 및 NO를 먼저 혼합하고 이어서 N2를 첨가한다(괄호 참조). 대안적으로, 무-F3NO FNO/F2/N2의 가스 혼합물은, 도 5의 (c)에 도시된 바와 같이, NO 및 N2를 먼저 혼합하는 것, 이어서 F2를 첨가하는 것 및 부가적인 N2를 첨가하는 것에 의해서 생산될 수 있다. 이러한 경우에, 목표 F2 조성이 무-F3NO FNO/F2/N2의 가스 혼합물에 도달하기 위해서, F2/NO 비율은 ½보다 커야 한다. 대안적으로, 무-F3NO FNO/F2/N2의 가스 혼합물은, 도 5의 (d)에 도시된 바와 같이, F2 및 N2를 먼저 혼합하는 것, 이어서 F2/NO = ½의 조건에서 NO 및 N2의 혼합물을 첨가하는 것 그리고 이어서 부가적인 N2를 첨가하는 것에 의해서 생산될 수 있다. 유사하게, 이러한 경우에, 목표 F2 조성이 무-F3NO FNO/F2/N2의 가스 혼합물에 도달하기 위해서, F2/NO 비율은 ½보다 커야 한다.
도 5의 (a), 도 5의 (c)도 5의 (d)에 도시된 혼합 순서 모두는 F2-부화 조건에서의 하나의 단계의 F2 혼합 절차이다. 도 4에 도시된 바와 같이, F2-부화 조건에서, F3NO가 생산되었고 억제되지 않을 수 있다. 1-단계의 F2 혼합 절차에서 과다 F2와 NO를 혼합하는 것은, 2-단계 F2 혼합 절차에서 F2 및 NO를 혼합하는 것보다 많은 F3NO를 생산한다. 도 5의 (b)에 도시된 혼합 순서는 2-단계 F2 혼합 절차이고, 이는 무-F3NO FNO/F2/N2의 가스 혼합물 내의 최종 F2 조성을 목표로 F2 또는 F2/N2를 추후에 공급하는 것을 포함한다. 제1 단계에서 F2/NO ≤ 1/2 이고 F3NO가 억제되기 때문에, 부가적인 양의 F2를 첨가하는 것은 F3NO를 생산하지 않을 것이다. 따라서, 도 5의 (b)에 도시된 혼합 절차만이 무-F3NO FNO/F2/N2의 가스 혼합물 내에서 F3NO를 적게 생성하거나 생성하지 않는다.
무-F3NO FNO/F2/N2의 가스 혼합물을 합성하는 프로세스에서, F3NO 생성이 F2 및 NO 공급 몰비 및 F2 혼합 절차/순서에 따라 달라진다는 것을 발견하였다. FNO 형성에 필요한 바에 따른 F2의 양을 공급하는 것(즉, 화학양론적 조건)은 최소의 F3NO 불순물을 생산한다. FNO/F2/N2의 가스 혼합물을 생산하기 위해서, 2-단계의 F2 혼합 절차가 적용될 수 있다. 2-단계 F2 혼합 절차는 i) N2 내에서 희석하거나 희석하지 않으면서 화학적으로 균등한 F2 및 NO를 먼저 혼합하는 것에 의해서 F2 및 순수 NO(적어도 99.9% 순도)의 혼합물을 형성하는 단계, 및 이어서 ii) N2 내에서 희석하거나 희석하지 않으면서 여분의 F2 가스를 혼합물에 첨가하는 단계이다. 2-단계 F2 혼합 절차에 의해서, FNO/F2/N2의 가스 혼합물의 형성에서 FT-IR 측정을 통해서 F3NO가 적게 검출되었거나 검출되지 않았다.
실시예에서, 나머지 N2 가스 내의 15%-FNO 및 10%-F2의 가스 혼합물이 도 5의 (b)에 도시된 바와 같은 2-단계 F2 혼합 절차에 의해서 준비되었고, 표 1에 기재하였다. N2 및 NO 공급은 10 mol 및 2 mol로 각각 고정되었다. 제1 F2 공급 대 제2 F2 공급의 비율(제1 F2/제2 F2)이 변경되었으나, FNO/F2/N2 가스 혼합물의 동일한 최종 조성을 목표로하기 위해서, F2의 총 유량은 2.3 mol로 고정되었다. 도 6에 도시된 바와 같이, FNO/F2/N2 가스 혼합물 내의 F3NO의 양을 FTIR로 모니터링하여, F2 혼합 순서의 효과를 체크하였다.
[표 1]
제1 단계에서, 제1 F2/총 F2 공급의 비율은 43%이고, FNO의 형성에 필요한 F2 대 NO의 비율은 F2/NO=0.5이다. 제2 단계에서, 제2 F2의 추후 공급이, FNO/F2/N2 가스 혼합물 내의 최종 F2 조성(이러한 경우에 10% F2)을 목표로 F2 및 NO의 혼합물에 공급된다. 도 6은, F2 공급량(제1 F2_%)에 따라 F3NO 형성이 변화된다는 것(FTIR 신호)을 보여준다. 43% 제1 F2/총 F2 공급에서, F2/NO가 화학양론적 조건이 아니기 때문에, F3NO는 형성되지 않았다. 다른, 66%, 83% 및 100%의 제1 F2/총 F2 공급 모두는 F3NO를 생성한다.
실시예 5 원래의 장소에서의 N2 내의 무-F3NO FNO 및 F2의 가스 혼합물 제조(II)
나머지 N2 가스 내의 3.42%-FNO 및 2.31%-F2의 가스 혼합물(무-F3NO FNO/F2/N2)이, 표 2에 기재된 바와 같은 제1 F2 및 제2 F2의 다양한 혼합량으로, 도 5의 (b)에 도시된 바와 같이, 2 단계의 F2 공급에 의해서 준비되었다. 제1 F2, NO 및 제2 F2 공급은 1 mol, 2 mol, 및 1.35 mol로 각각 고정되었다. 제1 N2/제2 N2의 비율이 변경된 한편, 가스 혼합물의 동일한 최종 조성을 목표로 N2의 총 유동은 55.13 mol로 고정되었다. F3NO 양을 FTIR로 모니터링하여, 도 5의 (b)에 도시된 바와 같은 N2 혼합 순서의 효과를 체크하였고, 여기에서 N2는 제1 N2 및 제2 N2의 2개의 공급으로 분할되었다. 1/2 비율의 미리 혼합된 F2/N2 및 NO와 F2/NO 사이의 반응에 의해서, 무-F3NO FNO/F2/N2의 가스 혼합물이 얻어질 수 있다.
[표 2]
도 7은, N2 공급 및 N2 공급량(제1 N2_%)에 따라 F3NO의 형성이 달라지는 것(FTIR 신호)을 보여준다. N2 공급이 없을 때, F3NO가 생성되었다. 제1 N2 공급 대 총 N2 공급의 비율의 증가에 따라, F3NO 형성이 점점 더 적어지고, 제1 N2 공급이 100%에 도달할 때, 거의 0이 되었다. 따라서, N2의 첨가는 F3NO 형성을 감소시키는데 유리하다.
실시예 6 현장 혼합 생산된 무-F3NO FNO를 이용한 에칭의 효과
현장 혼합 생산된 무-F3NO FNO를 에칭 가스로서 이용하여 SiN 필름에 대해서 에칭의 효과를 획득하였다.
제1 F 2 공급의 에칭 효과
F2도 5의 (b)에 도시된 바와 같이 2-단계에 의해서 공급되었다. SiN 필름을 에칭하기 위한 FNO 그리고 다양한 FNO 및 F2의 혼합물을 생산하기 위해서, 제1 F2 대 제2 F2의 비율을 변경하였다. 에칭 조건은 아래와 같다. 압력은 20 Torr였고; 온도는 70℃였고; 에칭 시간은 2분이었고; 총 유량은 1 slm으로 고정되었고; 에칭 조성물 농도는: FNO/F2 = 1.48이었고; FNO는 3.42%로 고정되었고; F2는 2.31%로 고정되었고; 총 F2는 40.2 sccm이었다. 4개의 SiN 샘플(1, 2, 3 및 4)을 상이한 제1 F2 공급량들로 에칭하였다. 다양한 에칭 테스트를 위해서, 표 3에 나열된 총 7개의 SiN 필름을 이용하였다.
[표 3]
도 8은, 상이한 제1 F2 공급량들을 갖는 FNO 및 F2 가스 혼합물로 SiN을 에칭한 후의 FTIR 신호 및 에칭 속도이다. 명확하게, 더 많은 제1 F2 공급에 의해서 생성된 더 많은 F3NO가 더 빠른 SiN 에칭 속도를 유도하나, SiN 필름 표면 상에서 균일하지 않은 에칭 결과를 유도한다(미도시). 샘플 1은, 43% 제1 F2 공급에서, 가장 적은 양의 F3NO를 가졌고; 샘플 2 및 3은, 57% 및 72% 제1 F2 공급에서, 점진적으로 증가되는 F3NO를 가졌다. 샘플 4는, 1 단계의 F2 혼합 프로세스를 의미하는 것으로서 제2 F2 공급을 가지지 않는, 100% 제1 F2 공급에서, 가장 많은 양의 F3NO를 갖는다. 4개의 샘플에서, F3NO의 증가에 따라 각각의 속도가 증가되었다. 샘플 1은, 다른 3개의 샘플 및 원래의 SiN 필름에 비해서, 가장 적은 F3NO 형성 및 양호한 에칭 표면(미도시)을 가졌다. 따라서, FNO 내의 적거나 없는 F3NO 불순물 또는 FNO 및 F2의 가스 혼합물 내의 적거나 없는 F3NO 불순물은 에칭 성능에 유리하다.
N 2 공급의 에칭 효과
에칭 조건은 아래와 같다. 압력은 20 Torr였고; 온도는 70℃였고; 에칭 시간은 2분이었고; 총 유량은 1 slm으로 고정되었고; 에칭 조성물 농도는: FNO/F2 = 1.48이었고; FNO는 3.42%로 고정되었고; F2는 2.31%로 고정되었고; 총 N2는 942.7 sccm이었다. 도 5의 (a)도 5의 (c)에 도시된 바와 같이, N2는 2개의 단계에 의해서 공급되었다. SiN 필름을 에칭하기 위한 FNO 그리고 FNO 및 F2의 혼합물을 생산하기 위해서, 제1 대 제2 N2의 비율을 변경하였다. 도 9에 도시된 바와 같이, N2 희석이 없이, F3NO가 형성되었다. 따라서, F2/NO 반응을 위한 N2 희석은 F3NO의 형성을 감소시킨다.
FNO 및 F 2 농도의 에칭 효과
에칭 조성물은 FNO 및 F2를 포함하였다. FNO 농도가 3.42%로부터 9.80%로 변경되었다. F2 농도가 2.31%로부터 6.62%로 변경되었다. 에칭 조건은 아래와 같다. 압력은 20 Torr였고; 온도는 70℃였고; 에칭 시간은 2분이었고; 총 유량은 1 slm으로 고정되었고; 에칭 조성물 농도는: 총 F2의 43%의 제1 F2 공급량에서 FNO/F2 = 1.48이었다.
도 10에 도시된 바와 같이, 표 3의 샘플 1 및 5를 참조하면, FNO 농도 증가는 F3NO의 양을 증가시키지 않는다. 샘플 5에서의 SiN 에칭 속도의 증가는, 샘플 1의 농도보다 높은, FNO의 농도 때문이다. 샘플 5에서의 에칭 표면 컬러는 샘플 1과 크게 다르고(미도시), 이는 낮은 농도의 FNO 및 F2가 에칭 성능에 유리하다는 것을 의미한다.
에칭 시간의 효과
에칭 조건은 아래와 같다. 압력은 20 Torr였고; 온도는 70℃였고; 총 유량은 1 slm으로 고정되었고; 에칭 조성물 농도는: FNO/F2 = 1.48이었고; FNO는 3.42%로 고정되었고; F2는 2.31%로 고정되었고; 총 F2는 40.2 sccm이었다. 에칭 시간은 2분으로부터 5분으로 변경되었다. 도 5의 (b)에 도시된 바와 같이, 2개 단계의 F2 혼합 방법을 적용하여 FNO/F2/N2의 가스 혼합물을 형성하였다.
도 11 표 3을 참조하면, 43% 제1 F2를 갖는 샘플 1 및 6은 적은 F3NO를 가졌고; 100% 제1 F2 를 갖는 샘플 4 및 7은 많은 F3NO를 가졌다. 도시된 바와 같이, 5분 이내의 에칭 시간은 FNO 및 F3NO 농도에 영향을 미치않는다.
실시예 7 고압에서의 FNO를 저장하기 위한 실린더에 대한 그리고 라인 구성요소에 대한 재료 양립성
재료 양립성 테스트는, 에칭 가스 혼합물 FNO/F2/N2도 2에 도시된 저장 실린더(106) 및 고압 구역(102) 내의 구성요소, 예를 들어 실린더 밸브(108), 파이프라인(110), 밸브(112), 압력 센서(114) 및 압력 조절기(116) 사이의 재료 양립성을 테스트하는 것을 포함하였다.
테스트된 샘플은 0.99 MPa의 압력에서의 HASTELLOY® C-22®, NiP, 스테인리스 강 가스켓(예를 들어, 스테인리스 강 316L(SS316L)) 및 Ni 가스켓이었다.
XPS 결과는, SS316L 재료로 제조된 용기 내의 12000 Å까지의 F-침투를 보여준다. 따라서, SS316L 재료는 에칭 가스 혼합물 FNO/F2/N2와 양립되지 못할 수 있다.
XPS 결과는, HASTELLOY® C-22® 재료로 제조된 용기 내의 약 6000 Å까지의 F-침투를 보여준다. 재료 HASTELLOY® C-22®는 SS316L보다 양호하다.
XPS 결과는, NiP 코팅된 강 재료로 제조된 용기 내의 약 50 Å 미만의 F-침투를 보여준다. 따라서, NiP 코팅된 강 재료는 에칭 가스 혼합물 FNO/F2/N2와 양립될 수 있다.
XPS 결과는, 니켈 재료로 제조된 용기 내의 약 800 Å 미만의 F-침투를 보여준다. 비록 니켈 재료는 NiP 코팅된 강 재료만큼 양호하지 않지만, 니켈 재료는 에칭 가스 혼합물 FNO/F2/N2와 어느 정도 양립 가능하다.
요약하면, 고압 구역(예를 들어, 0.99 MPa)에서, NiP 코팅된 강은 실린더 본체의 제조에 적합하다. 순수 니켈 또는 니켈 합금은 실린더 밸브를 위해서 이용될 수 있다. 고압 구역 내의 다른 라인 구성요소(예를 들어, 압력 조절기, 밸브, 가스 필터, 파이핑)가 높은 Ni 함량을 갖는 HASTELLOY® C-22®, MONEL® 또는 INCONEL® 와 같은 니켈 합금을 이용하는 것이 바람직할 수 있다. F2 또는 FNO를 이용한 부동태화 프로세스가 고압 구역에 적용될 수 있다. 부동태화 프로세스는, 압력을 점진적으로 높이는 프로세스를 포함한다.
실시예 8 저압에서의 라인 구성요소에 대한 재료 양립성 테스트
재료 양립성 테스트는 또한, 에칭 가스 혼합물 FNO/F2/N2도 2에 도시된 저압 구역(104) 내의 구성요소, 예를 들어 압력 센서(120), 파이프라인(130), 밸브(122124) 사이의 재료 양립성을 테스트하는 것을 포함하였다.
SS316L Ni 재료 양립성
본원에서 사용된 용기는, Ni 가스켓 샘플 및 1개의 또는 2개의 SS 가스켓(즉, SS316L 가스켓) 샘플을 각각 포함하는 Ni 용기였다. 샘플은 17일 및 21일의 기간에서 에칭 가스 무-F3NO FNO/F2/N2로 0.50 MPa에서 테스트되었다.
SS 샘플은 입자로 덮였고, 무-F3NO FNO/F2/N2에 노출될 때 부식을 관찰하였다. 따라서, SS 샘플은 저압에서도 무-F3NO FNO/F2/N2와 양립될 수 없다. 부식은 니켈 샘플에서 관찰되지 않았다.
FNO-단독의 경우에, SS 샘플은 저압에서 FNO-단독과 양립 가능하다는 것이 관찰되었고, 부식은 관찰되지 않았으나, 무-F3NO FNO/F2/N2의 경우에, 저압 구역 내에서 양립 가능한 것으로 확인되지 않았다. 그러나, F2 또는 FNO을 이용한 부동태화 후에, SS 샘플은 저압 구역 내에서 에칭 가스 무-F3NO FNO/F2/N2와 양립 가능할 수 있다. 대안적으로, 에칭 가스가 F2를 포함하지 않는 경우에, SS는 저압 구역 내의 라인 구성요소를 제조하기에 적합하다.
낮은 레벨의 F 3 NO 또는 무- F 3 NO를 갖는 FNO 및 F 2
2개의 SS 샘플을 각각 20일 동안 0.5 MPa에서 3개의 용기의 각각에 설치하였다. 비교를 위해서, 하나의 용기에 FNO-단독을 공급하였고, 다른 2개의 용기에는 N2 내의 15% 무-F3NO FNO 및 10% F2의 가스 혼합물 및 N2 내의 절반 농도의 15% 무-F3NO FNO 및 10% F2의 가스 혼합물을 공급하였다. 무-F3NO에서도, N2 내의 15% 무-F3NO FNO 및 10% F2의 가스 혼합물은 0.5 MPa에서 SS316L 상에서 부식을 초래하였지만, FNO-단독에서는 SS316L 표면 상에서 부식을 초래하지 않았다. SS316L은 N2 내의 15% 무-F3NO FNO 및 10% F2의 가스 혼합물과 양립 가능하지 않다. 따라서, 무-F3NO F2/FNO/N2에 대한 저압 구역 내의 F2 및 FNO 부동태화가 필요하다. SS316L은 F2 또는 FNO 부동태화 후에 에칭 가스 무-F3NO FNO/F2/N2와 양립 가능할 수 있다. SS316L은 F2가 없는 FNO 및 N2의 가스 혼합물과 양립 가능할 수 있다.
실시예 9 재료 양립성 요약
고압 및 저압 구역 모두에 대한 재료 양립성 테스트 조건 및 결과가 표 4에 나열되어 있다. 요약하면, NiP 코팅된 강, 순수 니켈 또는 니켈 합금을 포함하는 고함량 니켈 재료는 고압 구역과 양립 가능할 수 있다. SS316L은 저압 구역에서 FNO 및 N2 가스 혼합물과 양립 가능하다. 그러나, F2 또는 FNO을 이용한 부동태화로, SS316L은 저압 구역 내에서 FNO/F2/N2 가스 혼합물과 양립 가능할 수 있다. 또한, 금속, 니켈을 함유하지 않은 금속 합금, 또는 고니켈 함량 또는 저니켈 함량의 금속 합금이 저압 구역과 양립 가능할 수 있다.
[표 4]
표 4에서, "A"는 우수한 양립성 또는 양호한 사용을 의미하고; "A*"는 우수한 양립성 또는 양호한 사용을, 그러나 실제 테스트는 이루어지지 않았다는 것을 의미하고; "B"는 한계를 갖는 또는 제한된 수용 가능성을 의미하고; "B*"는 한계를 갖는 또는 제한된 수용 가능성을, 그러나 실제 테스트는 이루어지지 않았다는 것을 의미하고; "C"는 양립 가능성이 좋지 않거나 양립 가능하지 않다는 것을 의미하고; "-"는 실제 테스트가 없었다는 것을 의미한다. 비-코팅 강은, Mn-강과 같이, 표면 상에서 NiP 코팅을 갖지 않는 임의의 유형의 강일 수 있다. SS316L은 14% 이하의 니켈을 포함한다.
실시예 10 안정성(보관 수명) 테스트
10L 크기의 NiP 코팅된 강 실린더 및 Ceodeux D306 Ni 본체 Ni 격막 실린더 밸브를 이용하여 안정성 테스트를 실시하였다. 실린더는 먼저 진공 베이킹으로 예비-처리하였고 이어서 F2로 부동태화하였다. 실시예 3에서 설명된 바와 같이 F2, NO 및 N2를 혼합하는 것에 의한 15% FNO/N2을 0.99 MPa(G)에서 10L 크기의 NiP 코팅된 강 실린더에 충진하였다. 6개월 동안 FNO 및 불순물(NO2, HF, F3NO)을 FT-IR로 모니터링하는 것에 의해서 보관 수명을 테스트하였다. 6개월 동안 SiN 에칭 속도를 주기적으로 체크하는 것에 의해서 에칭 성능을 테스트하였고, 조성 및 SiN 에칭 성능과 관련하여 6개월까지 제품의 안정성을 확인하였다.
도 12는 FT-IR에 의한 상이한 조성물들의 모니터링의 결과이다. 도 13은 시간에 따른 에칭 성능의 모니터링의 결과이다. 에칭 성능은 100℃의 온도, 20 Torr의 압력에서 20% F2 및 1% FNO의 에칭 가스로 테스트되었다. 에칭 시간은 1분이었다. 도 12도 13으로부터의 결과는, FNO 및 불순물의 큰 농도 변화가 없다는 것 그리고 큰 에칭 성능 변화가 없다는 것을 보여주고, 이는 6-개월의 안정성이 확실하고 장기간의 안정성이 예상된다는 것을 의미한다.
실시예 11 무-F3NO FNO-함유 가스를 위한 저장 및 공급 패키징
도 2를 참조하면, 반도체 산업에서의 열 및 플라즈마 건식 에칭 적용예 또는 기타를 위한 무-F3NO FNO-함유 가스를 위한 저장 및 공급 패키징이 무-F3NO FNO-함유 가스의 저장을 위한 NiP 코팅된 강 실린더를 포함할 수 있다. NiP 코팅된 강 실린더는 합금 4130X으로 제조된 탄소강 실린더일 수 있고, 니켈 도금(NiP)의 내부 표면 코팅 및 폴리싱된 NiP 코팅의 표면을 갖는다. 공급 패키징은, 무-F3NO FNO-함유 가스를 NiP 코팅된 강 실린더로부터 매니폴드 조립체로 전달하는 것을 제어하기 위한 니켈 실린더 밸브를 더 포함하고, 매니폴드 조립체는 압력 조절기에 의해서 분할된 고압 구역 및 저압 구역을 갖는다. 고압 구역 내의 라인 구성요소는 적어도 14 중량% 니켈을 가지는 고-니켈 함량 재료/합금으로 제조된다. 고압 구역 내의 라인 구성요소는 압력 조절기, 밸브, 가스 필터, 파이핑, 압력 센서, 또는 기타를 포함한다. 고-니켈 함량 합금은 MONEL®, INCONEL®, HASTELLOY® C-22® 또는 기타일 수 있다. 고압 구역은 점진적으로 증가되는 압력으로 F2 또는 FNO로 부동태화될 수 있다. 저압 구역 내의 라인 구성요소는, 고-니켈 함량 재료/합금, 저-니켈 함량 재료/합금 또는 니켈을 함유하지 않은 재료/합금, 예를 들어 스테인리스 강을 포함하는 임의의 금속 또는 임의의 금속 합금으로 제조될 수 있다. 저압 구역은 F2 또는 FNO로 부동태화될 수 있다.
현장에서 미리-합성된 무-F3NO FNO(F3NO 불순물이 1% 미만이다)로, FNO 및 N2가 원래의 장소에서 혼합되어, 다양한 농도의 N2 내의 FNO를 갖는 무-F3NO FNO/N2 가스 혼합물을 생산할 수 있다. 따라서, 무-F3NO FNO 가스는 N2 내에서 희석될 수 있고 NiP 코팅된 강 실린더 내에서 저장될 수 있다. 무-F3NO FNO/N2의 혼합물 내의 FNO의 농도는 약 0.01% 내지 약 80%의 범위일 수 있다. 바람직하게, 무-F3NO FNO/F2/N2의 혼합물 내의 FNO의 농도는 약 0.01% 내지 약 30%의 범위일 수 있다. 일 실시형태에서, 무-F3NO FNO/N2의 혼합물 내의 FNO의 농도는 약 3%이다. 다른 실시형태에서, 무-F3NO FNO/N2의 혼합물 내의 FNO의 농도는 약 15%이다.
현장에서 미리-합성된 무-F3NO FNO(F3NO 불순물이 1% 미만이다)로, FNO 및 F2가 원래의 장소에서 혼합되어, 다양한 농도의 N2 내의 FNO 및 F2를 갖는 무-F3NO FNO/F2/N2 가스 혼합물을 생산할 수 있다. 무-F3NO FNO/F2/N2의 혼합물 내의 FNO 농도는 약 0.01% 내지 약 80%의 범위일 수 있고, 무-F3NO FNO/F2/N2의 혼합물 내의 F2의 농도는 약 0%(F2 없음) 내지 약 80%의 범위일 수 있다. 바람직하게, 무-F3NO FNO/F2/N2의 혼합물 내의 FNO 농도는 약 0.01% 내지 약 30%의 범위일 수 있고, 무-F3NO FNO/F2/N2의 혼합물 내의 F2의 농도는 약 0% 내지 약 20%의 범위일 수 있다.
일 실시형태에서, 무-F3NO FNO/F2/N2의 혼합물 내의 FNO의 농도가 약 15%이고, 무-F3NO FNO/F2/N2의 혼합물 내의 F2 의 농도가 약 10%이다. 무-F3NO FNO 가스는 N2 내에서 희석될 수 있고 NiP 코팅된 강 실린더 내에 먼저 저장될 수 있다. 이어서, 순수 F2 또는 N2 내의 희석된 F2가 희석된 무-F3NO FNO와 혼합되어, 반도체 적용예에서 에칭 가스로서 이용하기 위한 N2 가스 혼합물 내의 무-F3NO 약 15% FNO 및 약 10% F2를 생성한다. 생산된 N2 가스 혼합물 내의 무-F3NO 약 15% FNO 및 약 10% F2가 NiP 코팅된 강 실린더 내에 저장될 수 있다. 무-F3NO FNO/F2/N2의 가스 혼합물을 생산하기 위해서 미리-합성된 무-F3NO FNO를 공급하는 것의 장점은 i) FNO 및 F2의 혼합에 의한 발열 반응이 없다는 것; ii) 불순물 F3NO가 적게 생성되거나 생성되지 않는다는 것; iii) 전술한 실시예에서 확인된 보다 양호한 에칭 성능의 재현성이다.
대안적으로, 무-F3NO FNO/F2/N2 가스 혼합물은, 실시예 3에서 전술한 바와 같은 2-단계 F2 혼합 방법으로 NO(적어도 99.9% 순도) 및 F2 가스를 혼합하는 것에 의해서, 원래의 장소에서 생산될 수 있다. 생산된 무-F3NO FNO/F2/N2 가스 혼합물은, 반도체 적용예에서 에칭 가스로서 또는 다른 목적으로 이용하기 위해서, NiP 코팅된 강 실린더 내에 저장될 수 있다. NO 및 F2를 혼합하는 것에 의해서 무-F3NO FNO/F2/N2 가스 혼합물을 생산하는 것의 장점은, 무-F3NO FNO/F2/N2 가스 혼합물 내의 FNO의 농도가 에칭 적용예의 요건에 따라 달리 조정될 수 있다는 것이다.
본 발명의 본질을 설명하기 위해 본원에서 설명되고 예시된 세부 사항, 물질, 단계, 및 부품 배열의 다수의 추가적인 변경이 첨부된 청구범위에 나타나 있는 바와 같은 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 실시 형태에 한정되는 것은 아니다.
본 발명의 실시 형태가 제시되고 설명되었지만, 본 발명의 사상 또는 교시를 벗어남이 없이, 당업자에 의해 이들의 수정이 이루어질 수 있다. 본 명세서에 기술된 구현예는 단지 예시적인 것이며 제한적이지 않다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 본 발명의 범주 내에 있다. 따라서, 보호 범위는 본원에 기재된 실시 형태에 한정되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 주제에 대한 모든 균등물을 포함한다.

Claims (15)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 무-F3NO FNO-함유 가스의 저장 및 공급을 위한 방법으로서,
    폴리싱된 내부 표면을 갖는 NiP 코팅된 강 실린더 내에서 상기 무-F3NO FNO-함유 가스를 저장하는 단계;
    F2 또는 FNO 로 매니폴드 조립체를 부동태화하는 단계;
    상기 실린더 및 상기 매니폴드 조립체와 유체 연통되는 실린더 밸브를 활성화시키는 것에 의해서 상기 무-F3NO FNO-함유 가스를 상기 실린더로부터 상기 매니폴드 조립체로 방출하는 단계;
    상기 매니폴드 조립체를 압력 조절기 상류의 제1 압력 구역 및 압력 조절기 하류의 제2 압력 구역으로 분할하기 위해서, 상기 매니폴드 조립체 내에서 압력 조절기를 활성화하는 것에 의해서 상기 무-F3NO FNO-함유 가스를 감압하는 단계; 및
    상기 감압된 무-F3NO FNO-함유 가스를 상기 제2 압력 구역 하류의 목표 반응기에 공급하는 단계를 포함하는, 방법.
  8. 제7항에 있어서,
    적어도 99.9 부피%의 NO 가스의 순도로, 그리고 ½ 이하의 F2 가스 대 NO 가스의 비율로 NO 및 F2 가스를 혼합하는 것에 의해서 상기 무-F3NO FNO -함유 가스 내에 포함된 무-F3NO FNO를 생산하는 단계를 더 포함하고, 상기 생산된 무-F3NO FNO는 1 부피% 미만의 F3NO를 포함하는, 방법.
  9. 제8항에 있어서,
    상기 무-F3NO FNO-함유 가스는,
    무-F3NO FNO 가스 및 F2의 가스 혼합물을 생산하기 위해서, 생산된 무-F3NO FNO 가스와 부가적인 양의 F2를 혼합하는 단계; 및
    무-F3NO FNO 가스, F2 및 N2의 가스 혼합물을 형성하기 위해서, N2 내에서 무-F3NO FNO 가스 및 F2의 가스 혼합물을 희석하는 단계에 의해서 생산된 필름을 에칭하기 위한 무-F3NO FNO 가스, F2 및 N2의 가스 혼합물인, 방법.
  10. 제 7 항에 있어서,
    상기 실린더 밸브, 상기 제1 압력 구역 내의 상기 압력 조절기 및 라인 구성요소는 적어도 14 중량%의 니켈을 가지는 니켈 함유 재료로 제조되는, 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020217022223A 2018-12-20 2019-12-12 반도체 프로세스를 위해서 무-f3no fno 가스 및 무-f3no fno 가스 혼합물의 저장 및 공급을 위한 시스템 및 방법 KR102560205B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/227,623 2018-12-20
US16/227,623 US20200203127A1 (en) 2018-12-20 2018-12-20 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
PCT/US2019/065915 WO2020131556A1 (en) 2018-12-20 2019-12-12 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Publications (2)

Publication Number Publication Date
KR20210100186A KR20210100186A (ko) 2021-08-13
KR102560205B1 true KR102560205B1 (ko) 2023-07-26

Family

ID=71097745

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217022223A KR102560205B1 (ko) 2018-12-20 2019-12-12 반도체 프로세스를 위해서 무-f3no fno 가스 및 무-f3no fno 가스 혼합물의 저장 및 공급을 위한 시스템 및 방법

Country Status (6)

Country Link
US (2) US20200203127A1 (ko)
EP (1) EP3900021A4 (ko)
JP (1) JP7187700B2 (ko)
KR (1) KR102560205B1 (ko)
CN (1) CN113056811A (ko)
WO (1) WO2020131556A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US10862031B2 (en) * 2019-03-01 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method to effectively suppress heat dissipation in PCRAM devices
US20240153778A1 (en) * 2021-03-09 2024-05-09 Resonac Corporation Etching gas and etching method
WO2023195422A1 (ja) * 2022-04-05 2023-10-12 株式会社レゾナック フッ素含有窒素化合物の保管方法
WO2023195421A1 (ja) * 2022-04-05 2023-10-12 株式会社レゾナック フッ素含有窒素化合物の保管方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003500551A (ja) * 1999-05-28 2003-01-07 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 耐蝕性容器およびガス供給システム
JP2016511504A (ja) 2012-12-21 2016-04-14 プラクスエア・テクノロジー・インコーポレイテッド 炭素イオン注入のためのドーパント組成物の貯蔵及び準大気圧送達

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2504867A (en) * 1948-06-22 1950-04-18 Air Reduction Method of arc welding
US3043662A (en) 1959-08-20 1962-07-10 Du Pont Method for producing nitrogen trifluoride, dinitrogen difluoride and nitrosyl fluoride
GB1054330A (ko) 1962-08-15
US4536252A (en) * 1985-02-07 1985-08-20 The United States Of America As Represented By The Secretary Of The Army Laser-induced production of nitrosyl fluoride for etching of semiconductor surfaces
JP2602880B2 (ja) * 1988-03-05 1997-04-23 忠弘 大見 シリンダーキャビネット配管装置
US5203843A (en) * 1988-07-14 1993-04-20 Union Carbide Chemicals & Plastics Technology Corporation Liquid spray application of coatings with supercritical fluids as diluents and spraying from an orifice
GB8822641D0 (en) * 1988-09-27 1988-11-02 Int Paint Plc Improvements related to coatings
US4996035A (en) 1989-02-27 1991-02-26 Mallinckrodt, Inc. Preparation of nitrosyl fluoride
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5199609A (en) * 1991-09-11 1993-04-06 Ash Jr William O Portable dispensing system
JPH1079385A (ja) * 1996-09-04 1998-03-24 Sony Corp 絶縁膜の形成方法
EP1037269A4 (en) * 1998-09-03 2007-05-02 Taiyo Nippon Sanso Corp DEVICE FOR DELIVERING LARGE QUANTITY OF SEMICONDUCTOR PROCESSING GAS
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP3878972B2 (ja) 2000-09-25 2007-02-07 東京エレクトロン株式会社 反応器の内部をクリーニングするため、ならびにケイ素含有化合物の膜をエッチングするためのガス組成物
US6474077B1 (en) * 2001-12-12 2002-11-05 Air Products And Chemicals, Inc. Vapor delivery from a low vapor pressure liquefied compressed gas
US20050006310A1 (en) * 2003-07-10 2005-01-13 Rajat Agrawal Purification and recovery of fluids in processing applications
US20050082002A1 (en) 2003-08-29 2005-04-21 Yuusuke Sato Method of cleaning a film-forming apparatus and film-forming apparatus
US7036534B2 (en) * 2003-09-30 2006-05-02 Mcclure Thomas W Marine engine corrosion prevention system
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
CN101115860B (zh) 2005-03-24 2011-08-24 株式会社爱发科 真空部件的制造方法、树脂被膜形成装置及真空成膜***
EP1795565B1 (en) * 2005-12-06 2016-05-18 Solvay Specialty Polymers Italy S.p.A. Water-based fluoropolymer composition
US7736751B2 (en) * 2006-09-20 2010-06-15 The Boeing Company Coating for components requiring hydrogen peroxide compatibility
US20080236483A1 (en) * 2007-03-27 2008-10-02 Jun Sonobe Method for low temperature thermal cleaning
WO2009079218A2 (en) * 2007-12-06 2009-06-25 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Integrated valve regulator assembly and system for the controlled storage and dispensing of a hazardous material
US8308871B2 (en) * 2008-11-26 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal cleaning gas production and supply system
JP5153898B2 (ja) 2010-04-28 2013-02-27 セントラル硝子株式会社 ハロゲンガス又はハロゲン化合物ガスの充填容器用バルブ
EP2626615A4 (en) * 2010-10-08 2016-12-21 Central Glass Co Ltd HALOGENATED GAS SUPPLY APPARATUS AND HALOGENATED GAS SUPPLY METHOD
JP5724438B2 (ja) 2010-10-08 2015-05-27 セントラル硝子株式会社 ハロゲン含有ガス供給装置及びハロゲン含有ガス供給方法
JP5888674B2 (ja) * 2012-02-28 2016-03-22 国立大学法人名古屋大学 エッチング装置およびエッチング方法およびクリーニング装置
JP2014170786A (ja) 2013-03-01 2014-09-18 Hitachi Kokusai Electric Inc クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP2014179553A (ja) * 2013-03-15 2014-09-25 Nagoya Univ エッチング方法およびエッチング装置
JP6139986B2 (ja) * 2013-05-31 2017-05-31 東京エレクトロン株式会社 エッチング方法
EP3025366A1 (en) * 2013-07-23 2016-06-01 Entegris, Inc. Remote delivery of chemical reagents
WO2015134197A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
KR101483276B1 (ko) * 2014-07-11 2015-01-15 한국종합철관(주) 부동태 피막형 피복 강관
JP2017054909A (ja) * 2015-09-09 2017-03-16 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、気相成長装置及びバルブ
CN114797403A (zh) * 2017-02-09 2022-07-29 应用材料公司 利用水蒸气和氧试剂的等离子体减量技术
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003500551A (ja) * 1999-05-28 2003-01-07 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 耐蝕性容器およびガス供給システム
JP2016511504A (ja) 2012-12-21 2016-04-14 プラクスエア・テクノロジー・インコーポレイテッド 炭素イオン注入のためのドーパント組成物の貯蔵及び準大気圧送達

Also Published As

Publication number Publication date
WO2020131556A1 (en) 2020-06-25
WO2020131556A8 (en) 2021-05-14
US20200203127A1 (en) 2020-06-25
EP3900021A1 (en) 2021-10-27
JP2022515063A (ja) 2022-02-17
JP7187700B2 (ja) 2022-12-12
CN113056811A (zh) 2021-06-29
US20220208517A1 (en) 2022-06-30
KR20210100186A (ko) 2021-08-13
EP3900021A4 (en) 2022-10-05

Similar Documents

Publication Publication Date Title
KR102560205B1 (ko) 반도체 프로세스를 위해서 무-f3no fno 가스 및 무-f3no fno 가스 혼합물의 저장 및 공급을 위한 시스템 및 방법
CN111512420B (zh) 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻
JP6997237B2 (ja) 3d nandフラッシュメモリを製造する方法
JP6960400B2 (ja) エッチング反応物質、およびそれを使用するプラズマフリーの酸化物エッチング方法
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
CN108475636B (zh) 使用五取代的二硅烷气相沉积含硅膜
CN111052318A (zh) 用于蚀刻多个堆叠层的化学过程
KR20190139770A (ko) 에칭 방법 및 에칭 장치
KR102506509B1 (ko) 전도성 표면들 상에 차단 층들을 증착시키기 위한 방법들
US20230193458A1 (en) Device for supplying a mixed gas, device for producing metal nitride film, and method for producing metal nitride film
TWI767661B (zh) 含矽及氮膜的製造方法
CN113330539A (zh) 干蚀刻方法、干蚀刻剂及其保存容器
US20230142966A1 (en) Molybdenum precursor compounds
TW202240004A (zh) 高通量沈積方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant