US20200203127A1 - Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes - Google Patents

Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes Download PDF

Info

Publication number
US20200203127A1
US20200203127A1 US16/227,623 US201816227623A US2020203127A1 US 20200203127 A1 US20200203127 A1 US 20200203127A1 US 201816227623 A US201816227623 A US 201816227623A US 2020203127 A1 US2020203127 A1 US 2020203127A1
Authority
US
United States
Prior art keywords
fno
gas
free
etching
mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/227,623
Inventor
Ayaka NISHIYAMA
Jiro Yokota
Chih-Yu Hsu
Peng Shen
Nathan Stafford
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
KK Air Liquide Laboratories
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KK Air Liquide Laboratories, LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical KK Air Liquide Laboratories
Priority to US16/227,623 priority Critical patent/US20200203127A1/en
Assigned to AMERICAN AIR LIQUIDE, INC. reassignment AMERICAN AIR LIQUIDE, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STAFFORD, NATHAN
Assigned to K.K. AIR LIQUIDE LABORATORIES reassignment K.K. AIR LIQUIDE LABORATORIES ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YOKOTA, JIRO, NISHIYAMA, Ayaka, HSU, CHIH-YU, SHEN, PENG
Assigned to L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude reassignment L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AMERICAN AIR LIQUIDE, INC.
Priority to KR1020217022223A priority patent/KR102560205B1/en
Priority to PCT/US2019/065915 priority patent/WO2020131556A1/en
Priority to JP2021534699A priority patent/JP7187700B2/en
Priority to CN201980076275.4A priority patent/CN113056811A/en
Priority to EP19898976.6A priority patent/EP3900021A4/en
Publication of US20200203127A1 publication Critical patent/US20200203127A1/en
Assigned to L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE reassignment L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: K.K. AIR LIQUIDE LABORATORIES
Priority to US17/575,049 priority patent/US20220208517A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/083Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms
    • C01B21/084Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms containing also one or more oxygen atoms, e.g. nitrosyl halides
    • C01B21/0842Halides of nitrogen oxides
    • C01B21/0844Nitrosyl fluoride
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/80Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70
    • C01P2002/82Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70 by IR- or Raman-data
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • Fluorine-containing compounds have been used to etch semiconductor materials.
  • Nitrosyl fluoride (FNO) is an example of highly reactive fluorinating etching compounds that may be used as a thermal etching gas.
  • C. Woolf Olyfluoride of Nitrogen
  • F 2 fluorine
  • C. Woolf also discloses the reaction between nitrosyl compound and metal fluoride, such as NOBF 4 +NaF ⁇ FNO+NaBF 4 , to produce FNO.
  • J. H. Holloway et al. (Advances in inorganic chemistry and radiochemistry Vol. 27, p157-195) disclose using fluorination of NOCl by AgF and fluorination of NO by XeF 2 or XeF 4 to produce FNO along with the methods shown C. Woolf.
  • U.S. Pat. No. 4,996,035 to Stepaniuk et al. discloses mixing nitride with hydrogen fluoride at mild condition to produce FNO.
  • FNO or FNO gas mixture has been used as etching gas or cleaning agent.
  • WO 2008/117258 to Sonobe et al. discloses a method for low temperature thermal cleaning using FNO produced with F 2 (excess) +NO ⁇ F 2 +FNO.
  • U.S. Pat. No. 4,536,252 to McDonald et al. discloses FNO is prepared by laser-induced method used to etch semiconductor surfaces.
  • US 2014/0248783 to Kameda et al. discloses using a mixture of F 2 and FNO as cleaning agent to remove a deposit in a CVD reaction chamber after forming a film on a substrate, in which FNO is produced from the reaction of F 2 and NO.
  • a gas composition for cleaning the interior of film deposition chambers contaminated with silicic deposition which comprises F 3 NO or combinations of F 3 NO with O 2 and/or inert gas(es) or which comprises FNO or a combination of FNO with O 2 and/or inert gas(es); and also a similar gas composition for etching films of silicon-containing compounds, e.g. films of semiconductive materials.
  • FNO preparation method is the direct reaction between F 2 and NO, which is expected to have high FNO yield and low impurity generation.
  • F 2 and NO may also produce F 3 NO (nitrosyl trifiuoride or trifluoramine oxide), instead of FNO.
  • Maxwell et al. U.S. Pat. No. 3,341,292 disclose a process for making F 3 NO from the reaction between F 2 and NO, in which the feed rates of F 2 and NO and the proportions of F 2 and NO are regulated so as to maintain a spontaneous exothermic reaction of F 2 and NO. Maxwell et al. summarized the overall reactions as 1.5F 2 +NO ⁇ F 3 NO+heat, although the mechanics of the reactions effected was not understood.
  • F 3 NO has been produced using various starting materials at various conditions. Bedsides producing F 3 NO from the reaction between F 2 and NO as disclosed by Maxwell et al. (U.S. Pat. No. 3,341,292), other starting materials are used to produce F 3 NO.
  • Maxwell et al. U.S. Pat. No. 3,341,292
  • Fox et al. U.S. Pat. No. 3,306,834 disclose mixing FNO with F 2 at ultraviolet light irradiation in the temperature range of 25-50° C. produces F 3 NO, i.e.,
  • Fox et al. (U.S. Pat. No. 3,392,099) also discloses producing F 3 NO with starting materials NF 3 and O 2 at an electrical discharge in the reaction zone of a reactor.
  • Gross et al. (U.S. Pat. No. 3,554,699) disclose F 3 NO is prepared by reaction between NF 3 and oxidized oxygen or N 2 O in the presence of a glow discharge, as follows:
  • Yonemura et al. (“Evaluation of FNO and F 3 NO as Substitute Gases for Semiconductor CVD Chamber Cleaning”, J. Electrochem. Soc. 2003 150(11): G707-G710) (2003)) disclose F 3 NO has higher reactivity against Si materials than FNO, as shown in FIG. 1 reproduced from Yonemura et al.
  • FNO is corrosive which may corrode etching gas containers and pipelines, etching chambers, substrates to be etched, etc. and lower the semiconductor device performance.
  • the disclosed systems include a NiP coated steel cylinder with a polished inner surface, configured and adapted to store the F 3 NO-free FNO-containing gas, a cylinder valve, in fluid communication with the cylinder, configured and adapted to release the F 3 NO-free FNO-containing gas from the cylinder, and a manifold assembly, comprising a pressure regulator and line components, downstream of the cylinder valve, configured and adapted to deliver the F 3 NO-free FNO-containing gas to a target reactor, wherein the pressure regulator is configured and adapted to de-pressurize the F 3 NO-free FNO-containing gas in the manifold assembly so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator.
  • the method comprising the steps of: storing the F 3 NO-free FNO-containing gas in a NiP coated steel cylinder with a polished inner surface, releasing the F 3 NO-free FNO-containing gas from the cylinder to a manifold assembly by activating a cylinder valve in fluid communication with the cylinder and the manifold assembly, de-pressurizing the F 3 NO-free FNO-containing gas by activating a pressure regulator in the manifold assembly so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator, and feeding the de-pressurized F 3 NO-free FNO-containing gas to a target reactor downstream of the second pressure zone.
  • etching systems include a reactor, configured and adapted to hold therein a substrate to be etched, a NiP coated steel cylinder, configured and adapted to store a pressurized etching gas F 3 NO-free FNO, a cylinder valve, in fluid communication with the cylinder, configured and adapted to release the etching gas F 3 NO-free FNO from the NiP coated steel cylinder, and a manifold assembly, comprising a pressure regulator and line components, downstream of the cylinder valve, configured and adapted to deliver the etching gas F 3 NO-free FNO to the reactor, wherein the pressure regulator in the manifold assembly is configured and adapted to de-pressurize the etching gas F 3 NO-free FNO so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator.
  • Any of the disclosed systems and methods may include one or more of the following aspects:
  • the gaseous composition comprises F 3 NO-free FNO gas containing less than approximately 1% F 3 NO impurity by volume; and an inert gas being capable of suppressing the concentration of F 3 NO impurity in the F 3 NO-free FNO gas.
  • the disclosed gas composition include one or more of the following aspects:
  • room temperature in the text or in a claim means from approximately 20° C. to approximately 25° C.
  • ambient temperature refers to an environment temperature approximately 20° C. to approximately 25° C.
  • F 3 NO-free or “F 3 NO-less” refers to a gas mixture contains less than 1% F 3 NO impurity.
  • HASTELLOY® refers to a family of nickel-based steel alloys exhibiting high resistance to corrosion.
  • HASTELLOY® is a nickel-molybdenum alloy.
  • Hastelloy® alloys marked B, C, D, M, NS, W, X . . . 22 letters sometimes numbered by a few numbers.
  • Hastelloy® many of which are nickel-chromium-molybdenum alloys. Each of these grades has been optimized for a specific purpose, but all of them are highly resistant to corrosion.
  • HASTELLOY® has outstanding resistance to highly oxidizing and reducing agents, making it a great choice for moderate to severe corrosive environments.
  • the most versatile of the HASTELLOY® alloy are the “C-type” alloys, such as, HASTELLOY® C-22® alloy.
  • HASTELLOY® C-22® alloy refers to one of the well-known and well-proven nickel-chromium-molybdenum materials, the chief attributes of which are resistance to both oxidizing and non-oxidizing chemicals, and protection from pitting, crevice attack, and stress corrosion cracking.
  • the composition of nickel in HASTELLOY® C-22® alloy is 56% by weight.
  • MONEL® refers to a group of nickel alloys, primarily composed of nickel and copper, with small amounts of iron, manganese, carbon, and silicon. Stronger than pure nickel, MONEL® alloys are resistant to corrosion by many agents, including rapidly flowing seawater. The composition of nickel in MONEL® is 63-65% or even up to 67% by weight.
  • INCONEL® refers to a family of nickel-iron-chromium superalloys. There are also many different grades of INCOLOY® available. INCONEL® alloys are oxidation-corrosion-resistant materials well suited for service in extreme environments subjected to pressure and heat. INCONEL® retains strength over a wide temperature range, attractive for high temperature applications. INCONEL® is a material that is specifically optimized for some of the toughest use conditions to be found in manufacturing. INCONEL®s high temperature strength and resistance to seawater, brine, sour gas, and chloride make it ideal for use in the oil and gas industries. The composition of nickel in INCONEL® is 50-80% nickel by weight.
  • high nickel content material refers to nickel alloys that contains at least 14% nickel by weight.
  • low nickel content material refers to a material contains less than 14% nickel by weight or contains no nickel.
  • SS316 reduced steel 316
  • SUS316 steel use stainless 316
  • JIS Japanese Industrial Standards
  • type 316 a marine grade stainless steel, called type 316, is resistant to certain types of interactions.
  • 316 stainless steels including 316 L, F, N, H, and several others. Each has different Ni content.
  • L designation means SS316L has less carbon than SS316.
  • the SS316L contains up to 14% Ni.
  • Cyclone D306 refers to a high-pressure cylinder valve, which is a tied diaphragm seal type and used for ultra high purity gases (e.g., purity 99.999%) with main body material made of Nickel and HASTELLOY®.
  • alloy 4130X refers to an alloy in a 41xx steel family of SAE steel grades, as specified by the Society of Automotive Engineers (SAE). Alloying elements include chromium and molybdenum, and as a result, these materials are often informally referred to as chromyl steel.
  • metal refers to a solid material that is typically hard, shiny, malleable, fusible, and ductile, with good electrical and thermal conductivity.
  • a metal may be a chemical element such as iron, gold, silver, copper, and aluminum, or an alloy such as stainless steel.
  • metal alloy refers to a metal made by a combination of metals or of a metal and another element.
  • An alloy may be a solid solution of metal elements or a mixture of metallic phases.
  • etching system refers to a system that removing (i.e., etching or cleaning) a film happens inside a reaction chamber.
  • the reaction chamber may be a thermal or a plasma etching chamber or a deposition chamber.
  • the film may be on a substrate with a substrate holder placed inside of the etching chamber, which refers to an etching process.
  • the film may be a layer of deposits on the inner surface of the deposition chamber that needs to be removed. Removing the layer of deposits on the inner surface of the deposition chamber also refers to a cleaning process.
  • NiP coated steel cylinder refers to a steel cylinder with an internal surface coating of nickel plating (NiP) in which an internal surface of the NiP is polished.
  • the steel cylinder may be a carbon steel cylinder made of alloy 4130X.
  • polish or “polished” refers to making a surface smooth and glossy by mechanical or electro-mechanical polishing.
  • substrate refers to a material or materials on which a process is conducted.
  • the substrate may refer to a wafer having a material or materials on which a process is conducted.
  • the substrates may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing.
  • the substrate may also have one or more layers of differing materials already deposited upon it from a previous manufacturing step.
  • the wafers may include silicon layers (e.g., crystalline, amorphous, porous, etc.), silicon containing layers (e.g., SiO 2 , SiN, SiON, SiCOH, etc.), metal containing layers (e.g., copper, cobalt, ruthenium, tungsten, platinum, palladium, nickel, ruthenium, gold, etc.) or combinations thereof.
  • the substrate may be planar or patterned.
  • the substrate may be an organic patterned photoresist film.
  • the substrate may include layers of oxides which are used as dielectric materials in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications (for example, ZrO 2 based materials, HfO 2 based materials, TiO 2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or nitride-based films (for example, TaN, TiN, NbN) that are used as electrodes.
  • oxides which are used as dielectric materials in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications
  • ZrO 2 based materials for example, HfO 2 based materials, TiO 2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.
  • nitride-based films for example, TaN, TiN, NbN
  • wafer or “patterned wafer” refers to a wafer having a stack of silicon-containing films on a substrate and a patterned hardmask layer on the stack of silicon-containing films formed for pattern etch.
  • pattern etch or “patterned etch” refers to etching a non-planar structure, such as a stack of silicon-containing films below a patterned hardmask layer.
  • etch refers to an isotropic etching process and/or an anisotropic etching process.
  • the isotropic etch process involves a chemical reaction between the etching compound and the substrate resulting in part of material on the substrate being removed.
  • the etching processes may be multiple processes and the etching processes may involve in a surface reaction to modify the surface in the first step and in the second step a removal of the modified surface layer.
  • This type of etching process includes chemical dry etching, vapor phase chemical etching, thermal dry etching, or the like.
  • the isotropic etch process produces a lateral or horizontal etch profile in a substrate.
  • the isotropic etch process produces recesses or horizontal recesses on a sidewall of a pre-formed aperture in a substrate.
  • the anisotropic etch process involves a plasma etching process (i.e., a dry etch process) in which ion bombardment accelerates the chemical reaction in the vertical direction so that vertical sidewalls are formed along the edges of the masked features at right angles to the substrate (Manos and Flamm, Thermal etching an Introduction, Academic Press, Inc. 1989 pp. 12-13).
  • the plasma etching process produces a vertical etch profile in a substrate.
  • the plasma etching process produces vertical apertures, trenches, channel holes, gate trenches, staircase contacts, capacitor holes, contact holes, etc., in the substrate.
  • selectivity means the ratio of the etch rate of one material to the etch rate of another material.
  • selective etch or “selectively etch” means to etch one material more than another material, or in other words to have a greater or less than 1:1 etch selectivity between two materials.
  • film and “layer” may be used interchangeably. It is understood that a film may correspond to, or related to a layer, and that the layer may refer to the film. Furthermore, one of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may range from as large as the entire wafer to as small as a trench or a line.
  • etching compound and “etching gas” may be used interchangeably when the etching compound is in a gaseous state at room temperature and ambient pressure. It is understood that an etching compound may correspond to, or related to an etching gas, and that the etching gas may refer to the etching compound.
  • NAND refers to a “Negated AND” or “Not AND” gate
  • 2D refers to 2 dimensional gate structures on a planar substrate
  • 3D refers to 3 dimensional or vertical gate structures, wherein the gate structures are stacked in the vertical direction.
  • CAS unique CAS registry numbers assigned by the Chemical Abstract Service are provided to identify the specific molecules disclosed.
  • the silicon-containing films may include pure silicon (Si) layers, such as crystalline Si, poly-silicon (p-Si or polycrystalline Si), or amorphous silicon; silicon nitride (Si k N l ) layers; or silicon oxide (Si n O m ) layers; or mixtures thereof, wherein k, l, m, and n, inclusively range from 0.1 to 6.
  • silicon nitride is Si k N l , where k and l each range from 0.5 to 1.5. More preferably silicon nitride is Si 3 N 4 .
  • SiN in the following description may be used to represent Si k N l containing layers.
  • silicon oxide is Si n O m , where n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, silicon oxide is SiO 2 .
  • SiO in the following description may be used to represent Si n O m containing layers.
  • the silicon-containing film could also be a silicon oxide based dielectric material such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc. with a formula of SiOCH. Silicon-containing film may also include Si a O b N c where a, b, c range from 0.1 to 6.
  • the silicon-containing films may also include dopants, such as B, C, P, As and/or Ge.
  • Ranges may be expressed herein as from about one particular value, and/or to about another particular value. When such a range is expressed, it is to be understood that another embodiment is from the one particular value and/or to the other particular value, along with all combinations within said range.
  • FIG. 1 reproduced from Yonemura et al., represents the etch rates as a function of gas concentration for FNO/Ar, F 3 NO/Ar, NF 3 /Ar and C 2 F 6 /O 2 ,
  • FIG. 2 is a diagram of an exemplary packaging of F 3 NO-free FNO gas and/or F 3 NO-free FNO gas mixture from a cylinder to a semiconductor application chamber;
  • FIG. 3( a ) is an order of mixing F 2 , NO and N 2 to produce FNO in N 2 ;
  • FIG. 3( b ) is another order of mixing F 2 , NO and N 2 to produce FNO in N 2 ;
  • FIG. 3( c ) is another order of mixing F 2 , NO and N 2 to produce FNO in N 2 ;
  • FIG. 3( d ) is another order of mixing F 2 , NO and N 2 to produce FNO in N 2 ;
  • FIG. 4 is a comparison of FT-IR spectra of F 3 NO impurity in 30% FNO in N 2 produced from on-site synthesis under stoichiometric condition versus 30% FNO in N 2 produced from on-site synthesis under F 2 -rich condition;
  • FIG. 5( a ) is an order of mixing F 2 , NO and N 2 to produce a gas mixture of F 3 NO-free FNO/F 2 /N 2 ;
  • FIG. 5( b ) is another order of mixing F 2 , NO and N 2 to produce F 3 NO-free FNO/F 2 /N 2 gas mixture;
  • FIG. 5( c ) is another order of mixing F 2 , NO and N 2 to produce a gas mixture of F 3 NO-free FNO/F 2 /N 2 ;
  • FIG. 5( d ) is another order of mixing F 2 , NO and N 2 to produce a gas mixture of F 3 NO-free FNO/F 2 /N 2 ;
  • FIG. 6 is a data set of F 3 NO formations with 1st F 2 feeding amount (%) versus total amount of F 2 ;
  • FIG. 7 is a data set of F 3 NO formations with 1st N 2 feeding amount (%) versus total amount of N 2 .
  • FIG. 8 is FTIR signals and etch rates after SiN etched with FNO and F 2 gas mixture with different F 2 mixing orders
  • FIG. 9 is F 3 NO formation with different N 2 mixing orders
  • FIG. 10 is FTIR signals and etch rates versus FNO concentrations
  • FIG. 11 is FTIR signals and etch rates versus etch time
  • FIG. 12 is FTIR results of monitoring of different compositions.
  • FIG. 13 is results of monitoring of etching performance.
  • the disclosed methods for producing F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may provide a purity of F 3 NO-free FNO gas of 99% or greater, and an impurity of F 3 NO less than 1%.
  • FNO nitrogen oxide, CAS number: 7789-25-5, boiling point: ⁇ 72.4° C. ( ⁇ 59.9° C.)
  • FNO nitrosyl fluoride, CAS number: 7789-25-5, boiling point: ⁇ 72.4° C. ( ⁇ 59.9° C.)
  • etching gases such as F 2 , HF, cC 4 F 8 , C 4 F 6 , CF 4 , CHF 3 , CF 3 H, CH 2 F 2 , COS, CS 2 , CF 3 I, C 2 F 3 I, C 2 F 5 I, SO 2 , and the like, may be used as highly reactive fluorinating thermal etching gases.
  • FNO gas used for thermally etching the semiconductor structures should contain less to no F 3 NO (trifluoroamine oxide, CAS number: 13847-65-9, boiling point: ⁇ 87.6° C.) impurity.
  • F 3 NO-free FNO gas contains less to no F 3 NO impurity, which refers to F 3 NO-free FNO gas.
  • F 3 NO-free herein refers to a gas having F 3 NO impurity less than 1%.
  • F 3 NO-free may also refer to F 3 NO-less having the same definition as F 3 NO-free.
  • FNO may be diluted in an inert gas, such as N 2 .
  • the FNO gas mixture FNO/F 2 /N 2 is one of exemplary FNO gas mixtures.
  • F 3 NO-free FNO diluted in the inert gas the F 3 NO impurity in the mixture is even less than 1%.
  • 15% FNO in a gas mixture of F 3 NO-free FNO and N 2 may have F 3 NO impurity less than 0.15%.
  • FNO gas may be pre-synthesized for use as an etchant or produced in situ or in close proximity to where it is used to etch semiconductor structures.
  • a purity of 99%+FNO may be obtained and F 3 NO impurity exists in FNO is less than 1% taking into account that other impurities may exist in FNO gas.
  • F 2 and NO in situ produces FNO.
  • F 2 and NO as starting materials to produce FNO may generate other products such as, F 3 NO, FNO 2 , NF 3 , N 2 O, NO 2 , etc., as byproducts existing in the product FNO.
  • the reactions involved in mixing NO and F 2 may include the following reactions.
  • N 2 O and NO 2 may exist in the starting material NO as impurity.
  • F 2 when F 2 is mixed with NO forming a gas mixture of F 2 and NO in situ at the time it is used in an etching process, besides forming the desired FNO etching gas, oxyfluorides of nitrogen containing a grouping F—N—O, such as F 3 NO, may also be formed as impurity in the gas mixture of F 2 and NO.
  • F 3 NO does exist in the mixture of F 2 and NO when producing FNO by mixing F 2 and NO if a ratio of F 2 to NO is not very well controlled.
  • F 3 NO as impurity in either pre-synthesized FNO gas and/or in the FNO product produced in situ, such as produced by mixing F 2 and NO gas in situ. Since F 3 NO has higher reactivity against Si-containing materials than FNO as shown in FIG. 1 , for etching semiconductor structures, the produced etching gas FNO should be free of F 3 NO.
  • F 3 NO existing in FNO etching compositions may have detrimental effects to etching processes such as, particles formed on the substrate and cracks occurring in the substrate, which may be seen in the examples that follow.
  • F 3 NO existing in FNO etching compositions may also influence etching performance, such as selectivity and etch rate controllability. Thus, a control of F 3 NO formation in producing FNO is required in order to perform a precise etching process in semiconductor industry. To this point, FNO used as an etching compound has to be in high purity, with minimal F 3 NO levels.
  • oxyfluorides of nitrogen i.e. compounds containing the grouping F—N—O, such as FNO, FNO 2 and F 3 NO, may be corrosive to etching gas containers and pipelines, etching chambers, substrates to be etched, etc., which may lower the semiconductor device performance.
  • materials made of containers, pipelines and components along the pipelines for storage and delivery of FNO-containing gas to an etching chamber and materials made of the etching chamber have to be compatible with FNO. This means no corrosions and no reactions occur between FNO and the above materials that could cause contaminations to the etching gases and the substrate to be etched.
  • the precursors/starting materials e.g., NO and F 2
  • the precursors may also create different storage and handling problems from the product FNO.
  • material compatibilities between starting materials e.g., F 2 and NO
  • FNO itself and a container e.g., cylinder
  • valves, manifolds and a reaction chamber along with etching performance degradation thereof with short-term or long-term use have been concerned.
  • Material compatibility tests are important to determine if any component of the disclosed F 3 NO-free FNO and F 3 NO-free FNO gas mixtures will react with materials of the container (e.g., cylinder), valves, manifolds and chamber and if any component of the disclosed F 3 NO-free FNO and F 3 NO-free FNO gas mixtures will degrade the etching performance thereof with short term or long-term use.
  • Material compatibility refers to a material's resistance to corrosion, rust or stains when it comes in contact with a chemical, such as F 2 , NO, FNO, F 3 NO, etc.
  • valves, manifolds and chamber are exposed to these chemicals at high temperatures, for example, higher than 20° C., and high pressures, for example, higher than 1 atm, for thermal etching, which may enhance their degradation.
  • the disclosed methods for producing F 3 NO-free FNO gas include mixing the starting materials F 2 and NO by controlling mixing ratios of F 2 and NO.
  • an inert gas such as N 2 , Ar, Kr and Xe, preferably N 2
  • adding an inert gas helps reduce F 3 NO formation in the process of producing F 3 NO-free FNO gas in situ referring to the Examples that follow.
  • the formation of F 3 NO during the reaction between F 2 and NO may be restrained.
  • the disclosed methods for producing F 3 NO-free FNO gas mixtures include mixing the starting materials F 2 and NO by controlling mixing ratios of F 2 and NO and then mixing with an addition gas by controlling mixing order of F 2 , NO and the additional gas.
  • the additional gas may be selected from the group consisting of F 2 , HF, cC 4 F 8 , C 4 F 8 , C 4 F 6 , C 5 F 8 , CF 4 , CH 3 F, CF 3 H, CH 2 F 2 , COS, CS 2 , CF 3 I, C 2 F 3 I, C 2 F 5 I, CFN, SO 2 , NO, O 2 , cO 2 , CO, NO 2 , N 2 O, O 3 , Cl 2 , H 2 , HBr, and combination thereof.
  • the additional gas is F 2 .
  • an inert gas such as N 2 , Ar, Kr and Xe, preferably N 2 , may be added to dilute the produced F 3 NO-free FNO gas mixture to a target concentration of F 3 NO-free FNO gas.
  • adding an inert gas helps reduce F 3 NO formation in the process of producing F 3 NO-free FNO gas mixture in situ referring to the Examples that follow. With the disclosed mixing methods, the formation of F 3 NO during the reaction between F 2 , NO and the additional gas may be restrained.
  • the disclosed mixing methods provide processes to suppress the formation of F 3 NO impurity when F 2 is mixed with NO in situ.
  • the disclosed mixing methods for producing F 3 NO-free FNO from F 2 and NO include a step of mixing F 2 and NO at a ratio F 2 /NO equal to or less than stoichiometric condition (F 2 /NO ⁇ 1 ⁇ 2). In this way, the formation of F 3 NO impurity in the produced F 3 NO-free FNO may be suppressed.
  • the produced F 3 NO-free FNO may be further diluted in an inert gas, such as N 2 , Ar, Kr and Xe, preferably N 2 , to form different concentrations of F 3 NO-free FNO in N 2 according to application requirements.
  • the disclosed mixing methods for producing F 3 NO-free FNO gas mixture include a two-step of F 2 mixing process.
  • F 2 and NO are mixed equal to or less than stoichiometric condition (F 2 /NO ⁇ 1 ⁇ 2) to produce F 3 NO-free FNO gas.
  • additional F 2 is added to the produced F 3 NO-free FNO gas to produce F 3 NO-free FNO and F 2 gas mixture. In this way, the formation of F 3 NO impurity in the produced F 3 NO-free FNO and F 2 gas mixture may be suppressed.
  • the produced F 3 NO-free FNO and F 2 gas mixture may be further diluted in an inert gas, such as N 2 , Ar, Kr and Xe, preferably N 2 , to form different concentrations of F 3 NO-free FNO and F 2 in N 2 depending on application requirements.
  • an inert gas such as N 2 , Ar, Kr and Xe, preferably N 2
  • NO gas is not stable and may contain a trace gas impurity of nitrogen oxygen compounds, such as NO 2 , N 2 O, or the like, resulting from instability.
  • the trace gas impurities may react with F 2 to eventually produce F 3 NO in the product of FNO, as shown in the following reaction: F 2 +NO 2 ⁇ F 3 NO or F 2 +N 2 O ⁇ F 3 NO. Therefore, it is highly preferable to use high purity NO designed for low impurities like N 2 O and NO 2 .
  • NO gas used herein to produce FNO should be pure as pure as feasible.
  • the purity of NO is provided at between approximately 99.9% by volume and approximately 100.0% by volume, more preferably between approximately 99.99% by volume and approximately 100.00% by volume, and even more preferably between approximately 99.999% by volume and approximately 100.000% by volume.
  • NO gas may contain between approximately 0.0% by volume and approximately 0.1% by volume trace gas impurities with between approximately 0 ppm by volume to approximately 600 ppm by volume of N—O containing gases other than NO gas, such as NO 2 , N 2 O, or the like, contained in said trace gaseous impurities.
  • the impurity F 3 NO in FNO may not impact the etching performance when using the disclosed F 3 NO-free FNO gas as thermal and/or plasma dry etching gas.
  • the disclosed systems and methods also include systems and methods for storage and delivery of F 3 NO-free FNO gas and/or F 3 NO-free FNO gas mixture through using compatible materials between FNO and containers, manifolds, pipelines, etching chambers, etc.
  • the disclosed method for storage and delivery of F 3 NO-free FNO and/or F 3 NO-free FNO diluted in an inert gas, such as N 2 , Ar, Kr and Xe, preferably N 2 include storing a corrosive gas F 3 NO-free FNO or F 3 NO-free FNO/N 2 mixture in a steel cylinder made of alloy 4130X with NiP coated inner surface, and delivering the corrosive gas F 3 NO-free FNO or F 3 NO-free FNO/N 2 mixture to an application reactor through a manifold assembly.
  • An internal surface of the steel cylinder made of alloy 4130X is coated with nickel plating, and the inner surface of the nickel plating is polished so as to have smooth surface resulting in low moisture content.
  • the steel cylinder made of alloy 4130X with an inner surface coating of nickel plating with polished inner surface of the nickel plating refers to the NiP coated steel cylinder.
  • a cylinder valve in fluidly communication with the cylinder and the manifold assembly is made of nickel or nickel alloy. Due to a pressure difference between the cylinder and the application reactor, the manifold assembly is divided into a high-pressure zone in fluidly communication with the cylinder valve and a low-pressure zone in fluidly communication with the application chamber by a pressure regulator or a pressure reducing device.
  • the manifold assembly is not limited to be divided into two pressure zones.
  • the manifold assembly may be divided into multiple pressure zones each having different reduced pressures. Thus, with the multiple pressure zones, the manifold assembly is able to deliver the gas to different reaction chambers each requiring a different reduced pressure.
  • Line components in the high-pressure zone may be composed of high nickel content material.
  • Line components in the low-pressure zone may be composed of low nickel content material, metal or metal alloy.
  • the line components in the high and low-pressure zones include gas filters, pressure sensors, gas valves, mass flow controllers (MFCs), pipes, etc.
  • the high nickel content material refers to nickel alloys that contains at least 14% nickel by weight. For example, MONEL®, INCONEL® or HASTELLOY® C-22® alloy.
  • the low nickel content material refers to a material contains less than 14% nickel by weight or contains no nickel. For example, stainless steel.
  • the NiP coated steel cylinder may be, but is not limited to, in a size ranging from 0.5 L to 49 L.
  • the cylinder valve may be a Ceodeux D306 Ni body Ni disphragm.
  • the cylinder valve may be made of HASTELLOY® C-22® alloy, MONEL®, INCONEL®, pure nickel, or any other high nickel content materials.
  • the high-pressure zone of the manifold assembly may have a pressure ranging from approximately 0.8 MPa to approximately 10 MPa, more preferably, approximately 0.8 to approximately 3.5 MPa.
  • the low-pressure zone of the manifold assembly may have a pressure ranging from approximately 0.1 MPa to approximately 0.8 MPa.
  • the manifold assembly includes the following line components: the pressure regulator, pressure sensors, valves, gas filters, piping, etc. in the two pressure zones.
  • the line components in the high-pressure zone may be composed of high nickel content materials, such as, MONEL®, INCONEL® or HASTELLOY® C.-22® alloy.
  • the high nickel content material may contains at least 14% nickel.
  • any material that contains 14% or higher nickel may be used to make of the line components in the high-pressure zone, however, Fe-containing alloy, such as stainless steel (SS), may not be used.
  • Fe-containing alloy such as stainless steel (SS)
  • the line components in the low-pressure zone may be composed of low nickel content material that contains less than 14% nickel by weight or contains no nickel.
  • the line components in the low-pressure zone may also be made of any metal or any mental alloy, including high nickel content materials.
  • the line components in the low-pressure zone may be made of stainless steel.
  • the following are exemplary embodiments of the disclosed storage and delivery systems for delivery of the disclosed F 3 NO-free FNO gas and/or F 3 NO-free FNO gas mixture into a target application reactor (e.g., an etching chamber for etching or a deposition chamber for cleaning) in which material compatibilities are considered.
  • a target application reactor e.g., an etching chamber for etching or a deposition chamber for cleaning
  • a packaging of F 3 NO-free FNO gas from a cylinder to a semiconductor application, e.g., an etching chamber, is shown in FIG. 2 .
  • the packaging includes a manifold 101 that contains two pressure zones, one is a high-pressure zone 102 , the other is a low-pressure zone 104 .
  • the pressure in the pressure zone 102 is higher than that in the pressure zone 104 .
  • the pressure range in the pressure zone 102 is approximately from 0.8 MPa to 10 MPa.
  • the pressure range in the pressure zone 104 is approximately from 0.1 MPa to 0.8 MPa.
  • the pressure in the pressure zone 102 is 0.99 MPa; the pressure in the pressure zone 104 is 0.5 MPa.
  • a cylinder 106 that contains a pressurized etching gas F 3 NO-free FNO (e.g., from 0.8 MPa to 3.5 MPa) is fluidly connected to the pressure zone 102 through a cylinder valve 108 .
  • the F 3 NO-free FNO gas stored in cylinder 106 may be synthesized using F 2 and NO as starting materials or may be a pre-synthesized FNO.
  • the F 3 NO-free FNO gas stored in the cylinder 106 has a purity of 99%.
  • the F 3 NO-free FNO gas stored in the cylinder 106 may be diluted in an inert gas (N 2 , Ar, Kr and Xe), for example, diluted in N 2 gas, forming a mixture of F 3 NO-free FNO and N 2 .
  • the cylinder 106 is a carbon steel cylinder made of alloy 4130X with an internal surface coating of nickel plating and a polished coating surface (i.e., NiP coated steel cylinder). The internal surface of coated nickel plating is important because a smooth surface may reduce contamination of moisture from air.
  • a cylinder valve 108 controls the etching gas F 3 NO-free FNO to be delivered from the pressure zone 102 to the pressure zone 104 through a pipeline 110 , where a valve 112 , a pressure sensor 114 and a pressure regulator 116 are fluidly connected to the pipeline 110 .
  • the pressure sensor 114 reads the pressure in the pressure zone 102 .
  • An inert gas e.g., N 2
  • N 2 gas is added to the flow of F 3 NO-free FNO gas through a valve 118 in the pressure zone 102 forming a mixture of F 3 NO-free FNO with N 2 therein.
  • the cylinder 106 contains already diluted F 3 NO-free FNO gas (e.g., 50% FNO in N 2 )
  • N 2 gas is added to the flow of the already diluted F 3 NO-free FNO gas through a valve 118 in the pressure zone 102 will have the already diluted F 3 NO-free FNO gas further diluted.
  • the pressure regulator 116 reduces the pressure of the gas mixture of F 3 NO-free FNO and N 2 before the gas mixture of F 3 NO-free FNO with N 2 enters the pressure zone 104 .
  • a pressure sensor 120 reads the pressure in the pressure zone 104 .
  • the gas mixture of F 3 NO-free FNO and N 2 from the pressure zone 102 is then de-pressurized and forwarded to a mass flow controller 126 in the pressure zone 104 through a pipeline 130 .
  • the mass flow controller 126 controls a flow rate of the gas mixture of F 3 NO-free FNO and N 2 fed to an etching chamber 128 for an etching process.
  • Valves 122 and 124 may be installed downstream and upstream of the mass flow controller 126 .
  • Key materials involved in the cylinder, valves, manifolds, the chamber etc., shown in FIG. 2 include high nickel content materials including NiP coated steel, nickel, nickel alloys, and low nickel content materials including stainless steel.
  • the F 3 NO-free FNO gas was filled in the cylinder 106 within a pressure range between approximately 0.8 to approximately 10 MPa.
  • the cylinder 106 may be a vessel, cylinder or any pressure container (pressure range 0.1 MPa to 10 MPa).
  • the cylinder 106 with high nickel content valve 108 is in fluidly communication with the manifold 101 including delivery line components, such as, pressure regulator, pressure sensors, valves, gas filters piping, etc., which are fluidly connected to the etching chamber 128 .
  • the cylinder 106 contains FNO gas having a purity of 99%.
  • the cylinder 106 made of NiP coated steel.
  • the cylinder 106 is a carbon steel cylinder made of alloy 4130X with an internal surface coating of nickel plating and the internal surface of the nickel plating is polished.
  • the cylinder valve 108 may be an alloy having nickel content >14%, preferably the cylinder valve 108 is HASTELLOY® or other nickel alloys. In one exemplary embodiment, the cylinder valve 108 may specifically use HASTELLOY® materials, in which metal impurities (such as Fe, Ni, Cr, Mn) are less than 1 ng/mL. High pressure FNO or FNO/N 2 mixture is more corrosive than low-pressure one. Thus, the high pressure FNO/N 2 mixture in a special package is designed to have a NiP coated steel cylinder 106 communicate with a nickel alloy manifold 101 up to the pressure regulator 116 , where the pressure regulator 116 is applied to reduce the pressure.
  • the depressurized FNO/N 2 mixture is less corrosive down the low-pressure zone 104 and the etching chamber 128 .
  • the cylinder valve 108 composed of nickel was found to have less corrosion/powder formation.
  • the cylinder 106 composed of NiP coated steel has very smooth surface and lower moisture.
  • the packaging shown in FIG. 2 may also be used to store and deliver F 3 NO-free FNO gas mixture formed by mixing F 3 NO-free FNO gas with an additional etching gas, such as F 2 .
  • the F 3 NO-free FNO gas mixture is F 3 NO-free FNO and F 2 .
  • the disclosed systems for storage and delivery of F 3 NO-free FNO gas and F 3 NO-free FNO gas mixture include a passivation process with the cylinder 106 , the cylinder valve 108 , the low-pressure zone 104 of manifold assembly 101 to reduce metal impurities delivery into the etching chamber 128 .
  • the passivation process may be done with FNO gas or F 2 gas.
  • a passivation process for the line components may or may not work due to the high pressure.
  • high nickel content materials are applicable for making of the line components in the high-pressure zone.
  • a passivation process may apply.
  • the disclosed systems and methods also include systems and methods of etching semiconductor structures using the disclosed F 3 NO-free FNO gas and/or F 3 NO-free FNO gas mixtures.
  • the disclosed etching systems and methods include thermal etching, plasma dry etching including ALE (atomic layer etching), or the like.
  • the disclosed F 3 NO-free FNO gas and/or F 3 NO-free FNO gas mixtures are applied to thermal and plasma dry etching processes.
  • the disclosed F 3 NO-free FNO gas may be used as etching gas alone (pure) or diluted in an inert gas, for example, N 2 , Ar, He, Xe, etc.
  • the concentration of the diluted F 3 NO-free FNO may be less than 15%, preferably less than 10%, more preferably less than 5%, even more preferably less than 1%. In one embodiment, the concentration of the diluted F 3 NO-free FNO may be diluted to 0.01%.
  • the disclosed F 3 NO-free FNO gas may also be used as etching gas mixed with an additional etching gas, such as, F 2 , HF, cC 4 F 8 , C 4 F 8 , C 4 F 6 , C 5 F 8 , CF 4 , CH 3 F, CF 3 H, CH 2 F 2 , COS, CS 2 , CF 3 I, C 2 F 3 I, C 2 F 5 I, CFN, SO 2 , NO, O 2 , CO 2 , CO, NO 2 , N 2 O, O 3 , CL 2 , H 2 , HBr, and combination thereof.
  • the disclosed F 3 NO-free FNO gas is used as etching gas mixed with F 2 .
  • Exemplary other gases include, without limitation, oxidizers such as O 2 , O 3 , CO, CO 2 , COS, NO, N 2 O, NO 2 , SO 2 , and combinations thereof.
  • oxidizers such as O 2 , O 3 , CO, CO 2 , COS, NO, N 2 O, NO 2 , SO 2 , and combinations thereof.
  • the disclosed etching gases and the oxidizer may be mixed together prior to introduction into the reaction chamber or the etching chamber.
  • the oxidizer may be introduced continuously into the reaction chamber and the etching gas introduced into the reaction chamber in pulses.
  • both the oxidizer and the etching gas may be introduced continuously into the reaction chamber.
  • the oxidizer may comprise between approximately 0.01% by volume to approximately 99.99% by volume of the mixture introduced into the chamber (with 99.99% by volume representing introduction of almost pure oxidizer for the continuous introduction alternative).
  • the disclosed F 3 NO-free FNO gas diluted in N 2 i.e., FNO/N 2
  • an additional etching gas F 2 i.e., FNO/N 2 /F 2 mixture.
  • the disclosed F 3 NO-free FNO gas mixtures FNO/N 2 /F 2 may comprise greater than 10% by volume of FNO, preferably greater than 15% by volume FNO.
  • the disclosed F 3 NO-free FNO etching gas and the additional gas may be mixed prior to introduction to the reaction chamber.
  • the additional etching gas may comprise between approximately 0.01% by volume to approximately 99.99% by volume of the mixture introduced into the chamber.
  • the disclosed F 3 NO-free FNO gas are provided at equal to or greater than 99% v/v by volume purity, preferably at greater than 99.99% v/v by volume purity, and more preferably at greater than 99.999% v/v by volume purity.
  • the disclosed F 3 NO-free FNO gas contain equal to or less than 1% by volume trace gas impurities, with less than 150 ppm by volume of impurity gases, such as H 2 O, NO 2 , N 2 O and/or CO 2 , contained in said trace gaseous impurities.
  • the water content in the disclosed F 3 NO-free FNO gas is less than 20 ppm by weight.
  • the disclosed F 3 NO-free FNO gas contains less than 1% by volume, preferably less than 0.1% by volume, more preferably less than 0.01% by volume of F 3 NO, which may provide precise etching performance and better process repeatability.
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may be used to thermal etch or plasma dry etch silicon-containing films, such as SiN film, capped on top of a semiconductor structure, such as, a 3D NAND flash memory or a DRAM memory.
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may also be used to thermal etch or plasma dry etch silicon-containing films on a substrate, such as, SiN layer.
  • the disclosed thermal etching or plasma dry etching method may be useful in the manufacture of semiconductor devices such as NAND or 3D NAND gates or Flash or DRAM memory or transistors such as fin-shaped field-effect transistor (FinFET), Lateral Gate-All-Around (LGAA) devices and Vertical Gate-All-Around (VGAA) devices, Bulk complementary metal-oxide-semiconductor (Bulk CMOS), fully depleted silicon-on-insulator (FD-SOI) structures, Monolithich 3D (M3D).
  • semiconductor devices such as NAND or 3D NAND gates or Flash or DRAM memory or transistors such as fin-shaped field-effect transistor (FinFET), Lateral Gate-All-Around (LGAA) devices and Vertical Gate-All-Around (VGAA) devices, Bulk complementary metal-oxide-semiconductor (Bulk CMOS), fully depleted silicon-on-insulator (FD-SOI) structures, Monolithich 3D (M3D).
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may be used in other areas of applications, such as different front end of the line (FEOL) and back end of the line (BEOL) etch applications and low k applications as well. Additionally, the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may also be used for etching Si in 3D through silicon aperture (TSV) etch applications for interconnecting memory to logic on a substrate.
  • TSV silicon aperture
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may be used to remove a layer of deposits or a film formed on the inner surface of a deposition chamber after a deposition process. Such a process refers to a cleaning process after deposition.
  • the disclosed etching method includes providing a reaction chamber having a substrate having a film disposed thereon or deposits (or film) on the internal surface of the chamber wall.
  • the reaction chamber may be any enclosure or chamber within a device in which etching methods take place such as, and without limitation, any chambers or enclosures used for plasma etching, such as, reactive ion etching (RIE), capacitively coupled plasma (CCP) with single or multiple frequency RF sources, inductively coupled plasma (ICP), Electron Cyclotron Resonance (ECR) or microwave plasma reactors, or other types of etching systems capable of selectively removing a portion of the silicon-containing film.
  • RIE reactive ion etching
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma
  • ECR Electron Cyclotron Resonance
  • microwave plasma reactors or other types of etching systems capable of selectively removing a portion of the silicon-containing film.
  • the chamber can be also a chamber for deposition process with one or more gas
  • the chamber for deposition usually has controllable temperature on the substrate holder and may be a buffer chamber between reaction chamber and gas inlet.
  • the pressure of chamber is controlled by pump system.
  • Suitable pre-synthesized reaction chambers include but are not limited to the Applied Materials magnetically enhanced reactive ion etcher sold at the trademark eMAXTM, the Lam Research CCP reactive ion etcher dielectric etch product family sold at the trademark 2300® FIexTM or Tokyo Electron sold at the trademarks INDYTM, INDY PLUSTM and NT333TM.
  • the reaction chamber may be heated to a temperature ranging from room temperature to approximately 1000° C. Preferably from room temperature to 600° C., more preferably from 100 to 300° C.
  • the temperature may be approximately 100° C., 500° C. or 600° C.
  • This kind of thermal etcher can introduce molecules by different ways such as flow through, shower head, or other design.
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures are suitable for etching semiconductor structures including thermal etching and plasma dry etching, such as, channel holes, gate trenches, staircase contacts, capacitor holes, contact holes, etc., in the silicon-containing films.
  • thermal etching the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may be applied for isotropic etching purpose in a thermal reactor.
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures are not only compatible with currently available mask materials but also compatible with the future generations of mask materials because the disclosed F 3 NO-free FNO gas and mixtures induce little to no damage on the mask along with good profile of high aspect ratio structures.
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures may produce vertical etched patterns having minimal pattern collapse or roughness.
  • the disclosed F 3 NO-free FNO gas and F 3 NO-free FNO gas mixtures etching compositions are suitably stable during the etching process for delivery into the reactor/chamber.
  • the reaction chamber may contain one or more than one substrate.
  • the substrates may be any suitable substrates used in semiconductor, photovoltaic, flat panel or LCD-TFT device manufacturing.
  • suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers.
  • the wafer will have multiple films or layers on it from previous manufacturing steps, including silicon-containing films or layers. The layers may or may not be patterned.
  • the disclosed F 3 NO-free FNO etching gas is introduced into the reaction chamber containing the substrate.
  • the gas may be introduced to the chamber at a flow rate ranging from approximately 0.1 sccm to approximately 30 slm.
  • the flow rate may vary from tool to tool and application to application.
  • the disclosed F 3 NO-free FNO etching gas may be supplied either in neat form or in a blend with an inert gas, such asN 2 , Ar, He, Xe, etc.
  • the disclosed F 3 NO-free FNO etching gas may be present in varying concentrations in the blend.
  • FTIR Fluorescence Infrared spectroscopy
  • microscope analyses pressure monitoring (pressure sensor), ellipsometer, Energy-dispersive X-ray spectroscopy (EDX), Inductively coupled plasma mass spectrometry (ICP-MS), analytical electron microscopy (AEM), X-ray photoelectron spectroscopy (XPS), Scanning Electron Microscope (SEM), Transmission electron microscopy (TEM) or other measurement tools may be used to monitor changes of compositions and etching performance using the disclosed F 3 NO-free FNO etching gas to etch the semiconductor structures, and also monitor the thermally activated etching gas from the chamber exhaust to determine the degradation of materials composed of the cylinder, the cylinder valve and the line components in the manifold assembly.
  • the disclosed F 3 NO-free FNO etching gas may be mixed with other gases either prior to introduction into the reaction chamber or inside the reaction chamber.
  • the gases may be mixed prior to introduction to the chamber in order to provide a uniform concentration of the entering gas.
  • the disclosed F 3 NO-free FNO etching gas may be introduced into the chamber independently of the other gases such as when two or more of the gases react.
  • the disclosed F 3 NO-free FNO etching gas and the inert gas are the only two gases that are used during the etching process.
  • the temperature and the pressure within the reaction chamber are held at conditions suitable for the film on the substrate to react with the etching gas.
  • the pressure in the chamber may be held between approximately 0.1 mTorr and approximately 1000 Torr, preferably between approximately 1 Torr and approximately 400 Torr, as required by the etching parameters.
  • the substrate temperature in the chamber may range between about approximately room temperature to approximately 1000° C. depending on the process requirements. Preferably from room temperature to 600° C., more preferably from 100 to 300° C. Depending on application targets, the temperature may be approximately 100° C., 500° C. or 600° C.
  • FTIR spectra were collected with Thermo NICOLET6700 with cell length: 6.4 m; cell temperature: 40° C.; cell pressure: 10 Torr; scan: 10 times and 2 cm ⁇ 1 resolution.
  • the etching gas was selected from F 3 NO-free FNO-only and/or F 3 NO-free FNO/F 2 /N 2 gas mixture.
  • the F 3 NO-free FNO/F 2 /N 2 gas mixture contained about 15% F 3 NO-free FNO and about 10% F 2 in N 2 .
  • the pre-synthesized F 3 NO-free FNO gas has a purity of 99% FNO.
  • Impurities in the pre-synthesized F 3 NO-free FNO gas may include F 3 NO, NO 2 , N 2 O, etc. NO 2 and N 2 O may come from NO cylinder aging. F 3 NO impurity is less than 1%.
  • FNO diluted in an inert gas for example, N 2 gas, may suppress F 3 NO formation when producing FNO in situ with F 2 and NO.
  • FNO gas either mixed with one or more addition etching gases or diluted in an inert gas.
  • the FNO-containing etching gas formed by the pre-synthesized F 3 NO-free FNO will contain even less F 3 NO impurity.
  • a FNO-containing etching gas formed by the pre-synthesized F 3 NO-free FNO contains 15% pre-synthesized F 3 NO-free FNO
  • the tF 3 NO impurity will be less than 0.15%.
  • the FNO-containing etching gas formed by the pre-synthesized F 3 NO-free FNO will contains less to no F 3 NO.
  • F 3 NO-free FNO F 3 NO-free FNO may be produced in situ with starting materials F 2 and NO through the reaction of F 2 +2NO ⁇ 2FNO.
  • the reaction of F 2 and NO is at stoichiometry condition, that is, the ratio of the reactants F 2 and NO is equals to approximately 1 ⁇ 2.
  • the ratio of the reactants F 2 and NO may be less than approximately 1 ⁇ 2.
  • the produced F 3 NO-free FNO gas may be diluted in an inert gas for using as etching gas in semiconductor applications.
  • the inert gas may be N 2 , Ar, He, Ne, Kr, Xe.
  • F 3 NO-free FNO gas may be diluted with N 2 , forming F 3 NO-free FNO and N 2 gas mixture.
  • the F 3 NO-free FNO and N 2 gas mixture may be produced by mixing F 2 , NO and N 2 at a molar ratio of F 2 /NO ⁇ 1 ⁇ 2 with required N 2 amount depending on application requirements of FNO concentration.
  • the orders of mixing F 2 , NO and N 2 to form the F 3 NO-free FNO and N 2 gas mixture are shown in FIG. 3( a ) to FIG.
  • FIG. 3( d ) shows the three components F 2 , NO and N 2 are mixed in a reactor simultaneously and then excess N 2 is added to the reactor. This is equivalent to the reactants F 2 and NO are initially diluted in N 2 to produce a product FNO in N 2 and the produced FNO is then further diluted in N 2 .
  • the reaction equation is 2NO+F 2 ⁇ 2FNO. With equal to or less than equivalent of F 2 in the reactants, FNO is produced and the formation of F 3 NO may be controlled.
  • the gas mixture of F 3 NO-free FNO and N 2 may be produced by mixing F 2 /N 2 and NO at a molar ration of F 2 /NO 1 ⁇ 2.
  • the order of mixing F 2 , N 2 and NO is shown in FIG. 3( b ) .
  • a mixture of F 2 and N 2 is formed first and then NO is added into the mixture, in which the reaction of F 2 and NO occurs to produce FNO in N 2 .
  • Additional N 2 is then added to the product FNO forming FNO diluted in N 2 .
  • the reaction equation is 2NO+F 2 ⁇ 2FNO. With equal to or less than equivalent of F 2 in the reactants, the formation of F 3 NO may be controlled.
  • a mixture of F 2 and N 2 is formed with 7.5 sccm F 2 and 75 sccm N 2 fed to a reactor.
  • the mixture is then mixed with 15 sccm NO in the reactor where the reaction between F 2 and NO occurs to form the product F 3 NO-free FNO.
  • the product F 3 NO-free FNO is then diluted in N 2 with 10 SCCM N 2 forming a gas mixture of 15% FNO diluted in N 2 .
  • the gas mixture of F 3 NO-free FNO and N 2 may be produced by mixing F 2 and NO/N 2 at a molar ratio of F 2 /NO 1 ⁇ 2.
  • the order of mixing F 2 , N 2 and NO is shown in FIG. 3( c ) .
  • a mixture of NO and N 2 is formed first and then F 2 is added into the mixture, in which the reaction of F 2 and NO occurs to produce FNO in N 2 .
  • Additional N 2 is then added to the product FNO forming FNO further diluted in N 2 .
  • the reaction equation is 2NO+F 2 ⁇ 2FNO. With equal or less equivalent of F 2 in the reactants, the product FNO is produced and the formation of F 3 NO may be controlled.
  • the gas mixture of F 3 NO-free FNO and N 2 may be produced by mixing F 2 /N 2 and NO/N 2 at condition of F 2 /NO 1 ⁇ 2, in which F 2 and NO are diluted in N 2 , respectively.
  • the order of mixing F 2 , N 2 and NO is shown in FIG. 3( d ) .
  • a mixture of F 2 and N 2 is formed first and then a mixture of NO and N 2 is added into the mixture of F 2 and N 2 , in which the reaction of F 2 and NO occurs to produce FNO in N 2 .
  • Additional N 2 is then added to the product FNO in N 2 forming a different concentration of FNO in N 2 .
  • the reaction equation is 2NO+F 2 ⁇ 2FNO. With equal to or less than equivalent of F 2 in the reactants, the product FNO is produced and the formation of F 3 NO may be controlled.
  • FIG. 4 is a comparison of FTIR spectra of 30% FNO in N 2 produced at stoichiometric condition and at F 2 -rich condition, respectively.
  • the upper spectrum is 30% FNO produced at stoichiometric condition; the lower spectrum is 30% FNO produced at F 2 -rich condition. No F 3 NO peaks were detected if FNO is manufactured under stoichiometric condition.
  • the F 3 NO-free FNO gas produced in situ may be mixed with an additional etching gas, such as, F 2 , for using as etching gas in semiconductor applications.
  • an additional etching gas such as, F 2
  • the mixing procedure was conducted with controlling F 2 mixing order.
  • the gas mixture of F 3 NO-free FNO/F 2 /N 2 may be produced by different mixing orders of F 2 , NO and N 2 .
  • FIG. 5( a ) shows F 2 , NO and N 2 are mixed together first and then additional N 2 is added.
  • F 2 /NO ratio has to be larger than 1 ⁇ 2.
  • the gas mixture of F 3 NO-free FNO/F 2 /N 2 may be produced by mixing F 2 and N 2 first, then adding NO at condition of F 2 /NO 1 ⁇ 2 to produce FNO and then adding additional F 2 , and additional N 2 , as shown in FIG. 5( b ) .
  • the mixing order of N 2 and NO may be interchangeable. That is, mixing F 2 and NO first and then adding N 2 (see parentheses).
  • the gas mixture of F 3 NO-free FNO/F 2 /N 2 may be produced by mixing NO and N 2 first, then adding F 2 and then adding additional N 2 , as shown in FIG. 5( c ) .
  • F 2 /NO ratio has also to be larger than 1 ⁇ 2 to reach the target F 2 composition the gas mixture of F 3 NO-free FNO/F 2 /N 2 .
  • F 2 /NO ratio has also to be larger than 1 ⁇ 2 to reach the target F 2 composition the gas mixture of F 3 NO-free FNO/F 2 /N 2 .
  • the mixing orders shown in FIG. 5( a ) , FIG. 5( c ) and FIG. 5( d ) are all one step F 2 mixing procedures at F 2 -rich condition. As shown in FIG. 4 , under F 2 -rich condition, F 3 NO was produced and may not be suppressed. Mixing excess F 2 with NO in the one-step F 2 mixing procedure produces more F 3 NO than mixing F 2 and NO in the two-step F 2 mixing procedure.
  • the mixing order shown in FIG. 5( b ) is a two-step F 2 mixing procedure, which includes a post feeding F 2 or F 2 /N 2 to target a final F 2 composition in the gas mixture of F 3 NO-free FNO/F 2 /N 2 .
  • the two-step F 2 mixing procedure is i) forming a mixture of F 2 and pure NO (at least 99.9% purity) by mixing chemical equivalent F 2 and NO first with or without dilution in N 2 and then ii) adding extra F 2 gas into the mixture with or without dilution in N 2 .
  • By the two-step F 2 mixing procedure less to no F 3 NO was detected through FT-IR measurements in the formation of the gas mixture of FNO/F 2 /N 2 .
  • a gas mixture of 15%-FNO and 10%-F 2 in N 2 balanced gas was prepared by the two-step F 2 mixing procedures as shown in FIG. 5( b ) and described in Table 1.
  • N 2 and NO feedings were fixed at 10 mol and 2 mol, respectively.
  • the ratio of 1st F 2 feeding to 2nd F 2 feeding (1st F 2 /2 nd F 2 ) w as varied but a total flow rate of F 2 was fixed at 2.3 mol in order to target the same final composition of the FNO/F 2 /N 2 gas mixture.
  • F 3 NO amount in the FNO/F 2 /N 2 gas mixture was monitored with FTIR to check the effect of F 2 mixing order, as shown in FIG. 6 .
  • a post feeding of 2 nd F 2 is fed to the mixture of F 2 and NO to target the final F 2 composition (in this case, 10% F 2 ) in the FNO/F 2 /N 2 gas mixture.
  • FIG. 6 shows that F 3 NO formation changes (FTIR signals) with F 2 feeding amount (1 st F 2 _%). With 43% 1 st F 2 /total F 2 feed, no F 3 NO was formed, because F 2 /NO is at stoichiometry condition. Others, 66%, 83% and 100% of 1 st F 2 /total F 2 feed, all generate F 3 NO.
  • a gas mixture of 3.42%-FNO and 2.31%-F 2 in N 2 balanced gas (F 3 NO-free FNO/F 2 /N 2 ) was prepared by 2 step feedings of F 2 , as shown in FIG. 5( b ) with various mixing amounts of 1 st F 2 and 2 nd F 2 , as described in Table 2.
  • 1 st F 2 , NO and 2 nd F 2 feedings were fixed at 1 mol, 2 mol, and 1.35 mol, respectively.
  • the ratio of 1 st N 2 /2 nd N 2 was varied while a total flow of N 2 was fixed at 55.13 mol to target same final composition of the gas mixture.
  • F 3 NO amount was monitored with FTIR to check the effect of N 2 mixing order as shown in FIG.
  • the gas mixture of F 3 NO-free FNO/F 2 /N 2 may be obtained by the reaction between premixed F 2 /N 2 and NO with F 2 /NO ratio at 1 ⁇ 2.
  • FIG. 7 shows F 3 NO formation changes (FTIR signals) with N 2 feedings and N 2 feeding amount (1 st N 2 )_%). Without N 2 feeding, F 3 NO was generated. With the increase of the ratio of 1 st N 2 feeding to total N 2 feeding, F 3 NO formation was getting less and less and almost nil when 1 st N 2 feeding reached 100%. Thus, adding N 2 is beneficial for reducing F 3 NO formation.
  • the etching effects were done on SiN films using on-site mixing produced F 3 NO-free FNO as etching gas.
  • F 2 was fed by two-steps, as shown in FIG. 5( b ) .
  • Ratios of 1 st F 2 to 2 nd F 2 varied in order to produce FNO and various mixtures of FNO and F 2 for etching SiN films.
  • Four SiN samples (1, 2, 3 and 4) were etched with different 1 st F 2 feeding amounts. A total of seven SiN films, listed in Table 3 were used for various etching tests.
  • FIG. 8 are FTIR signals and etch rates after SiN etched with FNO and F 2 gas mixture with different 1 st F 2 feeding amounts.
  • F 3 NO generated by more 1 st F 2 feeding leads to higher SiN etch rates, but not uniform etching results on SiN film surface (not shown).
  • Sample 1 with 43% 1 st F 2 feeding, had the lowest amount of F 3 NO; Samples 2 and 3, with 57% and 72% 1 st F 2 feedings, had F 3 NO gradually increasing.
  • etch rates were increased with the increase of F 3 NO.
  • Sample 1 has the lowest F 3 NO formation and good etching surface (not shown) comparing to the other three samples and the original SiN film. Thus, less to no F 3 NO impurity in FNO or less to no F 3 NO impurity in the gas mixture of FNO and F 2 benefits etching performance.
  • N 2 was fed by 2 steps, as shown in FIG. 5( a ) and FIG. 5( c ) . Ratios of 1 st to 2 nd N 2 varied to produce FNO and a mixture of FNO and F 2 to etch SiN films. As shown in FIG. 9 , without N 2 dilution, F 3 NO formed. Thus, N 2 dilution for F 2 /NO reaction reduces F 3 NO formation.
  • Etching composition contained FNO and F 2 .
  • FNO concentration was varied from 3.42% to 9.80%.
  • F 2 concentration was varied from 2.31% to 6.62%.
  • samples 1 and 6 with 43% 1st F 2 had low F 3 NO; samples 4 and 7 with 100% 1st F 2 had high F 3 NO. As shown, no effect of etch time within 5 mins on the FNO and F 3 NO concentrations.
  • Example 7 Material Compatibility for Cylinder to Store FNO and for Line Components at High Pressure
  • Material compatibility tests included testing the material compatibility between etching gas mixture FNO/F 2 /N 2 with the storage cylinder 106 and the components in high-pressure zone 102 shown in FIG. 2 , e.g., cylinder valve 108 , pipeline 110 , valve 112 , pressure sensor 114 and pressure regulator 116 .
  • the tested samples were HASTELLOY® C-22®, NiP, stainless steel gasket (such as stainless steel 316L (SS316L)) and Ni gasket at pressure 0.99 MPa.
  • XPS results show F-penetration up to 12000 ⁇ in a vessel made of SS316L material.
  • SS316L material may not be compatible with the etching gas mixture FNO/F 2 /N 2 .
  • XPS results show F-penetration up to approximately 6000 ⁇ in a vessel made of HASTELLOY® C-22® material. Material HASTELLOY® C-22® is better than SS316L.
  • NiP coated steel material is compatible with the etching gas mixture FNO/F 2 /N 2 .
  • XPS results show F-penetration less than approximately 800 ⁇ in a vessel made of nickel material. Although nickel material is not as good as NiP coated steel material, nickel material is somewhat compatible with the etching gas mixture FNO/F 2 /N 2 .
  • NiP coated steel is good for making cylinder body.
  • Pure nickel or nickel alloys may be used for cylinder valve.
  • Other line components e.g., pressure regulator, valves, gas filter, piping
  • nickel alloys such as, HASTELLOY® C-22® including MONEL® or INCONEL®, which contain high Ni content may be preferred.
  • Passivation process with F 2 or FNO may be applied in the high-pressure zone. The passivation process includes a process that elevates pressure gradually.
  • Material compatibility tests also included testing the material compatibility between etching gas mixture FNO/F 2 /N 2 and the components in low-pressure zone 104 shown in FIG. 2 , e.g., pressure sensor 120 , pipeline 130 , valves 122 and 124 .
  • the vessels used herein were Ni vessels each containing a Ni gasket sample and one or two SS gasket (i.e., SS316L gasket) samples.
  • the samples were tested at 0.50 MPa with the etching gas F 3 NO-free FNO/F 2 /N 2 in periods of 17 days and 21 days.
  • SS sample was found compatible with FNO-only at low-pressure with no observed corrosion however for F 3 NO-free FNO/F 2 /N 2 it was found not as compatible in the low pressure zone.
  • SS sample may be compatible with the etching gas F 3 NO-free FNO/F 2 /N 2 in the low-pressure zone.
  • the etching gas does not contains F 2 , SS is suitable for making the line components in the low-pressure zone.
  • Two SS samples were installed in each of three vessels, respectively, at 0.5 MPa for 20 days.
  • One vessel was fed with FNO-only, the other two were fed with the gas mixture of 15% F 3 NO-free FNO and 10% F 2 in N 2 and half concentration of the gas mixture of 15% F 3 NO-free FNO and 10% F 2 in N 2 , for comparison.
  • F 3 NO-free the gas mixture of 15% F 3 NO-free FNO and 10% F 2 in N 2 resulted in corrosion on SS316L at 0.5 MPa, but no corrosion with FNO only on SS316L surface.
  • SS316L is not compatible with the gas mixture of 15% F 3 NO-free FNO and 10% F 2 in N 2 .
  • SS316L may be compatible with the etching gas F 3 NO-free FNO/F 2 /N 2 after F 2 or FNO passivation.
  • SS316L may be compatible with the gas mixture of FNO and N 2 without F 2 .
  • High content nickel materials including NiP coated steel, pure nickel or nickel alloys, may be compatible with high-pressure zone.
  • SS316L is compatible with FNO and N 2 gas mixture in the low-pressure zone.
  • F 2 or FNO passivation SS316L may be compatible with FNO/F 2 /N 2 gas mixture in the low-pressure zone.
  • metals, metal alloys without nickel content or metal alloys with high nickel content or low nickel content may compatible with the low-pressure zone.
  • the non-coated steel may be any type of steel with a NiP coating on the surface, such as Mn-steel.
  • the SS316L contains up to 14% nickel.
  • a 10 L size NiP coated steel cylinder and a Ceodeux D306 Ni body Ni diaphragm cylinder valve were used for stability test.
  • the cylinder was pre-treated with vacuum baking first and then passivated with F 2 .
  • 15% FNO/N 2 by mixing F 2 , NO and N 2 as described in Example 3 was filled to the 10 L size NiP coated steel cylinder at 0.99 MPa(G).
  • the shelf life test was done by monitoring FNO and impurities (NO 2 , HF, F 3 NO) with FT-IR for 6 months.
  • the etching performance test was done by periodically checking SiN etch rate for 6 months and the stability of the product was confirmed up to 6 months in terms of composition and SiN etching performance.
  • FIG. 12 is the results of monitoring of different composition by FT-IR.
  • FIG. 13 is the results of monitoring of etching performance over time. The etching performance was done with the etching gas of 20% F 2 and 1% FNO at temperature 100° C., pressure 20 Torr. The etching time was 1 min. The results from FIG. 12 and FIG. 13 show no significant concentration changes on FNO and impurities and no significant etching performance changes, meaning that 6-month stability is solid and long-term stability is promising.
  • a packaging for storage and supply of F 3 NO-free FNO-containing gas for thermal and plasma dry etching applications or the like in semiconductor industry may include a NiP coated steel cylinder for storage of F 3 NO-free FNO-containing gas.
  • the NiP coated steel cylinder may be a carbon steel cylinder made of alloy 4130X with an internal surface coating of nickel plating (NiP) and a polished surface of NiP coating.
  • the supply packaging further include a nickel cylinder valve for controlling delivery of the F 3 NO-free FNO-containing gas from the NiP coated steel cylinder to a manifold assembly that has a high-pressure zone and a low-pressure zone divided by a pressure regulator.
  • Line components in the high-pressure zone are made of high nickel content material/alloy having at least 14% nickel by weight.
  • the line components in the high-pressure zone include pressure regulator, valves, gas filter, piping, pressure sensors, or the like.
  • the high nickel content alloy may be MONEL®, INCONEL®, HASTELLOY® C-22® or the like.
  • the high-pressure zone may be passivated with F 2 or FNO with gradually increasing the pressure.
  • Line components in the low-pressure zone may be made of any metal or any metal alloy including high nickel content material/alloy, low nickel content material/alloy or no nickel content material/alloy, for example, stainless steel.
  • the low-pressure zone may be passivated with F 2 or FNO.
  • FNO and N 2 may be mixed in situ to produce F 3 NO-free FNO/N 2 gas mixture with various concentrations of FNO in N 2 .
  • F 3 NO-free FNO gas may be diluted in N 2 and stored in the NiP coated steel cylinder.
  • the concentration of FNO in the mixture of F 3 NO-free FNO/N 2 may range from approximately 0.01% to approximately 80%.
  • the concentration of FNO in the mixture of F 3 NO-free FNO/F 2 /N 2 may range from approximately 0.01% to approximately 30%.
  • the concentration of FNO in the mixture of F 3 NO-free FNO/N 2 is approximately 3%.
  • the concentration of FNO in the mixture of F 3 NO-free FNO/N 2 is approximately 15%.
  • FNO and F 2 may be mixed in situ to produce F 3 NO-free FNO/F 2 /N 2 gas mixture with various concentrations of FNO and F 2 in N 2 .
  • the concentration of FNO in the mixture of F 3 NO-free FNO/F 2 /N 2 may range from approximately 0.01% to approximately 80% and the concentration of F 2 in the mixture of F 3 NO-free FNO/F 2 /N 2 may range from approximately 0% (no F 2 ) to approximately 80%.
  • the concentration of FNO in the mixture of F 3 NO-free FNO/F 2 /N 2 may range from approximately 0.01% to approximately 30% and the concentration of F 2 in the mixture of F 3 NO-free FNO/F 2 /N 2 may range from approximately 0% to approximately 20%.
  • the concentration of FNO in the mixture of F 3 NO-free FNO/F 2 /N 2 is approximately 15% and the concentration of F 2 in the mixture of F 3 NO-free FNO/F 2 /N 2 is approximately 10%.
  • F 3 NO-free FNO gas may be diluted in N 2 and stored in the NiP coated steel cylinder first. Then either pure F 2 or diluted F 2 in N 2 is mixed with the diluted F 3 NO-free FNO producing F 3 NO-free approximately 15% FNO and approximately 10% F 2 in N 2 gas mixture for use as etching gas in semiconductor applications. The produced F 3 NO-free approximately 15% FNO and approximately 10% F 2 in N 2 gas mixture may be stored in the NiP coated steel cylinder.
  • the advantages of supplying pre-synthesized F 3 NO-free FNO for producing the gas mixture of F 3 NO-free FNO/F 2 /N 2 are i) no exothermic reaction by mixing FNO and F 2 ; ii) less to no impurity F 3 NO generated; iii) better reproducibility of etching performance shown in the above examples.
  • the F 3 NO-free FNO/F 2 /N 2 gas mixture may be produced in situ by mixing NO (purity at least 99.9%) and F 2 gases with two-step F 2 mixing method as described above in Example 3.
  • the produced F 3 NO-free FNO/F 2 /N 2 gas mixture may be stored in a NiP coated steel cylinder for use as etching gas or other purposes in semiconductor applications.
  • the advantages of producing F 3 NO-free FNO/F 2 /N 2 gas mixture by mixing NO and F 2 is the concentration of FNO in the F 3 NO-free FNO/F 2 /N 2 gas mixture may be adjustable depending on requirements of etching applications.

Abstract

Disclosed are systems and methods for supplying a F3NO-free FNO-containing gas and systems and methods for etching using the F3NO-free FNO-containing gas. The system comprises a NiP coated steel cylinder with a polished inner surface to store the F3NO-free FNO-containing gas, a cylinder valve to release the F3NO-free FNO-containing gas from the cylinder, a manifold assembly, including a pressure regulator and line components to deliver the F3NO-free FNO-containing gas to a target reactor. The pressure regulator de-pressurizes the F3NO-free FNO-containing gas in the manifold assembly thereby dividing the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator. A gaseous composition comprises F3NO-free FNO gas containing less than approximately 1% F3NO impurity by volume and an inert gas being capable of suppressing the concentration of F3NO impurity in the F3NO-free FNO gas.

Description

    TECHNICAL FIELD
  • Disclosed are systems and methods for storing and supplying F3NO-free gas and F3NO-free gas mixtures, such as, F3NO-free FNO, F3NO-free FNO/N2, F3NO-free FNO/F2, or F3NO-free FNO/F2/N2, or the like, for semiconductor processes, and systems and methods for using the F3NO-free gas and F3NO-free gas mixtures to etch semiconductor structures.
  • BACKGROUND
  • Fluorine-containing compounds have been used to etch semiconductor materials. Nitrosyl fluoride (FNO) is an example of highly reactive fluorinating etching compounds that may be used as a thermal etching gas.
  • Various methods have been disclosed to produce FNO. For example, C. Woolf (“Oxyfluoride of Nitrogen”, Adv. Fluorine Chem. 5 (1965), p1-30) discloses using starting materials nitric oxide (NO) and fluorine (F2) to produce FNO. Using NO as starting material to produce FNO, a trace of gas impurities of nitrogen oxygen compounds may exist in NO, such as nitrogen dioxide (NO2), nitrous oxide (N2O), etc. The reactions involved in C. Woolf include:

  • 2NO+F2→2FNO,

  • N2O+2F2→NF3+FNO,

  • NF3+NO→½N2F4+FNO.
  • C. Woolf also discloses the reaction between nitrosyl compound and metal fluoride, such as NOBF4+NaF→FNO+NaBF4, to produce FNO. J. H. Holloway et al. (Advances in inorganic chemistry and radiochemistry Vol. 27, p157-195) disclose using fluorination of NOCl by AgF and fluorination of NO by XeF2 or XeF4 to produce FNO along with the methods shown C. Woolf. U.S. Pat. No. 4,996,035 to Stepaniuk et al. discloses mixing nitride with hydrogen fluoride at mild condition to produce FNO. U.S. Pat. No. 3,043,662 to Lipscomb et al. disclose the use of starting materials CF4 or COF2 and binary oxides of nitrogen, i.e., N2O, NO, N2O3 and NO2 at temperature larger than 1000° C. with electric arc that produces NF3, N2F2 and FNO.
  • FNO or FNO gas mixture has been used as etching gas or cleaning agent. For example, WO 2008/117258 to Sonobe et al. discloses a method for low temperature thermal cleaning using FNO produced with F2 (excess)+NO→F2+FNO. U.S. Pat. No. 4,536,252 to McDonald et al. discloses FNO is prepared by laser-induced method used to etch semiconductor surfaces. US 2014/0248783 to Kameda et al. discloses using a mixture of F2 and FNO as cleaning agent to remove a deposit in a CVD reaction chamber after forming a film on a substrate, in which FNO is produced from the reaction of F2 and NO. US 2013/0220377 to Sato et al. discloses a method of cleaning a film-forming apparatus using F2 and NO with heating. U.S. Pat. No. 6,318,384 to Khan et al. discloses a self-cleaning method of forming deep trenches in silicon substrates including etching films on semiconductor substrate and cleaning etch chambers with FC compounds including FNO. US 2003/0143846 to Akira et al. discloses a gas composition for cleaning the interior of film deposition chambers contaminated with silicic deposition, which comprises F3NO or combinations of F3NO with O2 and/or inert gas(es) or which comprises FNO or a combination of FNO with O2 and/or inert gas(es); and also a similar gas composition for etching films of silicon-containing compounds, e.g. films of semiconductive materials.
  • Among these prior arts, the simplest FNO preparation method is the direct reaction between F2 and NO, which is expected to have high FNO yield and low impurity generation. However, using F2 and NO as starting materials, depending on reaction conditions, may also produce F3NO (nitrosyl trifiuoride or trifluoramine oxide), instead of FNO. For example, Maxwell et al. (U.S. Pat. No. 3,341,292) disclose a process for making F3NO from the reaction between F2 and NO, in which the feed rates of F2 and NO and the proportions of F2 and NO are regulated so as to maintain a spontaneous exothermic reaction of F2 and NO. Maxwell et al. summarized the overall reactions as 1.5F2+NO→F3NO+heat, although the mechanics of the reactions effected was not understood.
  • F3NO has been produced using various starting materials at various conditions. Bedsides producing F3NO from the reaction between F2 and NO as disclosed by Maxwell et al. (U.S. Pat. No. 3,341,292), other starting materials are used to produce F3NO. For example, Fox et al. (U.S. Pat. No. 3,306,834) disclose mixing FNO with F2 at ultraviolet light irradiation in the temperature range of 25-50° C. produces F3NO, i.e.,
  • Figure US20200203127A1-20200625-C00001
  • Fox et al. (U.S. Pat. No. 3,392,099) also discloses producing F3NO with starting materials NF3 and O2 at an electrical discharge in the reaction zone of a reactor. Gross et al. (U.S. Pat. No. 3,554,699) disclose F3NO is prepared by reaction between NF3 and oxidized oxygen or N2O in the presence of a glow discharge, as follows:

  • NF3+O3→F3NO+O2

  • NF3+N2O→F3NO+N2.
  • Yonemura et al. (“Evaluation of FNO and F3NO as Substitute Gases for Semiconductor CVD Chamber Cleaning”, J. Electrochem. Soc. 2003 150(11): G707-G710) (2003)) disclose F3NO has higher reactivity against Si materials than FNO, as shown in FIG. 1 reproduced from Yonemura et al.
  • In addition, it is known that FNO is corrosive which may corrode etching gas containers and pipelines, etching chambers, substrates to be etched, etc. and lower the semiconductor device performance.
  • Thus, there are needs to provide a procedure to produce FNO in situ or in close proximity to where it is used to etch semiconductor surfaces with controlled amount of F3NO formation and to provide an effective material compatibility for storage and delivery of FNO as well.
  • SUMMARY
  • Disclosed are systems for storage and supply of a F3NO-free FNO-containing gas. The disclosed systems include a NiP coated steel cylinder with a polished inner surface, configured and adapted to store the F3NO-free FNO-containing gas, a cylinder valve, in fluid communication with the cylinder, configured and adapted to release the F3NO-free FNO-containing gas from the cylinder, and a manifold assembly, comprising a pressure regulator and line components, downstream of the cylinder valve, configured and adapted to deliver the F3NO-free FNO-containing gas to a target reactor, wherein the pressure regulator is configured and adapted to de-pressurize the F3NO-free FNO-containing gas in the manifold assembly so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator.
  • Disclosed are methods for storage and supply of a F3NO-free FNO-containing gas. The method comprising the steps of: storing the F3NO-free FNO-containing gas in a NiP coated steel cylinder with a polished inner surface, releasing the F3NO-free FNO-containing gas from the cylinder to a manifold assembly by activating a cylinder valve in fluid communication with the cylinder and the manifold assembly, de-pressurizing the F3NO-free FNO-containing gas by activating a pressure regulator in the manifold assembly so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator, and feeding the de-pressurized F3NO-free FNO-containing gas to a target reactor downstream of the second pressure zone.
  • Also, disclosed are etching systems. The disclosed systems include a reactor, configured and adapted to hold therein a substrate to be etched, a NiP coated steel cylinder, configured and adapted to store a pressurized etching gas F3NO-free FNO, a cylinder valve, in fluid communication with the cylinder, configured and adapted to release the etching gas F3NO-free FNO from the NiP coated steel cylinder, and a manifold assembly, comprising a pressure regulator and line components, downstream of the cylinder valve, configured and adapted to deliver the etching gas F3NO-free FNO to the reactor, wherein the pressure regulator in the manifold assembly is configured and adapted to de-pressurize the etching gas F3NO-free FNO so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator.
  • Any of the disclosed systems and methods may include one or more of the following aspects:
      • the F3NO-free FNO gas containing less to no F3NO impurity;
      • the F3NO-free FNO gas containing less than approximately 1% F3NO by volume;
      • the F3NO-free FNO gas containing less than 0.1% by volume of F3NO;
      • the F3NO-free FNO gas containing less than 0.01% by volume of F3NO;
      • the F3NO-free referring to a gas having F3NO impurity less than 1%;
      • the F3NO-free FNO gas contained in the F3NO-free FNO gas-containing gas having less than approximately 1% F3NO by volume;
      • a pre-synthesized FNO having a purity of 99% or higher;
      • F2 and NO being starting materials to produce F3NO-free FNO gas in situ with the reaction of 2NO+F2→2FNO;
      • the starting material NO being pure;
      • the starting material NO being between approximately 99.9% by volume and approximately 100.0% by volume;
      • the starting material NO being between approximately 99.99% by volume and approximately 100.00% by volume;
      • the starting material NO being between approximately 99.999% by volume and approximately 100.000% by volume;
      • the starting material NO gas containing between approximately 0.0% by volume and approximately 0.1% % by volume trace gas impurities with between approximately 0 ppm by volume to approximately 600 ppm by volume of N—O containing gases other than NO gas;
      • the starting material NO gas containing between approximately 0.0% by volume and approximately 0.1% % by volume trace gas impurities with between approximately 0 ppm by volume to approximately 600 ppm by volume of NO2;
      • the starting material NO gas containing between approximately 0.0% by volume and approximately 0.1% % by volume trace gas impurities with between approximately 0 ppm by volume to approximately 600 ppm by volume of N2O,
      • mixing F2 and NO at a ratio F2/NO under or less than stoicmetric condition (F2/NO≤½);
      • the F3NO-free FNO gas diluted in an inert gas, such as N2, Ar, He, Ne, Kr, Xe, or mixtures thereof, to obtain a concentration of F3NO-free FNO gas as needed;
      • the F3NO-free FNO gas diluted in N2 to obtain a concentration of F3NO-free FNO gas as needed;
      • the concentration of F3NO-free FNO gas in N2 ranging from 0.01% to 80%;
      • the concentration of F3NO-free FNO gas in N2 ranging from 0.01% to 30%;
      • the concentration of F3NO-free FNO gas in N2 being 3%;
      • the concentration of F3NO-free FNO gas in N2 being 15%;
      • the F3NO-free FNO gas mixture being a gas mixture of F3NO-free FNO/F2/N2;
      • the concentration of F3NO-free FNO gas in F3NO-free FNO/F2/N2 gas mixture ranging from 0.01% to 80%;
      • the concentration of F3NO-free FNO gas in F3NO-free FNO/F2/N2 gas mixture ranging from 0.01% to 30%;
      • the concentration of F3NO-free FNO gas in F3NO-free FNO/F2/N2 gas mixture being 3%;
      • the concentration of F3NO-free FNO gas in F3NO-free FNO/F2/N2 gas mixture being 15%;
      • the concentration of F2 in F3NO-free FNO/F2/N2 gas mixture ranging from 0% to 80%;
      • the concentration of F2 in F3NO-free FNO/F2/N2 gas mixture ranging from 0% to 20%;
      • the concentration of F2 in F3NO-free FNO/F2/N2 gas mixture being 0%;
      • the concentration of F2 in F3NO-free FNO/F2/N2 gas mixture being 10%;
      • the concentration of F3NO-free FNO gas in the gas mixture of FNO/F2/N2 being 15% and the concentration of F2 in the gas mixture of FNO/F2/N2 being 10%;
      • producing the gas mixture of FNO/F2/N2 with a two-step of F2 mixing process;
      • the two-step of F2 mixing process including i) F2 and NO are mixed under or less than stoicmetric condition (F2/NO≤½) to produce F3NO-free FNO gas and ii) additional F2 is added to the produced F3NO-free FNO gas;
      • the two-step of F2 mixing process suppressing the formation of F3NO;
      • the F3NO-free FNO gas and the F3NO-free FNO gas mixture being stored in a NiP coated steel cylinder;
      • the NiP coated steel cylinder being a carbon steel cylinder made of steel;
      • the NiP coated steel cylinder being a carbon steel cylinder made of an alloy 4130X;
      • the NiP coated steel cylinder being a carbon steel cylinder made of an alloy 4130X with NiP coated inner surface;
      • the NiP coated inner surface of the NiP coated steel cylinder being polished;
      • the cylinder valve in fluid communication with the NiP coated steel cylinder being made of nickel material;
      • the cylinder valve in fluid communication with the NiP coated steel cylinder being made of nickel alloy;
      • the cylinder valve in fluid communication with the NiP cylinder being made of nickel alloy having nickel content at least 14% by weight;
      • the cylinder valve being a Ceodeux D306 Ni body Ni disphragm;
      • the manifold assembly divided into a first pressure zone and a second pressure zone by the pressure regulator;
      • the pressure in the first pressure zone being larger than the pressure in the second pressure zone;
      • the pressure in the first pressure zone ranging from 0.8 MPa to 3.5 MPa;
      • the pressure in the first pressure zone being 0.99 MPa;
      • the pressure in the second pressure zone ranging from 0.1 MPa to 0.8 MPa;
      • the pressure in the first pressure zone being 0.5 MPa;
      • the line components in the first pressure zone being composed of high nickel content materials;
      • the line components in the first pressure zone being composed of MONEL®;
      • the line components in the first pressure zone being composed of INCONEL®;
      • the line components in the first pressure zone being composed of HASTELLOY® C-22® alloy;
      • the high nickel content material containing at least 14% nickel by weight;
      • the line components in the first pressure zone not being composed of Fe-containing alloy;
      • the line components in the first pressure zone not being composed of stainless steel (SS);
      • the line components in the first pressure zone not being composed of Mn-steel;
      • the line components in the second pressure zone being composed of low nickel content material that contains less than 14% nickel by weight;
      • the line components in the second pressure zone being composed of low nickel content material that contains no nickel;
      • the line components in the second pressure zone being composed of any metal or mental alloy;
      • the line components in the second pressure zone is made of a metal or a meal alloy;
      • the line components in the second pressure zone being composed of stainless steel;
      • the stainless steel being SS316L;
      • the SS316L containing up to 14% nickel;
      • the SS316L being compatible with F3NO-only;
      • the SS316L being not compatible with F3NO-free FNO/F2/N2;
      • the SS316L being compatible with F3NO-free FNO/F2/N2 in the second pressure zone after passivation using F2 or FNO;
      • the SS316L being suitable for making the line components in the second pressure zone if the etching gas does not contains F2;
      • the F3NO-free FNO gas-containing gas being selected from the group consisting of F3NO-free FNO gas, a mixture of the F3NO-free FNO gas with an inert gas, a mixture of the F3NO-free FNO gas with an additional gas and a mixture of the F3NO-free FNO gas with the inert gas and the additional gas;
      • the F3NO-free FNO gas-containing gas being F3NO-free FNO gas;
      • the F3NO-free FNO gas-containing gas being a mixture of the F3NO-free FNO gas with an inert gas;
      • the F3NO-free FNO gas-containing gas being a mixture of the F3NO-free FNO gas with an additional gas;
      • the F3NO-free FNO gas-containing gas being a mixture of the F3NO-free FNO gas with the inert gas and the additional gas;
      • the F3NO-free FNO gas mixture being selected from the group consisting of F3NO-free FNO gas, a mixture of the F3NO-free FNO gas with an inert gas, a mixture of the F3NO-free FNO gas with an additional gas and a mixture of the F3NO-free FNO gas with the inert gas and the additional gas;
      • the F3NO-free FNO gas mixture being F3NO-free FNO gas;
      • the F3NO-free FNO gas mixture being a mixture of the F3NO-free FNO gas with an inert gas;
      • the F3NO-free FNO gas mixture being a mixture of the F3NO-free FNO gas with an additional gas;
      • the F3NO-free FNO gas mixture being a mixture of the F3NO-free FNO gas with the inert gas and the additional gas;
      • the inert gas being N2, Ar, He, Ne, Kr, Xe, or mixtures thereof;
      • the inert gas being N2;
      • the additional gas being selected from the group consisting of F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, and combination thereof;
      • the additional gas being F2;
      • oxidizer being added to the F3NO-free FNO gas or the F3NO-free FNO gas-containing gas mixture;
      • the oxidizer being O2, O3, CO, CO2, COS, NO, N2O, NO2, SO2, and combinations thereof;
      • the oxidizer and the F3NO-free FNO gas or the F3NO-free FNO gas-containing gas mixture being mixed together prior to introduction into the reaction chamber or the etching chamber;
      • The oxidizer comprising between approximately 0.01% by volume to approximately 99.99% by volume of the mixture introduced into the chamber (with 99.99% by volume representing introduction of almost pure oxidizer for the continuous introduction alternative);
      • the oxidizer being introduced continuously into the reaction chamber and the etching gas being introduced into the reaction chamber in pulses;
      • producing F3NO-free FNO gas contained in the F3NO-free FNO gas-containing gas by mixing NO and F2 gases at a ratio of F2 gas to NO gas less than or equal to ½ and a purity of NO gas at least 99.9% by volume, wherein the produced F3NO-free FNO gas contains less than approximately 1% F3NO;
      • producing a gas mixture of F3NO-free FNO gas, F2 and N2 by a two-step F2 mixing procedure comprising the steps of
        • mixing F2 and NO at a ratio of F2/NO less than or equal to ½ and a purity of NO at least 99.9% by volume to produce the F3NO-free FNO gas;
        • mixing the produced F3NO-free FNO gas with an additional amount of F2 to produce the gas mixture of the F3NO-free FNO gas and F2; and
        • diluting the gas mixture of the F3NO-free FNO gas and F2 in N2 to form the gas mixture of F3NO-free FNO gas, F2 and N2;
      • passivating the manifold assembly with F2;
      • passivating the manifold assembly with FNO;
      • passivating the first pressure zone of the manifold assembly with F2;
      • passivating the first pressure zone of the manifold assembly with FNO;
      • passivating the second pressure zone of the manifold assembly with F2;
      • passivating the second pressure zone of the manifold assembly with FNO;
      • a first gas line parallel to the manifold assembly;
      • the first gas line feeding an additional etching gas to the etching chamber, where in the additional etching gas is selected from the group consisting of F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, and combination thereof;
      • the first gas line feeding an additional etching gas to the NiP coated steel cylinder, where in the additional etching gas is selected from the group consisting of F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, and combination thereof;
      • the first gas line feeding F2;
      • the F3NO-free FNO etching gas and the additional gas (e.g., F2) being mixed prior to introduction to the reaction chamber;
      • a second gas line for feeding an inert gas to the first pressure zone of the manifold assembly, wherein the F3NO-free FNO gas is mixed with the inert gas to produce a diluted F3NO-free FNO gas, wherein the inert gas is N2, Ar, He, Ne, Kr, Xe, or mixtures thereof;
      • a second gas line for feeding N2 to the first pressure zone of the manifold assembly;
      • a second gas line for feeding an inert gas to NiP coated steel cylinder, wherein the F3NO-free FNO gas is mixed with the inert gas to produce a diluted F3NO-free FNO gas, wherein the inert gas is N2, Ar, He, Ne, Kr, Xe, or mixtures thereof;
      • a second gas line for feeding N2 to NiP coated steel cylinder;
      • the F3NO-free FNO gas in the gas cylinder contains the inert gas;
      • the F3NO-free FNO gas in the gas cylinder contains N2;
      • the etching process being thermal etching process;
      • the etching process being plasma dry etching process;
      • the etching chamber being heated to a temperature;
      • a temperature of the etching chamber ranging from 20° C. to 1000° C.;
      • a temperature of the etching chamber ranging from room temperature to 1000° C.;
      • a temperature of the etching chamber ranging from 100° C. to 600° C.;
      • a temperature of the etching chamber ranging from 100° C. to 300° C.;
      • a temperature of the etching chamber being 100° C.;
      • a temperature of the etching chamber being 500° C.;
      • a temperature of the etching chamber being 600° C.;
      • a pressure in the first pressure zone ranging from 0.8 MPa to 3.5 MPa;
      • a pressure in the second pressure zone ranging from 0.1 MPa to 0.8 MPa;
      • a pressure in the first pressure zone being 0.99 MPa;
      • a pressure in the second pressure zone being 0.5 MPa;
      • a pressure in the etching chamber ranges from approximately 0.1 mTorr and approximately 1000 Torr;
      • a flow rate of F3NO-free FNO containing etching gas ranging from approximately 0.1 sccm to approximately 30 slm;
      • The reaction chamber being a thermal etching chamber;
      • The reaction chamber being a plasma etching chamber;
      • The reaction chamber being a deposition chamber;
      • the substrate in the reactor containing a film to be etched;
      • the substrate in the etching chamber containing a film to be etched;
      • an inner surface of the reactor containing deposits to be etched;
      • the inner surface of the reactor containing a film to be etched;
      • an inner surface of the deposition chamber containing a layer of deposits on the inner surface to be etched or removed;
      • the inner surface of the deposition chamber containing a film on the inner surface to be etched or removed;
      • an inner surface of the deposition chamber containing a layer of deposits on the inner surface to be cleaned;
      • the inner surface of the deposition chamber containing a film on the inner surface to be cleaned;
      • the line components in the first pressure zone including gas filters, pressure sensors, pressure regulator, gas valves, pipes, and combinations thereof;
      • the line components in the second pressure zone including gas filters, pressure sensors, gas valves, mass flow controllers (MFCs), pipes, and combinations thereof;
      • the high nickel content material being a nickel alloy having at least 14% nickel by weight;
      • the high nickel content material being pure nickel;
      • the high nickel content material being nickel alloys;
      • the high nickel content material being MONEL®, INCONEL® or HASTELLOY® C-22® alloy;
      • the low nickel content material being a nickel alloy having less than 14% nickel by weight; and
      • the low nickel content material being stainless steel;
  • Also, disclosed is a gaseous composition for semiconductor applications. The gaseous composition comprises F3NO-free FNO gas containing less than approximately 1% F3NO impurity by volume; and an inert gas being capable of suppressing the concentration of F3NO impurity in the F3NO-free FNO gas. The disclosed gas composition include one or more of the following aspects:
      • the F3NO-free FNO gas containing less than approximately 1% F3NO impurity by volume;
      • the inert gas beingN2, Ar, He, Ne, Kr, Xe, or mixtures thereof;
      • the inert gas being N2;
      • the inert gas being capable of suppressing the concentration of F3NO impurity in the F3NO-free FNO gas;
      • N2 being capable of suppressing the concentration of F3NO impurity in the F3NO-free FNO gas;
      • the F3NO-free FNO gas having a purity of 99% by volume;
      • the F3NO-free FNO gas having a purity ranging from approximately 99% to approximately 99.999% by volume;
      • the F3NO-free FNO gas containing less than 1% by volume trace gas impurities;
      • the trace gas impurities comprising water;
      • the trace gas impurities comprising NO2;
      • the trace gas impurities comprising N2O,
      • the trace gas impurities comprising F3NO;
      • the F3NO-free FNO gas containing less than 1% by volume of F3NO; and
      • the F3NO-free FNO gas having a water content of less than 20 ppmw.
    Notation and Nomenclature
  • The following detailed description and claims utilize a number of abbreviations, symbols, and terms, which are generally well known in the art, and include:
  • As used herein, the indefinite article “a” or “an” means one or more.
  • As used herein, “about” or “around” or “approximately” in the text or in a claim means±10% of the value stated.
  • As used herein, “less to no” in the text or a claim means the value stated having a range from approximately 1% to nil.
  • As used herein, “room temperature” in the text or in a claim means from approximately 20° C. to approximately 25° C.
  • The term “ambient temperature” refers to an environment temperature approximately 20° C. to approximately 25° C.
  • The term “F3NO-free” or “F3NO-less” refers to a gas mixture contains less than 1% F3NO impurity.
  • The trademark “HASTELLOY®” refers to a family of nickel-based steel alloys exhibiting high resistance to corrosion. HASTELLOY® is a nickel-molybdenum alloy. There are a hundred different Hastelloy® alloys marked B, C, D, M, NS, W, X . . . 22 letters sometimes numbered by a few numbers. There are many different grades of Hastelloy®, many of which are nickel-chromium-molybdenum alloys. Each of these grades has been optimized for a specific purpose, but all of them are highly resistant to corrosion. HASTELLOY® has outstanding resistance to highly oxidizing and reducing agents, making it a great choice for moderate to severe corrosive environments. The most versatile of the HASTELLOY® alloy are the “C-type” alloys, such as, HASTELLOY® C-22® alloy.
  • The trademark “HASTELLOY® C-22® alloy” refers to one of the well-known and well-proven nickel-chromium-molybdenum materials, the chief attributes of which are resistance to both oxidizing and non-oxidizing chemicals, and protection from pitting, crevice attack, and stress corrosion cracking. The composition of nickel in HASTELLOY® C-22® alloy is 56% by weight.
  • The trademark “MONEL®” refers to a group of nickel alloys, primarily composed of nickel and copper, with small amounts of iron, manganese, carbon, and silicon. Stronger than pure nickel, MONEL® alloys are resistant to corrosion by many agents, including rapidly flowing seawater. The composition of nickel in MONEL® is 63-65% or even up to 67% by weight.
  • The trademark “INCONEL®” refers to a family of nickel-iron-chromium superalloys. There are also many different grades of INCOLOY® available. INCONEL® alloys are oxidation-corrosion-resistant materials well suited for service in extreme environments subjected to pressure and heat. INCONEL® retains strength over a wide temperature range, attractive for high temperature applications. INCONEL® is a material that is specifically optimized for some of the toughest use conditions to be found in manufacturing. INCONEL®s high temperature strength and resistance to seawater, brine, sour gas, and chloride make it ideal for use in the oil and gas industries. The composition of nickel in INCONEL® is 50-80% nickel by weight.
  • The term “high nickel content material” refers to nickel alloys that contains at least 14% nickel by weight.
  • The term “low nickel content material” refers to a material contains less than 14% nickel by weight or contains no nickel.
  • The term “stainless steel 316 (SS316)” or “steel use stainless 316 (SUS316)” (SUS, an acronym from Japanese Industrial Standards (JIS)) refers to a marine grade stainless steel, called type 316, is resistant to certain types of interactions. There are a variety of different types of 316 stainless steels, including 316 L, F, N, H, and several others. Each has different Ni content. The “L” designation means SS316L has less carbon than SS316. The SS316L contains up to 14% Ni.
  • The term “Ceodeux D306” refers to a high-pressure cylinder valve, which is a tied diaphragm seal type and used for ultra high purity gases (e.g., purity 99.999%) with main body material made of Nickel and HASTELLOY®.
  • The term “alloy 4130X” refers to an alloy in a 41xx steel family of SAE steel grades, as specified by the Society of Automotive Engineers (SAE). Alloying elements include chromium and molybdenum, and as a result, these materials are often informally referred to as chromyl steel.
  • The term of “metal” refers to a solid material that is typically hard, shiny, malleable, fusible, and ductile, with good electrical and thermal conductivity. A metal may be a chemical element such as iron, gold, silver, copper, and aluminum, or an alloy such as stainless steel.
  • The term of “metal alloy” refers to a metal made by a combination of metals or of a metal and another element. An alloy may be a solid solution of metal elements or a mixture of metallic phases.
  • The term “etching system” refers to a system that removing (i.e., etching or cleaning) a film happens inside a reaction chamber. The reaction chamber may be a thermal or a plasma etching chamber or a deposition chamber. The film may be on a substrate with a substrate holder placed inside of the etching chamber, which refers to an etching process. The film may be a layer of deposits on the inner surface of the deposition chamber that needs to be removed. Removing the layer of deposits on the inner surface of the deposition chamber also refers to a cleaning process.
  • The term “NiP coated steel cylinder” refers to a steel cylinder with an internal surface coating of nickel plating (NiP) in which an internal surface of the NiP is polished. The steel cylinder may be a carbon steel cylinder made of alloy 4130X.
  • The term “polish” or “polished” refers to making a surface smooth and glossy by mechanical or electro-mechanical polishing.
  • The term “substrate” refers to a material or materials on which a process is conducted. The substrate may refer to a wafer having a material or materials on which a process is conducted. The substrates may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. The substrate may also have one or more layers of differing materials already deposited upon it from a previous manufacturing step. For example, the wafers may include silicon layers (e.g., crystalline, amorphous, porous, etc.), silicon containing layers (e.g., SiO2, SiN, SiON, SiCOH, etc.), metal containing layers (e.g., copper, cobalt, ruthenium, tungsten, platinum, palladium, nickel, ruthenium, gold, etc.) or combinations thereof. Furthermore, the substrate may be planar or patterned. The substrate may be an organic patterned photoresist film. The substrate may include layers of oxides which are used as dielectric materials in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications (for example, ZrO2 based materials, HfO2 based materials, TiO2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or nitride-based films (for example, TaN, TiN, NbN) that are used as electrodes. One of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line. Throughout the specification and claims; the wafer and any associated layers thereon are referred to as substrates.
  • The term “wafer” or “patterned wafer” refers to a wafer having a stack of silicon-containing films on a substrate and a patterned hardmask layer on the stack of silicon-containing films formed for pattern etch.
  • The term “pattern etch” or “patterned etch” refers to etching a non-planar structure, such as a stack of silicon-containing films below a patterned hardmask layer.
  • As used herein, the term “etch” or “etching” refers to an isotropic etching process and/or an anisotropic etching process. The isotropic etch process involves a chemical reaction between the etching compound and the substrate resulting in part of material on the substrate being removed. The etching processes may be multiple processes and the etching processes may involve in a surface reaction to modify the surface in the first step and in the second step a removal of the modified surface layer. This type of etching process includes chemical dry etching, vapor phase chemical etching, thermal dry etching, or the like. The isotropic etch process produces a lateral or horizontal etch profile in a substrate. The isotropic etch process produces recesses or horizontal recesses on a sidewall of a pre-formed aperture in a substrate. The anisotropic etch process involves a plasma etching process (i.e., a dry etch process) in which ion bombardment accelerates the chemical reaction in the vertical direction so that vertical sidewalls are formed along the edges of the masked features at right angles to the substrate (Manos and Flamm, Thermal etching an Introduction, Academic Press, Inc. 1989 pp. 12-13). The plasma etching process produces a vertical etch profile in a substrate. The plasma etching process produces vertical apertures, trenches, channel holes, gate trenches, staircase contacts, capacitor holes, contact holes, etc., in the substrate.
  • The term “selectivity” means the ratio of the etch rate of one material to the etch rate of another material. The term “selective etch” or “selectively etch” means to etch one material more than another material, or in other words to have a greater or less than 1:1 etch selectivity between two materials.
  • Note that herein, the terms “film” and “layer” may be used interchangeably. It is understood that a film may correspond to, or related to a layer, and that the layer may refer to the film. Furthermore, one of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may range from as large as the entire wafer to as small as a trench or a line.
  • Note that herein, the terms “etching compound” and “etching gas” may be used interchangeably when the etching compound is in a gaseous state at room temperature and ambient pressure. It is understood that an etching compound may correspond to, or related to an etching gas, and that the etching gas may refer to the etching compound.
  • As used herein, the abbreviation “NAND” refers to a “Negated AND” or “Not AND” gate; the abbreviation “2D” refers to 2 dimensional gate structures on a planar substrate; the abbreviation “3D” refers to 3 dimensional or vertical gate structures, wherein the gate structures are stacked in the vertical direction.
  • The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements might be referred to by these abbreviation (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, H refers to hydrogen, F refers to fluorine, etc.).
  • The unique CAS registry numbers (i.e., “CAS”) assigned by the Chemical Abstract Service are provided to identify the specific molecules disclosed.
  • Please note that the silicon-containing films, such as SiN and SiO, are listed throughout the specification and claims without reference to their proper stoichiometry. The silicon-containing films may include pure silicon (Si) layers, such as crystalline Si, poly-silicon (p-Si or polycrystalline Si), or amorphous silicon; silicon nitride (SikNl) layers; or silicon oxide (SinOm) layers; or mixtures thereof, wherein k, l, m, and n, inclusively range from 0.1 to 6. Preferably, silicon nitride is SikNl, where k and l each range from 0.5 to 1.5. More preferably silicon nitride is Si3N4. Herein, SiN in the following description may be used to represent SikNl containing layers. Preferably silicon oxide is SinOm, where n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, silicon oxide is SiO2. Herein, SiO in the following description may be used to represent SinOm containing layers. The silicon-containing film could also be a silicon oxide based dielectric material such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc. with a formula of SiOCH. Silicon-containing film may also include SiaObNc where a, b, c range from 0.1 to 6. The silicon-containing films may also include dopants, such as B, C, P, As and/or Ge.
  • Ranges may be expressed herein as from about one particular value, and/or to about another particular value. When such a range is expressed, it is to be understood that another embodiment is from the one particular value and/or to the other particular value, along with all combinations within said range.
  • Reference herein to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment may be included in at least one embodiment of the invention. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment, nor are separate or alternative embodiments necessarily mutually exclusive of other embodiments. The same applies to the term “implementation.”
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, in which like elements are given the same or analogous reference numbers and wherein:
  • FIG. 1, reproduced from Yonemura et al., represents the etch rates as a function of gas concentration for FNO/Ar, F3NO/Ar, NF3/Ar and C2F6/O2,
  • FIG. 2 is a diagram of an exemplary packaging of F3NO-free FNO gas and/or F3NO-free FNO gas mixture from a cylinder to a semiconductor application chamber;
  • FIG. 3(a) is an order of mixing F2, NO and N2 to produce FNO in N2;
  • FIG. 3(b) is another order of mixing F2, NO and N2 to produce FNO in N2;
  • FIG. 3(c) is another order of mixing F2, NO and N2 to produce FNO in N2;
  • FIG. 3(d) is another order of mixing F2, NO and N2 to produce FNO in N2;
  • FIG. 4 is a comparison of FT-IR spectra of F3NO impurity in 30% FNO in N2 produced from on-site synthesis under stoichiometric condition versus 30% FNO in N2 produced from on-site synthesis under F2-rich condition;
  • FIG. 5(a) is an order of mixing F2, NO and N2 to produce a gas mixture of F3NO-free FNO/F2/N2;
  • FIG. 5(b) is another order of mixing F2, NO and N2 to produce F3NO-free FNO/F2/N2 gas mixture;
  • FIG. 5(c) is another order of mixing F2, NO and N2 to produce a gas mixture of F3NO-free FNO/F2/N2;
  • FIG. 5(d) is another order of mixing F2, NO and N2 to produce a gas mixture of F3NO-free FNO/F2/N2;
  • FIG. 6 is a data set of F3NO formations with 1st F2 feeding amount (%) versus total amount of F2;
  • FIG. 7 is a data set of F3NO formations with 1st N2 feeding amount (%) versus total amount of N2.
  • FIG. 8 is FTIR signals and etch rates after SiN etched with FNO and F2 gas mixture with different F2 mixing orders;
  • FIG. 9 is F3NO formation with different N2 mixing orders;
  • FIG. 10 is FTIR signals and etch rates versus FNO concentrations;
  • FIG. 11 is FTIR signals and etch rates versus etch time;
  • FIG. 12 is FTIR results of monitoring of different compositions; and
  • FIG. 13 is results of monitoring of etching performance.
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • Disclosed are systems and methods for storing and supplying F3NO-free FNO gas and F3NO-free FNO gas mixtures, such as FNO/F2, FNO/F2/N2, for using as thermal and/or plasma dry etching gases to etch semiconductor structures. Disclosed are also systems and methods for thermally and/or plasma dry etching semiconductor structures using F3NO-free FNO gas and F3NO-free FNO gas mixtures. Furthermore, disclosed are methods for producing F3NO-free FNO gas and F3NO-free FNO gas mixtures for using as thermal etching gases to etch semiconductor structures. The disclosed methods for producing F3NO-free FNO gas and F3NO-free FNO gas mixtures may provide a purity of F3NO-free FNO gas of 99% or greater, and an impurity of F3NO less than 1%.
  • FNO (nitrosyl fluoride, CAS number: 7789-25-5, boiling point: −72.4° C. (−59.9° C.)) and/or a mixture of FNO with other etching gases, such as F2, HF, cC4F8, C4F6, CF4, CHF3, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, SO2, and the like, may be used as highly reactive fluorinating thermal etching gases. Applicant discovered that FNO gas used for thermally etching the semiconductor structures should contain less to no F3NO (trifluoroamine oxide, CAS number: 13847-65-9, boiling point: −87.6° C.) impurity. Thus, the disclosed F3NO-free FNO gas contains less to no F3NO impurity, which refers to F3NO-free FNO gas. F3NO-free herein refers to a gas having F3NO impurity less than 1%. F3NO-free may also refer to F3NO-less having the same definition as F3NO-free. In semiconductor applications, FNO may be diluted in an inert gas, such as N2. Ar, He, Ne, Kr, Xe, or mixtures thereof, to obtain a concertation of FNO as needed. The FNO gas mixture FNO/F2/N2 is one of exemplary FNO gas mixtures. When F3NO-free FNO diluted in the inert gas, the F3NO impurity in the mixture is even less than 1%. For instance, 15% FNO in a gas mixture of F3NO-free FNO and N2 may have F3NO impurity less than 0.15%.
  • In semiconductor applications, FNO gas may be pre-synthesized for use as an etchant or produced in situ or in close proximity to where it is used to etch semiconductor structures. Regarding the pre-synthesized FNO gas, a purity of 99%+FNO may be obtained and F3NO impurity exists in FNO is less than 1% taking into account that other impurities may exist in FNO gas.
  • It is known that mixing F2 and NO in situ produces FNO. However, using F2 and NO as starting materials to produce FNO may generate other products such as, F3NO, FNO2, NF3, N2O, NO2, etc., as byproducts existing in the product FNO. The reactions involved in mixing NO and F2 may include the following reactions.

  • 2NO+F2→2FNO,

  • 2NO+3F2→2F3NO,

  • FNO+F2→F3NO

  • N2O+2F2→NF3+FNO
  • N2O and NO2 may exist in the starting material NO as impurity.
  • Thus, when F2 is mixed with NO forming a gas mixture of F2 and NO in situ at the time it is used in an etching process, besides forming the desired FNO etching gas, oxyfluorides of nitrogen containing a grouping F—N—O, such as F3NO, may also be formed as impurity in the gas mixture of F2 and NO. Applicant found that F3NO does exist in the mixture of F2 and NO when producing FNO by mixing F2 and NO if a ratio of F2 to NO is not very well controlled.
  • To our knowledge, so far no existing work has been mentioning the presence of F3NO as impurity in either pre-synthesized FNO gas and/or in the FNO product produced in situ, such as produced by mixing F2 and NO gas in situ. Since F3NO has higher reactivity against Si-containing materials than FNO as shown in FIG. 1, for etching semiconductor structures, the produced etching gas FNO should be free of F3NO. F3NO existing in FNO etching compositions may have detrimental effects to etching processes such as, particles formed on the substrate and cracks occurring in the substrate, which may be seen in the examples that follow. F3NO existing in FNO etching compositions may also influence etching performance, such as selectivity and etch rate controllability. Thus, a control of F3NO formation in producing FNO is required in order to perform a precise etching process in semiconductor industry. To this point, FNO used as an etching compound has to be in high purity, with minimal F3NO levels.
  • In semiconductor applications, oxyfluorides of nitrogen, i.e. compounds containing the grouping F—N—O, such as FNO, FNO2 and F3NO, may be corrosive to etching gas containers and pipelines, etching chambers, substrates to be etched, etc., which may lower the semiconductor device performance. In addition, materials made of containers, pipelines and components along the pipelines for storage and delivery of FNO-containing gas to an etching chamber and materials made of the etching chamber have to be compatible with FNO. This means no corrosions and no reactions occur between FNO and the above materials that could cause contaminations to the etching gases and the substrate to be etched. When FNO is produced from the precursors/starting materials (e.g., NO and F2) at the time it is used as an etching gas, the precursors may also create different storage and handling problems from the product FNO. As a result, when producing FNO, material compatibilities between starting materials (e.g., F2 and NO) and even FNO itself and a container (e.g., cylinder), valves, manifolds and a reaction chamber along with etching performance degradation thereof with short-term or long-term use have been concerned.
  • Material compatibility tests are important to determine if any component of the disclosed F3NO-free FNO and F3NO-free FNO gas mixtures will react with materials of the container (e.g., cylinder), valves, manifolds and chamber and if any component of the disclosed F3NO-free FNO and F3NO-free FNO gas mixtures will degrade the etching performance thereof with short term or long-term use. Material compatibility refers to a material's resistance to corrosion, rust or stains when it comes in contact with a chemical, such as F2, NO, FNO, F3NO, etc. At times the materials made of the container (e.g., cylinder), valves, manifolds and chamber are exposed to these chemicals at high temperatures, for example, higher than 20° C., and high pressures, for example, higher than 1 atm, for thermal etching, which may enhance their degradation.
  • The disclosed methods for producing F3NO-free FNO gas include mixing the starting materials F2 and NO by controlling mixing ratios of F2 and NO. In order to obtain various concentrations of F3NO-free FNO gas, an inert gas, such as N2, Ar, Kr and Xe, preferably N2, may be added to dilute the produced F3NO-free FNO gas to a target concentration of F3NO-free FNO gas. In addition, adding an inert gas helps reduce F3NO formation in the process of producing F3NO-free FNO gas in situ referring to the Examples that follow. With the disclosed mixing methods, the formation of F3NO during the reaction between F2 and NO may be restrained. To our knowledge, the disclosed mixing methods (i.e., mixing ratio) have not been found in the prior art for producing FNO with F2 and NO. It is known a direct reaction between F2 and NO is disclosed as the simplest method to produce FNO. However, there is no past work mentioning F3NO as impurity in FNO, while Applicant discovered the presence of F3NO from the reaction between F2 and NO is detrimental to the use of FNO gas in various etching processes. Applicant also discovered the mixing methods of F2 and NO with or without N2 in order to control F3NO amount in the mixture to produce F3NO-free FNO gas. This is beneficial for producing FNO gas with precise F3NO impurity control.
  • The disclosed methods for producing F3NO-free FNO gas mixtures include mixing the starting materials F2 and NO by controlling mixing ratios of F2 and NO and then mixing with an addition gas by controlling mixing order of F2, NO and the additional gas. The additional gas may be selected from the group consisting of F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, cO2, CO, NO2, N2O, O3, Cl2, H2, HBr, and combination thereof. Preferably, the additional gas is F2. In order to obtain various concentrations of F3NO-free FNO gas in the F3NO-free FNO gas mixture, an inert gas, such as N2, Ar, Kr and Xe, preferably N2, may be added to dilute the produced F3NO-free FNO gas mixture to a target concentration of F3NO-free FNO gas. Similarly, adding an inert gas helps reduce F3NO formation in the process of producing F3NO-free FNO gas mixture in situ referring to the Examples that follow. With the disclosed mixing methods, the formation of F3NO during the reaction between F2, NO and the additional gas may be restrained. To our knowledge, the disclosed mixing methods (i.e., controlling mixing ratio and controlling mixing order) have not been found in the prior art for producing FNO gas and FNO gas mixture with F2 and NO. Applicant discovered the mixing methods of F2, NO and additional gas with or without N2 in order to control F3NO amount in the mixture to produce F3NO-free FNO gas mixture. This is beneficial for producing FNO-containing gas mixture with precise F3NO impurity control.
  • The disclosed mixing methods provide processes to suppress the formation of F3NO impurity when F2 is mixed with NO in situ. The disclosed mixing methods for producing F3NO-free FNO from F2 and NO include a step of mixing F2 and NO at a ratio F2/NO equal to or less than stoichiometric condition (F2/NO≤½). In this way, the formation of F3NO impurity in the produced F3NO-free FNO may be suppressed. The produced F3NO-free FNO may be further diluted in an inert gas, such as N2, Ar, Kr and Xe, preferably N2, to form different concentrations of F3NO-free FNO in N2 according to application requirements.
  • The disclosed mixing methods for producing F3NO-free FNO gas mixture (e.g., FNO/F2) from F2 and NO include a two-step of F2 mixing process. In the first step, F2 and NO are mixed equal to or less than stoichiometric condition (F2/NO≤½) to produce F3NO-free FNO gas. In the second step additional F2 is added to the produced F3NO-free FNO gas to produce F3NO-free FNO and F2 gas mixture. In this way, the formation of F3NO impurity in the produced F3NO-free FNO and F2 gas mixture may be suppressed. The produced F3NO-free FNO and F2 gas mixture may be further diluted in an inert gas, such as N2, Ar, Kr and Xe, preferably N2, to form different concentrations of F3NO-free FNO and F2 in N2 depending on application requirements.
  • NO gas is not stable and may contain a trace gas impurity of nitrogen oxygen compounds, such as NO2, N2O, or the like, resulting from instability. Once NO mixed with F2, the trace gas impurities may react with F2 to eventually produce F3NO in the product of FNO, as shown in the following reaction: F2+NO2→F3NO or F2+N2O→F3NO. Therefore, it is highly preferable to use high purity NO designed for low impurities like N2O and NO2. In order to suppress the formation of F3NO, NO gas used herein to produce FNO should be pure as pure as feasible. Preferably, the purity of NO is provided at between approximately 99.9% by volume and approximately 100.0% by volume, more preferably between approximately 99.99% by volume and approximately 100.00% by volume, and even more preferably between approximately 99.999% by volume and approximately 100.000% by volume. In addition, NO gas may contain between approximately 0.0% by volume and approximately 0.1% by volume trace gas impurities with between approximately 0 ppm by volume to approximately 600 ppm by volume of N—O containing gases other than NO gas, such as NO2, N2O, or the like, contained in said trace gaseous impurities.
  • Since the disclosed mixing methods are capable of suppressing the formation of F3NO, the impurity F3NO in FNO may not impact the etching performance when using the disclosed F3NO-free FNO gas as thermal and/or plasma dry etching gas.
  • The disclosed systems and methods also include systems and methods for storage and delivery of F3NO-free FNO gas and/or F3NO-free FNO gas mixture through using compatible materials between FNO and containers, manifolds, pipelines, etching chambers, etc.
  • The disclosed method for storage and delivery of F3NO-free FNO and/or F3NO-free FNO diluted in an inert gas, such as N2, Ar, Kr and Xe, preferably N2, include storing a corrosive gas F3NO-free FNO or F3NO-free FNO/N2 mixture in a steel cylinder made of alloy 4130X with NiP coated inner surface, and delivering the corrosive gas F3NO-free FNO or F3NO-free FNO/N2 mixture to an application reactor through a manifold assembly. An internal surface of the steel cylinder made of alloy 4130X is coated with nickel plating, and the inner surface of the nickel plating is polished so as to have smooth surface resulting in low moisture content. Hereinafter, the steel cylinder made of alloy 4130X with an inner surface coating of nickel plating with polished inner surface of the nickel plating refers to the NiP coated steel cylinder.
  • A cylinder valve in fluidly communication with the cylinder and the manifold assembly is made of nickel or nickel alloy. Due to a pressure difference between the cylinder and the application reactor, the manifold assembly is divided into a high-pressure zone in fluidly communication with the cylinder valve and a low-pressure zone in fluidly communication with the application chamber by a pressure regulator or a pressure reducing device. The manifold assembly is not limited to be divided into two pressure zones. The manifold assembly may be divided into multiple pressure zones each having different reduced pressures. Thus, with the multiple pressure zones, the manifold assembly is able to deliver the gas to different reaction chambers each requiring a different reduced pressure.
  • The pressure of the corrosive gas F3NO-free FNO or F3NO-free FNO/N2 mixture is reduced by the pressure regulator before entering the low-pressure zone. Line components in the high-pressure zone may be composed of high nickel content material. Line components in the low-pressure zone may be composed of low nickel content material, metal or metal alloy. The line components in the high and low-pressure zones include gas filters, pressure sensors, gas valves, mass flow controllers (MFCs), pipes, etc. The high nickel content material refers to nickel alloys that contains at least 14% nickel by weight. For example, MONEL®, INCONEL® or HASTELLOY® C-22® alloy. The low nickel content material refers to a material contains less than 14% nickel by weight or contains no nickel. For example, stainless steel. In this way, F3NO impurity and degradation of the equipment may be reduced. The NiP coated steel cylinder may be, but is not limited to, in a size ranging from 0.5 L to 49 L. NiP coated steel cylinder. The cylinder valve may be a Ceodeux D306 Ni body Ni disphragm. The cylinder valve may be made of HASTELLOY® C-22® alloy, MONEL®, INCONEL®, pure nickel, or any other high nickel content materials.
  • The high-pressure zone of the manifold assembly may have a pressure ranging from approximately 0.8 MPa to approximately 10 MPa, more preferably, approximately 0.8 to approximately 3.5 MPa. The low-pressure zone of the manifold assembly may have a pressure ranging from approximately 0.1 MPa to approximately 0.8 MPa. The manifold assembly includes the following line components: the pressure regulator, pressure sensors, valves, gas filters, piping, etc. in the two pressure zones. The line components in the high-pressure zone may be composed of high nickel content materials, such as, MONEL®, INCONEL® or HASTELLOY® C.-22® alloy. The high nickel content material may contains at least 14% nickel. Typically, any material that contains 14% or higher nickel may be used to make of the line components in the high-pressure zone, however, Fe-containing alloy, such as stainless steel (SS), may not be used. Whereas, in the low-pressure zone the line components may be composed of low nickel content material that contains less than 14% nickel by weight or contains no nickel. The line components in the low-pressure zone may also be made of any metal or any mental alloy, including high nickel content materials. The line components in the low-pressure zone may be made of stainless steel.
  • The following are exemplary embodiments of the disclosed storage and delivery systems for delivery of the disclosed F3NO-free FNO gas and/or F3NO-free FNO gas mixture into a target application reactor (e.g., an etching chamber for etching or a deposition chamber for cleaning) in which material compatibilities are considered.
  • In one embodiment, a packaging of F3NO-free FNO gas from a cylinder to a semiconductor application, e.g., an etching chamber, is shown in FIG. 2. The packaging includes a manifold 101 that contains two pressure zones, one is a high-pressure zone 102, the other is a low-pressure zone 104. The pressure in the pressure zone 102 is higher than that in the pressure zone 104. The pressure range in the pressure zone 102 is approximately from 0.8 MPa to 10 MPa. The pressure range in the pressure zone 104 is approximately from 0.1 MPa to 0.8 MPa. In one exemplary embodiment, the pressure in the pressure zone 102 is 0.99 MPa; the pressure in the pressure zone 104 is 0.5 MPa. A cylinder 106 that contains a pressurized etching gas F3NO-free FNO (e.g., from 0.8 MPa to 3.5 MPa) is fluidly connected to the pressure zone 102 through a cylinder valve 108. The F3NO-free FNO gas stored in cylinder 106 may be synthesized using F2 and NO as starting materials or may be a pre-synthesized FNO. The F3NO-free FNO gas stored in the cylinder 106 has a purity of 99%. Alternatively, the F3NO-free FNO gas stored in the cylinder 106 may be diluted in an inert gas (N2, Ar, Kr and Xe), for example, diluted in N2 gas, forming a mixture of F3NO-free FNO and N2. The cylinder 106 is a carbon steel cylinder made of alloy 4130X with an internal surface coating of nickel plating and a polished coating surface (i.e., NiP coated steel cylinder). The internal surface of coated nickel plating is important because a smooth surface may reduce contamination of moisture from air. A cylinder valve 108 controls the etching gas F3NO-free FNO to be delivered from the pressure zone 102 to the pressure zone 104 through a pipeline 110, where a valve 112, a pressure sensor 114 and a pressure regulator 116 are fluidly connected to the pipeline 110. The pressure sensor 114 reads the pressure in the pressure zone 102. An inert gas (e.g., N2) may be added to the F3NO-free FNO gas in the pressure zone 102 to produce a diluted F3NO-free FNO gas. For example, N2 gas is added to the flow of F3NO-free FNO gas through a valve 118 in the pressure zone 102 forming a mixture of F3NO-free FNO with N2 therein. If the cylinder 106 contains already diluted F3NO-free FNO gas (e.g., 50% FNO in N2), N2 gas is added to the flow of the already diluted F3NO-free FNO gas through a valve 118 in the pressure zone 102 will have the already diluted F3NO-free FNO gas further diluted. In this way, the concentration of F3NO-free FNO gas may be adjusted depending on application requirements. The pressure regulator 116 reduces the pressure of the gas mixture of F3NO-free FNO and N2 before the gas mixture of F3NO-free FNO with N2 enters the pressure zone 104. A pressure sensor 120 reads the pressure in the pressure zone 104. The gas mixture of F3NO-free FNO and N2 from the pressure zone 102 is then de-pressurized and forwarded to a mass flow controller 126 in the pressure zone 104 through a pipeline 130. The mass flow controller 126 controls a flow rate of the gas mixture of F3NO-free FNO and N2 fed to an etching chamber 128 for an etching process. Valves 122 and 124 may be installed downstream and upstream of the mass flow controller 126.
  • Key materials involved in the cylinder, valves, manifolds, the chamber etc., shown in FIG. 2, include high nickel content materials including NiP coated steel, nickel, nickel alloys, and low nickel content materials including stainless steel. The F3NO-free FNO gas was filled in the cylinder 106 within a pressure range between approximately 0.8 to approximately 10 MPa. The cylinder 106 may be a vessel, cylinder or any pressure container (pressure range 0.1 MPa to 10 MPa). The cylinder 106 with high nickel content valve 108 is in fluidly communication with the manifold 101 including delivery line components, such as, pressure regulator, pressure sensors, valves, gas filters piping, etc., which are fluidly connected to the etching chamber 128. The cylinder 106 contains FNO gas having a purity of 99%. The cylinder 106 made of NiP coated steel. The cylinder 106 is a carbon steel cylinder made of alloy 4130X with an internal surface coating of nickel plating and the internal surface of the nickel plating is polished.
  • The cylinder valve 108 may be an alloy having nickel content >14%, preferably the cylinder valve 108 is HASTELLOY® or other nickel alloys. In one exemplary embodiment, the cylinder valve 108 may specifically use HASTELLOY® materials, in which metal impurities (such as Fe, Ni, Cr, Mn) are less than 1 ng/mL. High pressure FNO or FNO/N2 mixture is more corrosive than low-pressure one. Thus, the high pressure FNO/N2 mixture in a special package is designed to have a NiP coated steel cylinder 106 communicate with a nickel alloy manifold 101 up to the pressure regulator 116, where the pressure regulator 116 is applied to reduce the pressure. In this way, the depressurized FNO/N2 mixture is less corrosive down the low-pressure zone 104 and the etching chamber 128. With this setup, the cylinder valve 108 composed of nickel was found to have less corrosion/powder formation. The cylinder 106 composed of NiP coated steel has very smooth surface and lower moisture.
  • The packaging shown in FIG. 2 may also be used to store and deliver F3NO-free FNO gas mixture formed by mixing F3NO-free FNO gas with an additional etching gas, such as F2. In this case, the F3NO-free FNO gas mixture is F3NO-free FNO and F2.
  • The disclosed systems for storage and delivery of F3NO-free FNO gas and F3NO-free FNO gas mixture (e.g., a gas mixture of F3NO-free FNO and F2) include a passivation process with the cylinder 106, the cylinder valve 108, the low-pressure zone 104 of manifold assembly 101 to reduce metal impurities delivery into the etching chamber 128. The passivation process may be done with FNO gas or F2 gas. In the high-pressure zone 102, a passivation process for the line components may or may not work due to the high pressure. Thus, high nickel content materials are applicable for making of the line components in the high-pressure zone. In the low-pressure zone 104, a passivation process may apply.
  • The disclosed systems and methods also include systems and methods of etching semiconductor structures using the disclosed F3NO-free FNO gas and/or F3NO-free FNO gas mixtures. The disclosed etching systems and methods include thermal etching, plasma dry etching including ALE (atomic layer etching), or the like. The disclosed F3NO-free FNO gas and/or F3NO-free FNO gas mixtures are applied to thermal and plasma dry etching processes. The disclosed F3NO-free FNO gas may be used as etching gas alone (pure) or diluted in an inert gas, for example, N2, Ar, He, Xe, etc. The concentration of the diluted F3NO-free FNO may be less than 15%, preferably less than 10%, more preferably less than 5%, even more preferably less than 1%. In one embodiment, the concentration of the diluted F3NO-free FNO may be diluted to 0.01%. The disclosed F3NO-free FNO gas may also be used as etching gas mixed with an additional etching gas, such as, F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, CL2, H2, HBr, and combination thereof. Preferably, the disclosed F3NO-free FNO gas is used as etching gas mixed with F2.
  • Exemplary other gases include, without limitation, oxidizers such as O2, O3, CO, CO2, COS, NO, N2O, NO2, SO2, and combinations thereof. The disclosed etching gases and the oxidizer may be mixed together prior to introduction into the reaction chamber or the etching chamber.
  • Alternatively, the oxidizer may be introduced continuously into the reaction chamber and the etching gas introduced into the reaction chamber in pulses. Alternatively, both the oxidizer and the etching gas may be introduced continuously into the reaction chamber. The oxidizer may comprise between approximately 0.01% by volume to approximately 99.99% by volume of the mixture introduced into the chamber (with 99.99% by volume representing introduction of almost pure oxidizer for the continuous introduction alternative).
  • In one embodiment, the disclosed F3NO-free FNO gas diluted in N2 (i.e., FNO/N2) and mixed with an additional etching gas F2 (i.e., FNO/N2/F2 mixture). The disclosed F3NO-free FNO gas mixtures FNO/N2/F2 may comprise greater than 10% by volume of FNO, preferably greater than 15% by volume FNO.
  • The disclosed F3NO-free FNO etching gas and the additional gas (e.g., F2) may be mixed prior to introduction to the reaction chamber. The additional etching gas may comprise between approximately 0.01% by volume to approximately 99.99% by volume of the mixture introduced into the chamber.
  • The disclosed F3NO-free FNO gas are provided at equal to or greater than 99% v/v by volume purity, preferably at greater than 99.99% v/v by volume purity, and more preferably at greater than 99.999% v/v by volume purity. The disclosed F3NO-free FNO gas contain equal to or less than 1% by volume trace gas impurities, with less than 150 ppm by volume of impurity gases, such as H2O, NO2, N2O and/or CO2, contained in said trace gaseous impurities. Preferably, the water content in the disclosed F3NO-free FNO gas is less than 20 ppm by weight.
  • The disclosed F3NO-free FNO gas contains less than 1% by volume, preferably less than 0.1% by volume, more preferably less than 0.01% by volume of F3NO, which may provide precise etching performance and better process repeatability.
  • The disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures may be used to thermal etch or plasma dry etch silicon-containing films, such as SiN film, capped on top of a semiconductor structure, such as, a 3D NAND flash memory or a DRAM memory. The disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures may also be used to thermal etch or plasma dry etch silicon-containing films on a substrate, such as, SiN layer. The disclosed thermal etching or plasma dry etching method may be useful in the manufacture of semiconductor devices such as NAND or 3D NAND gates or Flash or DRAM memory or transistors such as fin-shaped field-effect transistor (FinFET), Lateral Gate-All-Around (LGAA) devices and Vertical Gate-All-Around (VGAA) devices, Bulk complementary metal-oxide-semiconductor (Bulk CMOS), fully depleted silicon-on-insulator (FD-SOI) structures, Monolithich 3D (M3D). The disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures may be used in other areas of applications, such as different front end of the line (FEOL) and back end of the line (BEOL) etch applications and low k applications as well. Additionally, the disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures may also be used for etching Si in 3D through silicon aperture (TSV) etch applications for interconnecting memory to logic on a substrate. The disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures may be used to remove a layer of deposits or a film formed on the inner surface of a deposition chamber after a deposition process. Such a process refers to a cleaning process after deposition.
  • The disclosed etching method includes providing a reaction chamber having a substrate having a film disposed thereon or deposits (or film) on the internal surface of the chamber wall. The reaction chamber may be any enclosure or chamber within a device in which etching methods take place such as, and without limitation, any chambers or enclosures used for plasma etching, such as, reactive ion etching (RIE), capacitively coupled plasma (CCP) with single or multiple frequency RF sources, inductively coupled plasma (ICP), Electron Cyclotron Resonance (ECR) or microwave plasma reactors, or other types of etching systems capable of selectively removing a portion of the silicon-containing film. The chamber can be also a chamber for deposition process with one or more gas inlet for different precursors. The chamber for deposition usually has controllable temperature on the substrate holder and may be a buffer chamber between reaction chamber and gas inlet. The pressure of chamber is controlled by pump system. Suitable pre-synthesized reaction chambers include but are not limited to the Applied Materials magnetically enhanced reactive ion etcher sold at the trademark eMAX™, the Lam Research CCP reactive ion etcher dielectric etch product family sold at the trademark 2300® FIex™ or Tokyo Electron sold at the trademarks INDY™, INDY PLUS™ and NT333™. The reaction chamber may be heated to a temperature ranging from room temperature to approximately 1000° C. Preferably from room temperature to 600° C., more preferably from 100 to 300° C. Depending on application targets, the temperature may be approximately 100° C., 500° C. or 600° C. This kind of thermal etcher can introduce molecules by different ways such as flow through, shower head, or other design. There will be a gas outlet connecting to a pumping system that controls the pressure of the chamber.
  • The disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures are suitable for etching semiconductor structures including thermal etching and plasma dry etching, such as, channel holes, gate trenches, staircase contacts, capacitor holes, contact holes, etc., in the silicon-containing films. For thermal etching, the disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures may be applied for isotropic etching purpose in a thermal reactor. For plasma etching, the disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures are not only compatible with currently available mask materials but also compatible with the future generations of mask materials because the disclosed F3NO-free FNO gas and mixtures induce little to no damage on the mask along with good profile of high aspect ratio structures. In other words, the disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures may produce vertical etched patterns having minimal pattern collapse or roughness. Preferably, the disclosed F3NO-free FNO gas and F3NO-free FNO gas mixtures etching compositions are suitably stable during the etching process for delivery into the reactor/chamber.
  • The reaction chamber may contain one or more than one substrate. The substrates may be any suitable substrates used in semiconductor, photovoltaic, flat panel or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers. The wafer will have multiple films or layers on it from previous manufacturing steps, including silicon-containing films or layers. The layers may or may not be patterned.
  • The disclosed F3NO-free FNO etching gas is introduced into the reaction chamber containing the substrate. The gas may be introduced to the chamber at a flow rate ranging from approximately 0.1 sccm to approximately 30 slm. One of ordinary skill in the art will recognize that the flow rate may vary from tool to tool and application to application.
  • The disclosed F3NO-free FNO etching gas may be supplied either in neat form or in a blend with an inert gas, such asN2, Ar, He, Xe, etc. The disclosed F3NO-free FNO etching gas may be present in varying concentrations in the blend.
  • FTIR, microscope analyses, pressure monitoring (pressure sensor), ellipsometer, Energy-dispersive X-ray spectroscopy (EDX), Inductively coupled plasma mass spectrometry (ICP-MS), analytical electron microscopy (AEM), X-ray photoelectron spectroscopy (XPS), Scanning Electron Microscope (SEM), Transmission electron microscopy (TEM) or other measurement tools may be used to monitor changes of compositions and etching performance using the disclosed F3NO-free FNO etching gas to etch the semiconductor structures, and also monitor the thermally activated etching gas from the chamber exhaust to determine the degradation of materials composed of the cylinder, the cylinder valve and the line components in the manifold assembly.
  • The disclosed F3NO-free FNO etching gas may be mixed with other gases either prior to introduction into the reaction chamber or inside the reaction chamber. Preferably, the gases may be mixed prior to introduction to the chamber in order to provide a uniform concentration of the entering gas.
  • In another alternative, the disclosed F3NO-free FNO etching gas may be introduced into the chamber independently of the other gases such as when two or more of the gases react.
  • In another alternative, the disclosed F3NO-free FNO etching gas and the inert gas are the only two gases that are used during the etching process.
  • The temperature and the pressure within the reaction chamber are held at conditions suitable for the film on the substrate to react with the etching gas. For instance, the pressure in the chamber may be held between approximately 0.1 mTorr and approximately 1000 Torr, preferably between approximately 1 Torr and approximately 400 Torr, as required by the etching parameters. Likewise, the substrate temperature in the chamber may range between about approximately room temperature to approximately 1000° C. depending on the process requirements. Preferably from room temperature to 600° C., more preferably from 100 to 300° C. Depending on application targets, the temperature may be approximately 100° C., 500° C. or 600° C.
  • EXAMPLES
  • The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the inventions described herein.
  • In the following examples, FTIR spectra were collected with Thermo NICOLET6700 with cell length: 6.4 m; cell temperature: 40° C.; cell pressure: 10 Torr; scan: 10 times and 2 cm−1 resolution. In the following examples, the etching gas was selected from F3NO-free FNO-only and/or F3NO-free FNO/F2/N2 gas mixture. The F3NO-free FNO/F2/N2 gas mixture contained about 15% F3NO-free FNO and about 10% F2 in N2.
  • Example 1 Pre-Synthesized F3NO-Free FNO
  • The pre-synthesized F3NO-free FNO gas has a purity of 99% FNO. Impurities in the pre-synthesized F3NO-free FNO gas may include F3NO, NO2, N2O, etc. NO2 and N2O may come from NO cylinder aging. F3NO impurity is less than 1%. From the example that follows, FNO diluted in an inert gas, for example, N2 gas, may suppress F3NO formation when producing FNO in situ with F2 and NO. Furthermore, depending on semiconductor applications, FNO gas either mixed with one or more addition etching gases or diluted in an inert gas. Thus, the FNO-containing etching gas formed by the pre-synthesized F3NO-free FNO will contain even less F3NO impurity. For example, if a FNO-containing etching gas formed by the pre-synthesized F3NO-free FNO contains 15% pre-synthesized F3NO-free FNO, the tF3NO impurity will be less than 0.15%. Thus, the FNO-containing etching gas formed by the pre-synthesized F3NO-free FNO will contains less to no F3NO.
  • Example 2 F3NO-Free FNO Produced In Situ
  • Besides the pre-synthesized F3NO-free FNO, F3NO-free FNO F3NO-free FNO may be produced in situ with starting materials F2 and NO through the reaction of F2+2NO→2FNO. In order to suppress the formation of F3NO impurity in the product FNO, the reaction of F2 and NO is at stoichiometry condition, that is, the ratio of the reactants F2 and NO is equals to approximately ½. To ensure less to no F3NO formed, the ratio of the reactants F2 and NO may be less than approximately ½.
  • The produced F3NO-free FNO gas may be diluted in an inert gas for using as etching gas in semiconductor applications. The inert gas may be N2, Ar, He, Ne, Kr, Xe. In one embodiment, F3NO-free FNO gas may be diluted with N2, forming F3NO-free FNO and N2 gas mixture. The F3NO-free FNO and N2 gas mixture may be produced by mixing F2, NO and N2 at a molar ratio of F2/NO≤½ with required N2 amount depending on application requirements of FNO concentration. The orders of mixing F2, NO and N2 to form the F3NO-free FNO and N2 gas mixture are shown in FIG. 3(a) to FIG. 3(d). The key point for the mixing orders is the ratio of F2 to NO is at stoichiometry condition, that is, equals to approximately ½, or less than approximately ½. FIG. 3(a) shows the three components F2, NO and N2 are mixed in a reactor simultaneously and then excess N2 is added to the reactor. This is equivalent to the reactants F2 and NO are initially diluted in N2 to produce a product FNO in N2 and the produced FNO is then further diluted in N2. The reaction equation is 2NO+F2→2FNO. With equal to or less than equivalent of F2 in the reactants, FNO is produced and the formation of F3NO may be controlled. For example, feeding a mixture of F2, NO and N2, formed with 7.5 sccm F2, 15 sccm NO and 75 sccm N2, to a reactor, where the reaction between F2 and NO occurs to form the product FNO diluted in N2. Since the ratio of F2 to NO is =½, all F2 will be consumed to produce FNO and no F2 remains to generate F3NO. In this way, F3NO formation may be restrained and the produced FNO is F3NO-free FNO. More N2 (10 SCCM) is then added to the reactor resulting in the F3NO-free FNO diluted in N2, thereby forming a gas mixture of 15% F3NO-free FNO in N2.
  • Alternatively, the gas mixture of F3NO-free FNO and N2 may be produced by mixing F2/N2 and NO at a molar ration of F2/NO ½. The order of mixing F2, N2 and NO is shown in FIG. 3(b). A mixture of F2 and N2 is formed first and then NO is added into the mixture, in which the reaction of F2 and NO occurs to produce FNO in N2. Additional N2 is then added to the product FNO forming FNO diluted in N2. The reaction equation is 2NO+F2→2FNO. With equal to or less than equivalent of F2 in the reactants, the formation of F3NO may be controlled. For example, a mixture of F2 and N2 is formed with 7.5 sccm F2 and 75 sccm N2 fed to a reactor. The mixture is then mixed with 15 sccm NO in the reactor where the reaction between F2 and NO occurs to form the product F3NO-free FNO. The product F3NO-free FNO is then diluted in N2 with 10 SCCM N2 forming a gas mixture of 15% FNO diluted in N2.
  • Alternatively, the gas mixture of F3NO-free FNO and N2 may be produced by mixing F2 and NO/N2 at a molar ratio of F2/NO ½. The order of mixing F2, N2 and NO is shown in FIG. 3(c). A mixture of NO and N2 is formed first and then F2 is added into the mixture, in which the reaction of F2 and NO occurs to produce FNO in N2. Additional N2 is then added to the product FNO forming FNO further diluted in N2. The reaction equation is 2NO+F2→2FNO. With equal or less equivalent of F2 in the reactants, the product FNO is produced and the formation of F3NO may be controlled.
  • Alternatively, the gas mixture of F3NO-free FNO and N2 may be produced by mixing F2/N2 and NO/N2 at condition of F2/NO ½, in which F2 and NO are diluted in N2, respectively. The order of mixing F2, N2 and NO is shown in FIG. 3(d). A mixture of F2 and N2 is formed first and then a mixture of NO and N2 is added into the mixture of F2 and N2, in which the reaction of F2 and NO occurs to produce FNO in N2. Additional N2 is then added to the product FNO in N2 forming a different concentration of FNO in N2. The reaction equation is 2NO+F2→2FNO. With equal to or less than equivalent of F2 in the reactants, the product FNO is produced and the formation of F3NO may be controlled.
  • Example 3 Stoichiometric Condition Versus F2-Rich Condition
  • The resulting products from Example 2 were analyzed by FT-IR and identified less to no trace of F3NO in the product, since the ratio of F2 to NO is ½, all F2 will be consumed to produce FNO and no F2 remains for generating F3NO. FIG. 4 is a comparison of FTIR spectra of 30% FNO in N2 produced at stoichiometric condition and at F2-rich condition, respectively. The upper spectrum is 30% FNO produced at stoichiometric condition; the lower spectrum is 30% FNO produced at F2-rich condition. No F3NO peaks were detected if FNO is manufactured under stoichiometric condition.
  • Example 4 Manufacturing Gas Mixture of F3NO-Free FNO and F2 in N2 In Situ (I)
  • The F3NO-free FNO gas produced in situ may be mixed with an additional etching gas, such as, F2, for using as etching gas in semiconductor applications. In order to suppress the formation of F3NO in the process of producing the gas mixture of FNO/F2/N2, the mixing procedure was conducted with controlling F2 mixing order.
  • The gas mixture of F3NO-free FNO/F2/N2 may be produced by different mixing orders of F2, NO and N2. FIG. 5(a) shows F2, NO and N2 are mixed together first and then additional N2 is added. In order to get target F2 composition in the gas mixture of F3NO-free FNO/F2/N2, F2/NO ratio has to be larger than ½. Alternatively, the gas mixture of F3NO-free FNO/F2/N2 may be produced by mixing F2 and N2 first, then adding NO at condition of F2/NO ½ to produce FNO and then adding additional F2, and additional N2, as shown in FIG. 5(b). In this case, the mixing order of N2 and NO may be interchangeable. That is, mixing F2 and NO first and then adding N2 (see parentheses). Alternatively, the gas mixture of F3NO-free FNO/F2/N2 may be produced by mixing NO and N2 first, then adding F2 and then adding additional N2, as shown in FIG. 5(c). In this case, F2/NO ratio has also to be larger than ½ to reach the target F2 composition the gas mixture of F3NO-free FNO/F2/N2. Alternatively, the gas mixture of F3NO-free FNO/F2/N2 may be produced by mixing F2 and N2 first, then adding a mixture of NO and N2 at condition of F2/NO=½ and then adding additional N2, as shown in FIG. 5(d). Similarly, in this case, F2/NO ratio has also to be larger than ½ to reach the target F2 composition the gas mixture of F3NO-free FNO/F2/N2.
  • The mixing orders shown in FIG. 5(a), FIG. 5(c) and FIG. 5(d) are all one step F2 mixing procedures at F2-rich condition. As shown in FIG. 4, under F2-rich condition, F3NO was produced and may not be suppressed. Mixing excess F2 with NO in the one-step F2 mixing procedure produces more F3NO than mixing F2 and NO in the two-step F2 mixing procedure. The mixing order shown in FIG. 5(b) is a two-step F2 mixing procedure, which includes a post feeding F2 or F2/N2 to target a final F2 composition in the gas mixture of F3NO-free FNO/F2/N2. Since in the first step F2/NO≤½ and F3NO is suppressed, adding additional amount of F2 would not produce F3NO. Thus, only the mixing procedure shown in FIG. 5(b) provides less to no F3NO generation in the gas mixture of F3NO-free FNO/F2/N2.
  • In the processes of synthesizing the gas mixture of F3NO-free FNO/F2/N2, it is discovered F3NO generation depending on F2 and NO feeding molar ratio and F2 mixing procedure/order. Feeding F2 amount as needed (i.e., stoichiometry condition) for FNO formation produces least F3NO impurity. For producing a gas mixture of FNO/F2/N2, the two-step F2 mixing procedure is applicable. The two-step F2 mixing procedure is i) forming a mixture of F2 and pure NO (at least 99.9% purity) by mixing chemical equivalent F2 and NO first with or without dilution in N2 and then ii) adding extra F2 gas into the mixture with or without dilution in N2. By the two-step F2 mixing procedure, less to no F3NO was detected through FT-IR measurements in the formation of the gas mixture of FNO/F2/N2.
  • An example, a gas mixture of 15%-FNO and 10%-F2 in N2 balanced gas, was prepared by the two-step F2 mixing procedures as shown in FIG. 5(b) and described in Table 1. N2 and NO feedings were fixed at 10 mol and 2 mol, respectively. The ratio of 1st F2 feeding to 2nd F2 feeding (1st F2/2nd F2)w as varied but a total flow rate of F2 was fixed at 2.3 mol in order to target the same final composition of the FNO/F2/N2 gas mixture. F3NO amount in the FNO/F2/N2 gas mixture was monitored with FTIR to check the effect of F2 mixing order, as shown in FIG. 6.
  • TABLE 1
    Mixing procedures of F2, NO and N2 with a fixed amount of F2
    1st F2—% 1st F2 1st N2 NO 2nd F2 2nd N2
    (1st F2/total F2) (mol) (mol) (mol) 1st F2/NO (mol) (mol)
    43% 1 10 2 0.5 1.3 0
    66% 1.5 10 2 0.75 0.8 0
    83% 1.9 10 2 0.95 0.4 0
    100%  2.3 10 2 1.15 0 0
  • In the first step, a ratio of 1st F2/total F2 feedings is 43% and a ratio of F2 to NO needed for FNO formation is F2/NO=0.5. In the second step, a post feeding of 2nd F2 is fed to the mixture of F2 and NO to target the final F2 composition (in this case, 10% F2) in the FNO/F2/N2 gas mixture. FIG. 6 shows that F3NO formation changes (FTIR signals) with F2 feeding amount (1st F2_%). With 43% 1st F2/total F2 feed, no F3NO was formed, because F2/NO is at stoichiometry condition. Others, 66%, 83% and 100% of 1st F2/total F2 feed, all generate F3NO.
  • Example 5 Manufacturing Gas Mixture of F3NO-Free FNO and F2 in N2 In Situ (II)
  • A gas mixture of 3.42%-FNO and 2.31%-F2 in N2 balanced gas (F3NO-free FNO/F2/N2) was prepared by 2 step feedings of F2, as shown in FIG. 5(b) with various mixing amounts of 1st F2 and 2nd F2, as described in Table 2. 1st F2, NO and 2nd F2 feedings were fixed at 1 mol, 2 mol, and 1.35 mol, respectively. The ratio of 1st N2/2nd N2 was varied while a total flow of N2 was fixed at 55.13 mol to target same final composition of the gas mixture. F3NO amount was monitored with FTIR to check the effect of N2 mixing order as shown in FIG. 5(b), where N2 was split into two feedings, 1st N2 and 2nd N2. The gas mixture of F3NO-free FNO/F2/N2 may be obtained by the reaction between premixed F2/N2 and NO with F2/NO ratio at ½.
  • TABLE 2
    Mixing procedures of F2, N2 and NO with a fixed amount of N2
    1st N2—% 1st F2 1st N2 NO 2nd F2 2nd N2
    (1st N2/total N2) (mol) (mol) (mol) 1st F2/NO (mol) (mol)
     0% 1 0 2 0.5 1.35 55.13
    11% 1 5.85 2 0.5 1.35 49.28
    42% 1 23.39 2 0.5 1.35 31.74
    100%  1 55.13 2 0.5 1.35 0
  • FIG. 7 shows F3NO formation changes (FTIR signals) with N2 feedings and N2 feeding amount (1st N2)_%). Without N2 feeding, F3NO was generated. With the increase of the ratio of 1st N2 feeding to total N2 feeding, F3NO formation was getting less and less and almost nil when 1st N2 feeding reached 100%. Thus, adding N2 is beneficial for reducing F3NO formation.
  • Example 6 Etching Effects with On-Site Mixing Produced F3NO-Free FNO
  • The etching effects were done on SiN films using on-site mixing produced F3NO-free FNO as etching gas.
  • Etching Effect of 1st F2 Feeding
  • F2 was fed by two-steps, as shown in FIG. 5(b). Ratios of 1st F2 to 2nd F2 varied in order to produce FNO and various mixtures of FNO and F2 for etching SiN films. Etching conditions are as follows. Pressure was 20 Torr; Temperature was 70° C., Etching time was 2 min, Total flow rate was 1 slm fixed; Etching composition concentrations: FNO/F2=1.48; FNO was 3.42% fixed, F2 was 2.31% fixed; total F2 was 40.2 sccm. Four SiN samples (1, 2, 3 and 4) were etched with different 1st F2 feeding amounts. A total of seven SiN films, listed in Table 3 were used for various etching tests.
  • TABLE 3
    SiN film samples
    1st Total F2 FNO Etch time
    Sample F2/total F2 (sccm) (%) (min)
    1 43% 40.2 3.42 2
    2 57% 40.2 3.42 2
    3 72% 40.2 3.42 2
    4 100%  40.2 3.42 2
    5 43% 115.2 9.80 2
    6 43% 40.2 3.42 5
    7 100%  40.2 3.42 5
  • FIG. 8 are FTIR signals and etch rates after SiN etched with FNO and F2 gas mixture with different 1st F2 feeding amounts. Clearly, more F3NO generated by more 1st F2 feeding leads to higher SiN etch rates, but not uniform etching results on SiN film surface (not shown). Sample 1, with 43% 1st F2 feeding, had the lowest amount of F3NO; Samples 2 and 3, with 57% and 72% 1st F2 feedings, had F3NO gradually increasing. Sample 4 with 100% 1st F2 feeding, which had no 2nd F2 feeding meaning one step of F2 mixing process, has the highest amount of F3NO. For the four samples, etch rates were increased with the increase of F3NO. Sample 1 has the lowest F3NO formation and good etching surface (not shown) comparing to the other three samples and the original SiN film. Thus, less to no F3NO impurity in FNO or less to no F3NO impurity in the gas mixture of FNO and F2 benefits etching performance.
  • Etching Effect of N2 Feeding
  • Etching conditions are as follows. Pressure was 20 Torr; Temperature was 70° C.; Etching time was 2 min, Total flow rate was 1 slm fixed; Etching composition concentrations: FNO/F2=1.48; FNO was 3.42% fixed, F2 was 2.31% fixed; total N2 was 942.7 sccm. N2 was fed by 2 steps, as shown in FIG. 5(a) and FIG. 5(c). Ratios of 1st to 2nd N2 varied to produce FNO and a mixture of FNO and F2 to etch SiN films. As shown in FIG. 9, without N2 dilution, F3NO formed. Thus, N2 dilution for F2/NO reaction reduces F3NO formation.
  • Etching Effect of FNO and F2 Concentrations
  • Etching composition contained FNO and F2. FNO concentration was varied from 3.42% to 9.80%. F2 concentration was varied from 2.31% to 6.62%. Etching conditions are as follows. Pressure was 20 Torr; Temperature was 70° C.; Etching time was 2 min, Total flow rate was 1 slm fixed; Etching composition concentrations: FNO/F2=1.48 with 1st F2 feeding amounts of 43% of total F2.
  • As shown in FIG. 10, increasing FNO concentration does not increase F3NO amount referring to Samples 1 and 5 in Table 3. The increase of SiN etch rate for Sample 5 is due to higher concentration of FNO than that of Sample 1. The etching surface colour for Sample 5 is quite different from Sample 1 (not shown), meaning low concentration of FNO and F2 benefits the etching performance.
  • Effect of Etch Time
  • Etching conditions are as follows. Pressure was 20 Torr; Temperature was 70° C.; Total flow rate was 1 slm fixed; Etching composition concentrations: FNO/F2=1.48; FNO was 3.42% fixed, F2 was 2.31% fixed; total F2 was 40.2 sccm. Etch time varied from 2 to 5 mins. Two steps F2 mixing method, as shown in FIG. 5(b), was applied to form gas mixture of FNO/F2/N2.
  • Referring to FIG. 11 and Table 3, samples 1 and 6 with 43% 1st F2 had low F3NO; samples 4 and 7 with 100% 1st F2 had high F3NO. As shown, no effect of etch time within 5 mins on the FNO and F3NO concentrations.
  • Example 7 Material Compatibility for Cylinder to Store FNO and for Line Components at High Pressure
  • Material compatibility tests included testing the material compatibility between etching gas mixture FNO/F2/N2 with the storage cylinder 106 and the components in high-pressure zone 102 shown in FIG. 2, e.g., cylinder valve 108, pipeline 110, valve 112, pressure sensor 114 and pressure regulator 116.
  • The tested samples were HASTELLOY® C-22®, NiP, stainless steel gasket (such as stainless steel 316L (SS316L)) and Ni gasket at pressure 0.99 MPa.
  • XPS results show F-penetration up to 12000 Å in a vessel made of SS316L material. Thus, SS316L material may not be compatible with the etching gas mixture FNO/F2/N2.
  • XPS results show F-penetration up to approximately 6000 Å in a vessel made of HASTELLOY® C-22® material. Material HASTELLOY® C-22® is better than SS316L.
  • XPS results show F-penetration less than approximately 50 Å in a vessel made of NiP coated steel material. Thus, NiP coated steel material is compatible with the etching gas mixture FNO/F2/N2.
  • XPS results show F-penetration less than approximately 800 Å in a vessel made of nickel material. Although nickel material is not as good as NiP coated steel material, nickel material is somewhat compatible with the etching gas mixture FNO/F2/N2.
  • In summary, in the high-pressure zone (e.g., 0.99 MPa), NiP coated steel is good for making cylinder body. Pure nickel or nickel alloys may be used for cylinder valve. Other line components (e.g., pressure regulator, valves, gas filter, piping) in high-pressure zone may use nickel alloys, such as, HASTELLOY® C-22® including MONEL® or INCONEL®, which contain high Ni content may be preferred. Passivation process with F2 or FNO may be applied in the high-pressure zone. The passivation process includes a process that elevates pressure gradually.
  • Example 8 Material Compatibility Tests for Line Components at Low-Pressure
  • Material compatibility tests also included testing the material compatibility between etching gas mixture FNO/F2/N2 and the components in low-pressure zone 104 shown in FIG. 2, e.g., pressure sensor 120, pipeline 130, valves 122 and 124.
  • SS316L & Ni Material Compatibility
  • The vessels used herein were Ni vessels each containing a Ni gasket sample and one or two SS gasket (i.e., SS316L gasket) samples. The samples were tested at 0.50 MPa with the etching gas F3NO-free FNO/F2/N2 in periods of 17 days and 21 days.
  • SS samples were covered with particles and corrosion was observed when exposed to F3NO free FNO/F2/N2. Thus, SS sample is not compatible with F3NO-free FNO/F2/N2 even at low-pressure. No corrosion was observed on the nickel samples.
  • For FNO-only, SS sample was found compatible with FNO-only at low-pressure with no observed corrosion however for F3NO-free FNO/F2/N2 it was found not as compatible in the low pressure zone. However, after passivation using F2 or FNO, SS sample may be compatible with the etching gas F3NO-free FNO/F2/N2 in the low-pressure zone. Alternatively, if the etching gas does not contains F2, SS is suitable for making the line components in the low-pressure zone.
  • FNO and F2 with Low Level of F3NO or F3NO-Free
  • Two SS samples were installed in each of three vessels, respectively, at 0.5 MPa for 20 days. One vessel was fed with FNO-only, the other two were fed with the gas mixture of 15% F3NO-free FNO and 10% F2 in N2 and half concentration of the gas mixture of 15% F3NO-free FNO and 10% F2 in N2, for comparison. Even with F3NO-free, the gas mixture of 15% F3NO-free FNO and 10% F2 in N2 resulted in corrosion on SS316L at 0.5 MPa, but no corrosion with FNO only on SS316L surface. SS316L is not compatible with the gas mixture of 15% F3NO-free FNO and 10% F2 in N2. Thus, F2 or FNO passivation in low-pressure zone for F3NO-free F2/FNO/N2 is needed. SS316L may be compatible with the etching gas F3NO-free FNO/F2/N2 after F2 or FNO passivation. SS316L may be compatible with the gas mixture of FNO and N2 without F2.
  • Example 9 Material Compatibility Summary
  • The material compatibility test conditions and results for both high pressure and low-pressure zones are listed in Table 4. In summary, high content nickel materials including NiP coated steel, pure nickel or nickel alloys, may be compatible with high-pressure zone. SS316L is compatible with FNO and N2 gas mixture in the low-pressure zone. However, with F2 or FNO passivation, SS316L may be compatible with FNO/F2/N2 gas mixture in the low-pressure zone. Furthermore, metals, metal alloys without nickel content or metal alloys with high nickel content or low nickel content may compatible with the low-pressure zone.
  • TABLE 4
    Material compatibility summary
    F2 (%) 10.5 10.5 10.5 5.3 10.5 5.3 0
    FNO (%) 14.5 14.5 14.5 7.3 14.5 7.3 14.5
    F3NO Exist Exist Exist Exist free free free
    Pressure (MPa) 0.99 0.99 0.50 0.50 0.50 0.50 0.50
    Total duration (days) 7 21 21 43 20 20 20
    Non-coated steel C C
    SS316L C C  C  C  C  A 
    NiP coated steel A A* A* A* A* A*
    Nickel B B  B* B* B* B*
    HASTELLOY ® B B* B* B* B* B*
    C-22 ®
  • Note in Table 4, “A” means excellent compatibility or good to use; “A*” means excellent compatibility or good to use but actual tests were not done; “B” means acceptable with limitations or limited; “B*” means acceptable with limitations or limited but actual tests were not done; “C” means poor or not compatible; “-” means no actual tests. The non-coated steel may be any type of steel with a NiP coating on the surface, such as Mn-steel. The SS316L contains up to 14% nickel.
  • Example 10 Stability (Shelf Life) Test
  • A 10 L size NiP coated steel cylinder and a Ceodeux D306 Ni body Ni diaphragm cylinder valve were used for stability test. The cylinder was pre-treated with vacuum baking first and then passivated with F2. 15% FNO/N2 by mixing F2, NO and N2 as described in Example 3 was filled to the 10 L size NiP coated steel cylinder at 0.99 MPa(G). The shelf life test was done by monitoring FNO and impurities (NO2, HF, F3NO) with FT-IR for 6 months. The etching performance test was done by periodically checking SiN etch rate for 6 months and the stability of the product was confirmed up to 6 months in terms of composition and SiN etching performance.
  • FIG. 12 is the results of monitoring of different composition by FT-IR. FIG. 13 is the results of monitoring of etching performance over time. The etching performance was done with the etching gas of 20% F2 and 1% FNO at temperature 100° C., pressure 20 Torr. The etching time was 1 min. The results from FIG. 12 and FIG. 13 show no significant concentration changes on FNO and impurities and no significant etching performance changes, meaning that 6-month stability is solid and long-term stability is promising.
  • Example 11 Storage and Supply Packaging for F3NO-Free FNO-Containing Gas
  • Referring to FIG. 2, a packaging for storage and supply of F3NO-free FNO-containing gas for thermal and plasma dry etching applications or the like in semiconductor industry may include a NiP coated steel cylinder for storage of F3NO-free FNO-containing gas. The NiP coated steel cylinder may be a carbon steel cylinder made of alloy 4130X with an internal surface coating of nickel plating (NiP) and a polished surface of NiP coating. The supply packaging further include a nickel cylinder valve for controlling delivery of the F3NO-free FNO-containing gas from the NiP coated steel cylinder to a manifold assembly that has a high-pressure zone and a low-pressure zone divided by a pressure regulator. Line components in the high-pressure zone are made of high nickel content material/alloy having at least 14% nickel by weight. The line components in the high-pressure zone include pressure regulator, valves, gas filter, piping, pressure sensors, or the like. The high nickel content alloy may be MONEL®, INCONEL®, HASTELLOY® C-22® or the like. The high-pressure zone may be passivated with F2 or FNO with gradually increasing the pressure. Line components in the low-pressure zone may be made of any metal or any metal alloy including high nickel content material/alloy, low nickel content material/alloy or no nickel content material/alloy, for example, stainless steel. The low-pressure zone may be passivated with F2 or FNO.
  • With pre-synthesized F3NO-free FNO (F3NO impurity is less than 1%) on-site, FNO and N2 may be mixed in situ to produce F3NO-free FNO/N2 gas mixture with various concentrations of FNO in N2. Thus, F3NO-free FNO gas may be diluted in N2 and stored in the NiP coated steel cylinder. The concentration of FNO in the mixture of F3NO-free FNO/N2 may range from approximately 0.01% to approximately 80%. Preferably, the concentration of FNO in the mixture of F3NO-free FNO/F2/N2 may range from approximately 0.01% to approximately 30%. In one embodiment, the concentration of FNO in the mixture of F3NO-free FNO/N2 is approximately 3%. In another embodiment, the concentration of FNO in the mixture of F3NO-free FNO/N2 is approximately 15%.
  • With pre-synthesized F3NO-free FNO (F3NO impurity is less than 1%) on-site, FNO and F2 may be mixed in situ to produce F3NO-free FNO/F2/N2 gas mixture with various concentrations of FNO and F2 in N2. The concentration of FNO in the mixture of F3NO-free FNO/F2/N2 may range from approximately 0.01% to approximately 80% and the concentration of F2 in the mixture of F3NO-free FNO/F2/N2 may range from approximately 0% (no F2) to approximately 80%. Preferably, the concentration of FNO in the mixture of F3NO-free FNO/F2/N2 may range from approximately 0.01% to approximately 30% and the concentration of F2 in the mixture of F3NO-free FNO/F2/N2 may range from approximately 0% to approximately 20%.
  • In one embodiment, the concentration of FNO in the mixture of F3NO-free FNO/F2/N2 is approximately 15% and the concentration of F2 in the mixture of F3NO-free FNO/F2/N2 is approximately 10%. F3NO-free FNO gas may be diluted in N2 and stored in the NiP coated steel cylinder first. Then either pure F2 or diluted F2 in N2 is mixed with the diluted F3NO-free FNO producing F3NO-free approximately 15% FNO and approximately 10% F2 in N2 gas mixture for use as etching gas in semiconductor applications. The produced F3NO-free approximately 15% FNO and approximately 10% F2 in N2 gas mixture may be stored in the NiP coated steel cylinder. The advantages of supplying pre-synthesized F3NO-free FNO for producing the gas mixture of F3NO-free FNO/F2/N2 are i) no exothermic reaction by mixing FNO and F2; ii) less to no impurity F3NO generated; iii) better reproducibility of etching performance shown in the above examples.
  • Alternatively, the F3NO-free FNO/F2/N2 gas mixture may be produced in situ by mixing NO (purity at least 99.9%) and F2 gases with two-step F2 mixing method as described above in Example 3. The produced F3NO-free FNO/F2/N2 gas mixture may be stored in a NiP coated steel cylinder for use as etching gas or other purposes in semiconductor applications. The advantages of producing F3NO-free FNO/F2/N2 gas mixture by mixing NO and F2 is the concentration of FNO in the F3NO-free FNO/F2/N2 gas mixture may be adjustable depending on requirements of etching applications.
  • It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or the attached drawings.
  • While embodiments of this invention have been shown and described, modifications thereof may be made by one skilled in the art without departing from the spirit or teaching of this invention. The embodiments described herein are exemplary only and not limiting. Many variations and modifications of the composition and method are possible and within the scope of the invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but is only limited by the claims which follow, the scope of which shall include all equivalents of the subject matter of the claims.

Claims (20)

1. A system for storage and supply of a F3NO-free FNO-containing gas, the system comprising:
a NiP coated steel cylinder with a polished inner surface, configured and adapted to store the F3NO-free FNO-containing gas;
a cylinder valve, in fluid communication with the cylinder, configured and adapted to release the F3NO-free FNO-containing gas from the cylinder; and
a manifold assembly, comprising a pressure regulator and line components, downstream of the cylinder valve, configured and adapted to deliver the F3NO-free FNO-containing gas to a target reactor;
wherein the pressure regulator is configured and adapted to de-pressurize the F3NO-free FNO-containing gas in the manifold assembly so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator.
2. The system of claim 1, wherein F3NO-free FNO contained in the F3NO-free FNO-containing gas has less than approximately 1% F3NO by volume.
3. The system of claim 2, wherein the F3NO-free FNO-containing gas is selected from the group consisting of F3NO-free FNO gas, a mixture of the F3NO-free FNO gas with an inert gas, a mixture of the F3NO-free FNO gas with an additional gas and a mixture of the F3NO-free FNO gas with the inert gas and the additional gas.
4. The system of claim 3, wherein the inert gas is N2, Ar, He, Ne, Kr, Xe, or mixtures thereof.
5. The system of claim 4, wherein the additional gas is selected from the group consisting of F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, and combination thereof.
6. The system of claim 5, wherein the F3NO-free FNO-containing gas is a gas mixture of F3NO-free FNO gas, F2 and N2 for etch films.
7. The system of claim 1, wherein the cylinder valve, the pressure regulator and line components in the first pressure zone are made of nickel containing material having at least 14% nickel by weight.
8. A method for storage and supply of a F3NO-free FNO-containing gas, the method comprising the steps of:
storing the F3NO-free FNO-containing gas in a NiP coated steel cylinder with a polished inner surface;
releasing the F3NO-free FNO-containing gas from the cylinder to a manifold assembly by activating a cylinder valve in fluid communication with the cylinder and the manifold assembly;
de-pressurizing the F3NO-free FNO-containing gas by activating a pressure regulator in the manifold assembly so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator; and
feeding the de-pressurized F3NO-free FNO-containing gas to a target reactor downstream of the second pressure zone.
9. The method of claim 8, further comprising the step of producing F3NO-free FNO contained in the F3NO-free FNO-containing gas by mixing NO and F2 gases at a ratio of F2 gas to NO gas less than or equal to ½ and a purity of NO gas at least 99.9% by volume, wherein the produced F3NO-free FNO contains less than approximately 1% F3NO by volume.
10. The method of claim 9, wherein the F3NO-free FNO-containing gas is a gas mixture of F3NO-free FNO gas, F2 and N2 for etch films produced by the steps of
mixing the produced F3NO-free FNO gas with an additional amount of F2 to produce the gas mixture of the F3NO-free FNO gas and F2; and
diluting the gas mixture of the F3NO-free FNO gas and F2 in N2 to form the gas mixture of F3NO-free FNO gas, F2 and N2.
11. The method of claim 8, further comprising the step of
passivating the manifold assembly with F2 or FNO.
12. The method of claim 8, wherein the cylinder valve, the pressure regulator and line components in the first pressure zone are made of nickel containing material having at least 14% nickel by weight.
13. An etching system, the system comprising
a reactor, configured and adapted to provide a film to be etched therein, the film being on a substrate held inside the reactor or on the inner surface of the reactor;
a NiP coated steel cylinder, configured and adapted to store a pressurized etching gas F3NO-free FNO;
a cylinder valve, in fluid communication with the cylinder, configured and adapted to release the etching gas F3NO-free FNO from the NiP coated steel cylinder; and
a manifold assembly, comprising a pressure regulator and line components, downstream of the cylinder valve, configured and adapted to deliver the etching gas F3NO-free FNO to the reactor;
wherein the pressure regulator in the manifold assembly is configured and adapted to de-pressurize the etching gas F3NO-free FNO so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator.
14. The etching system of claim 13, wherein the F3NO-free FNO gas contains less than approximately 1% F3NO by volume.
15. The etching system of claim 13, further comprising a first gas line parallel to the manifold assembly, the first gas line feeding an additional etching gas to the NiP coated steel cylinder or the etching chamber, wherein the additional etching gas is selected from the group consisting of F2, HF, cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, SO2, NO, O2, CO2, CO, NO2, N2O, O3, Cl2, H2, HBr, and combination thereof, to form a first etching composition with F3NO-free FNO gas.
16. The etching system of claim 15, further comprising a second gas line for feeding an inert gas to the NiP coated steel cylinder or the first pressure zone of the manifold assembly, wherein the F3NO-free FNO gas is mixed with the inert gas to produce a diluted F3NO-free FNO gas, wherein the inert gas is N2, Ar, He, Ne, Kr, Xe, or mixtures thereof, to form a second etching composition with F3NO-free FNO gas and the additional etching gas.
17. The etching system of claim 16, wherein the second etching composition is a gas mixture of F3NO-free FNO gas, F2 and N2.
18. The etching system of claim 13, wherein the cylinder valve, the pressure regulator and the line components in the first pressure zone are made of nickel containing material having 14% nickel by weight.
19. The etching system of claim 13, wherein the line components in the second pressure zone is made of a metal or a metal alloy.
20. A gaseous composition for semiconductor applications, the gaseous composition comprising
F3NO-free FNO gas containing less than approximately 1% F3NO impurity by volume; and
an inert gas being capable of suppressing the concentration of F3NO impurity in the F3NO-free FNO gas.
US16/227,623 2018-12-20 2018-12-20 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes Abandoned US20200203127A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US16/227,623 US20200203127A1 (en) 2018-12-20 2018-12-20 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
EP19898976.6A EP3900021A4 (en) 2018-12-20 2019-12-12 SYSTEMS AND METHODS FOR STORAGE AND SUPPLY OF FNO-FREE FNO GASES AND 
F3 NO-FREE FNO GAS MIXTURES FOR SEMICONDUCTOR PROCESSES
CN201980076275.4A CN113056811A (en) 2018-12-20 2019-12-12 F-free for storing and supplying for semiconductor processing3FNO gas of NO and F-free3System and method for FNO gas mixture of NO
JP2021534699A JP7187700B2 (en) 2018-12-20 2019-12-12 System and method for storage and delivery of F3NO-free FNO gas and F3NO-free FNO gas mixtures for semiconductor processing
PCT/US2019/065915 WO2020131556A1 (en) 2018-12-20 2019-12-12 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
KR1020217022223A KR102560205B1 (en) 2018-12-20 2019-12-12 Systems and methods for storage and supply of FNO-free FNO gases and FNO-free FNO gas mixtures for semiconductor processing
US17/575,049 US20220208517A1 (en) 2018-12-20 2022-01-13 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/227,623 US20200203127A1 (en) 2018-12-20 2018-12-20 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/575,049 Division US20220208517A1 (en) 2018-12-20 2022-01-13 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Publications (1)

Publication Number Publication Date
US20200203127A1 true US20200203127A1 (en) 2020-06-25

Family

ID=71097745

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/227,623 Abandoned US20200203127A1 (en) 2018-12-20 2018-12-20 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US17/575,049 Pending US20220208517A1 (en) 2018-12-20 2022-01-13 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/575,049 Pending US20220208517A1 (en) 2018-12-20 2022-01-13 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Country Status (6)

Country Link
US (2) US20200203127A1 (en)
EP (1) EP3900021A4 (en)
JP (1) JP7187700B2 (en)
KR (1) KR102560205B1 (en)
CN (1) CN113056811A (en)
WO (1) WO2020131556A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220208517A1 (en) * 2018-12-20 2022-06-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US11588106B2 (en) * 2019-03-01 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to effectively suppress heat dissipation in PCRAM devices

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230154189A (en) * 2021-03-09 2023-11-07 가부시끼가이샤 레조낙 Etching gas and etching method
WO2023195422A1 (en) * 2022-04-05 2023-10-12 株式会社レゾナック Method for storing fluorine-containing nitrogen compound
WO2023195421A1 (en) * 2022-04-05 2023-10-12 株式会社レゾナック Method for storing fluorine-containing nitrogen compound

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2504867A (en) * 1948-06-22 1950-04-18 Air Reduction Method of arc welding
US4869301A (en) * 1988-03-05 1989-09-26 Tadahiro Ohmi Cylinder cabinet piping system
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5149566A (en) * 1988-09-27 1992-09-22 Courtaulds Coatings Limited Metal plating process
US5199609A (en) * 1991-09-11 1993-04-06 Ash Jr William O Portable dispensing system
US5203843A (en) * 1988-07-14 1993-04-20 Union Carbide Chemicals & Plastics Technology Corporation Liquid spray application of coatings with supercritical fluids as diluents and spraying from an orifice
US20010002581A1 (en) * 1998-09-03 2001-06-07 Kazuyasu Nishikawa System for manufacturing a semiconductor device
US6474077B1 (en) * 2001-12-12 2002-11-05 Air Products And Chemicals, Inc. Vapor delivery from a low vapor pressure liquefied compressed gas
US20050067045A1 (en) * 2003-09-30 2005-03-31 Mcclure Thomas W. Marine engine corrosion prevention system
US20080121548A1 (en) * 2006-09-20 2008-05-29 The Boeing Company Coating for components requiring hydrogen peroxide compatibility
US20090018244A1 (en) * 2005-12-06 2009-01-15 Solvay Solexis, S.P.A. Water-Based Fluoropolymer Composition
US20130112292A1 (en) * 2007-12-06 2013-05-09 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Integrated valve regulator assembly and system for the controlled storage and dispensing of a hazardous material
US20150251133A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
US20160172164A1 (en) * 2013-07-23 2016-06-16 Entegris, Inc. Remote delivery of chemical reagents
US20180226234A1 (en) * 2017-02-09 2018-08-09 Applied Materials, Inc. Plasma abatement technology utilizing water vapor and oxygen reagent
US20190206696A1 (en) * 2017-12-29 2019-07-04 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN SELECTIVE ETCH TO SiO2 WITH NON-PLASMA DRY PROCESS FOR 3D NAND DEVICE APPLICATIONS

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3043662A (en) 1959-08-20 1962-07-10 Du Pont Method for producing nitrogen trifluoride, dinitrogen difluoride and nitrosyl fluoride
GB1054330A (en) 1962-08-15
US4536252A (en) 1985-02-07 1985-08-20 The United States Of America As Represented By The Secretary Of The Army Laser-induced production of nitrosyl fluoride for etching of semiconductor surfaces
US4996035A (en) 1989-02-27 1991-02-26 Mallinckrodt, Inc. Preparation of nitrosyl fluoride
JPH1079385A (en) * 1996-09-04 1998-03-24 Sony Corp Method of forming insulating film
EP1037269A4 (en) * 1998-09-03 2007-05-02 Taiyo Nippon Sanso Corp Feed device for large amount of semiconductor process gas
US6290088B1 (en) * 1999-05-28 2001-09-18 American Air Liquide Inc. Corrosion resistant gas cylinder and gas delivery system
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP3878972B2 (en) 2000-09-25 2007-02-07 東京エレクトロン株式会社 Gas composition for cleaning the interior of a reactor and for etching a film of a silicon-containing compound
US20050006310A1 (en) * 2003-07-10 2005-01-13 Rajat Agrawal Purification and recovery of fluids in processing applications
US20050082002A1 (en) 2003-08-29 2005-04-21 Yuusuke Sato Method of cleaning a film-forming apparatus and film-forming apparatus
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US8252113B2 (en) 2005-03-24 2012-08-28 Ulvac, Inc. Method for producing component for vacuum apparatus, resin coating forming apparatus and vacuum film forming system
US20080236483A1 (en) * 2007-03-27 2008-10-02 Jun Sonobe Method for low temperature thermal cleaning
US8308871B2 (en) * 2008-11-26 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal cleaning gas production and supply system
JP5153898B2 (en) 2010-04-28 2013-02-27 セントラル硝子株式会社 Valve for filling container of halogen gas or halogen compound gas
JP5724438B2 (en) 2010-10-08 2015-05-27 セントラル硝子株式会社 Halogen-containing gas supply apparatus and halogen-containing gas supply method
WO2012046533A1 (en) * 2010-10-08 2012-04-12 セントラル硝子株式会社 Halogen-containing gas supply apparatus and halogen-containing gas supply method
JP5888674B2 (en) * 2012-02-28 2016-03-22 国立大学法人名古屋大学 Etching apparatus, etching method and cleaning apparatus
US9552990B2 (en) * 2012-12-21 2017-01-24 Praxair Technology, Inc. Storage and sub-atmospheric delivery of dopant compositions for carbon ion implantation
JP2014170786A (en) 2013-03-01 2014-09-18 Hitachi Kokusai Electric Inc Cleaning method, method of manufacturing semiconductor device, substrate processing device, and program
JP2014179553A (en) * 2013-03-15 2014-09-25 Nagoya Univ Etching method and etching device
JP6139986B2 (en) * 2013-05-31 2017-05-31 東京エレクトロン株式会社 Etching method
KR101483276B1 (en) * 2014-07-11 2015-01-15 한국종합철관(주) Steel pipe having passive state metals type sheath
JP2017054909A (en) * 2015-09-09 2017-03-16 株式会社Sumco Method for manufacturing epitaxial silicon wafer, vapor-phase growth apparatus and valve
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2504867A (en) * 1948-06-22 1950-04-18 Air Reduction Method of arc welding
US4869301A (en) * 1988-03-05 1989-09-26 Tadahiro Ohmi Cylinder cabinet piping system
US5203843A (en) * 1988-07-14 1993-04-20 Union Carbide Chemicals & Plastics Technology Corporation Liquid spray application of coatings with supercritical fluids as diluents and spraying from an orifice
US5149566A (en) * 1988-09-27 1992-09-22 Courtaulds Coatings Limited Metal plating process
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5199609A (en) * 1991-09-11 1993-04-06 Ash Jr William O Portable dispensing system
US20010002581A1 (en) * 1998-09-03 2001-06-07 Kazuyasu Nishikawa System for manufacturing a semiconductor device
US6474077B1 (en) * 2001-12-12 2002-11-05 Air Products And Chemicals, Inc. Vapor delivery from a low vapor pressure liquefied compressed gas
US20050067045A1 (en) * 2003-09-30 2005-03-31 Mcclure Thomas W. Marine engine corrosion prevention system
US20090018244A1 (en) * 2005-12-06 2009-01-15 Solvay Solexis, S.P.A. Water-Based Fluoropolymer Composition
US20080121548A1 (en) * 2006-09-20 2008-05-29 The Boeing Company Coating for components requiring hydrogen peroxide compatibility
US20130112292A1 (en) * 2007-12-06 2013-05-09 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Integrated valve regulator assembly and system for the controlled storage and dispensing of a hazardous material
US20160172164A1 (en) * 2013-07-23 2016-06-16 Entegris, Inc. Remote delivery of chemical reagents
US20150251133A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
US20180226234A1 (en) * 2017-02-09 2018-08-09 Applied Materials, Inc. Plasma abatement technology utilizing water vapor and oxygen reagent
US20190206696A1 (en) * 2017-12-29 2019-07-04 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN SELECTIVE ETCH TO SiO2 WITH NON-PLASMA DRY PROCESS FOR 3D NAND DEVICE APPLICATIONS

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220208517A1 (en) * 2018-12-20 2022-06-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US11588106B2 (en) * 2019-03-01 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to effectively suppress heat dissipation in PCRAM devices

Also Published As

Publication number Publication date
KR102560205B1 (en) 2023-07-26
EP3900021A1 (en) 2021-10-27
KR20210100186A (en) 2021-08-13
WO2020131556A1 (en) 2020-06-25
CN113056811A (en) 2021-06-29
EP3900021A4 (en) 2022-10-05
JP7187700B2 (en) 2022-12-12
WO2020131556A8 (en) 2021-05-14
JP2022515063A (en) 2022-02-17
US20220208517A1 (en) 2022-06-30

Similar Documents

Publication Publication Date Title
US20220208517A1 (en) Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
CN111512420B (en) SIN to SIO2 selective etching with non-plasma dry process for 3D NAND device applications
JP6997237B2 (en) How to make 3D NAND flash memory
EP3375008B1 (en) Plasma-free etching process
US20170229316A1 (en) Nitrogen-containing compounds for etching semiconductor structures
CN111052318A (en) Chemical process for etching multiple stacked layers
KR20220164590A (en) Mixed gas supply device, metal nitride film manufacturing device, and metal nitride film manufacturing method
TWI824098B (en) Dry etching method, dry etching agent, and storage container thereof
US20230142966A1 (en) Molybdenum precursor compounds
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
TW202240004A (en) High throughput deposition process

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMERICAN AIR LIQUIDE, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:STAFFORD, NATHAN;REEL/FRAME:050402/0562

Effective date: 20190531

Owner name: K.K. AIR LIQUIDE LABORATORIES, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NISHIYAMA, AYAKA;YOKOTA, JIRO;HSU, CHIH-YU;AND OTHERS;SIGNING DATES FROM 20190628 TO 20190814;REEL/FRAME:050403/0209

AS Assignment

Owner name: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE, FRANCE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMERICAN AIR LIQUIDE, INC.;REEL/FRAME:050469/0873

Effective date: 20190911

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

AS Assignment

Owner name: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE, FRANCE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:K.K. AIR LIQUIDE LABORATORIES;REEL/FRAME:056543/0591

Effective date: 20210614

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION