KR102548630B1 - 후면 증착 장치 및 애플리케이션들 - Google Patents

후면 증착 장치 및 애플리케이션들 Download PDF

Info

Publication number
KR102548630B1
KR102548630B1 KR1020220035739A KR20220035739A KR102548630B1 KR 102548630 B1 KR102548630 B1 KR 102548630B1 KR 1020220035739 A KR1020220035739 A KR 1020220035739A KR 20220035739 A KR20220035739 A KR 20220035739A KR 102548630 B1 KR102548630 B1 KR 102548630B1
Authority
KR
South Korea
Prior art keywords
substrate
wafer
deposition
plasma
showerhead
Prior art date
Application number
KR1020220035739A
Other languages
English (en)
Other versions
KR20220041810A (ko
Inventor
김윤상
카우시크 챠토파디아이
그레고리 섹스톤
홍윤기
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220041810A publication Critical patent/KR20220041810A/ko
Priority to KR1020220088448A priority Critical patent/KR20220106093A/ko
Application granted granted Critical
Publication of KR102548630B1 publication Critical patent/KR102548630B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 명세서에 개시된 실시예들은 기판의 전면 또는 후면 상에 응력 보상층들 또는 희생층들을 증착하기 위한 방법들 및 장치에 관한 것이다. 다양한 구현예들에서, 웨이퍼가 정상적인 전면 상향 배향에 있는 동안, 후면 증착이 발생한다. 전면/후면 증착은 웨이퍼의 전면 상의 증착을 통해 도입된 응력을 감소시키도록 수행될 수도 있다. 후면 증착은 또한 포토리소그래피와 같은 증착 후 프로세싱 동안 발생하는 후면 입자 관련 문제들을 최소화하도록 수행될 수도 있다.

Description

후면 증착 장치 및 애플리케이션들{BACK SIDE DEPOSITION APPARATUS AND APPLICATIONS}
반도체 디바이스 제조는 종종 아래에 놓인 웨이퍼 기판 상에 층들의 스택의 증착을 수반한다. 통상적으로, 대부분의 증착 및 디바이스들을 형성하기 위한 다른 프로세싱은 웨이퍼의 전면 상에서 발생한다. 증착된 층들이 구축됨에 따라, 이들은 웨이퍼 내에 응력을 도입할 수 있다. 이 응력은 웨이퍼가 보잉 (bow) 하게 하여, 바람직하지 않다. 보잉이 상당한 경우, 이는 후속 프로세싱 단계들에 유해한 영향을 줄 수도 있다.
반도체 디바이스 제조 동안 발생하는 다른 문제는 증착 후 프로세싱 동안 웨이퍼의 후면 (back side) 상의 입자들의 생성이다. 이들 입자들은 웨이퍼에 영향을 주는 국부적인 응력을 유발할 수 있고, 이는 포토리소그래피 동작들 동안 특히 문제가 된다.
따라서, 웨이퍼 응력 및 웨이퍼의 후면 상의 입자들의 존재와 관련된 문제들을 극복하기 위한 개선된 장치 및 방법들이 필요하다.
본 명세서의 특정한 실시예들은 기판의 후면 상에 막을 증착하기 위한 방법들 및 장치들에 관한 것이다. 이러한 후면 증착은 기판의 전면 (front side) 상의 활성 영역을 접촉하지 않고 발생할 수 있어서, 기판의 전면에 대한 대미지를 방지한다. 후면 증착은 웨이퍼의 전면 상의 재료들의 증착으로 인해 발생하는 응력을 해결하는 맥락에서 유용할 수 있다. 또한, 후면 증착은 기판의 후면 상에, 후면 입자들의 영향을 감소시키는데 유익한, 희생층을 형성하기 위해 유용할 수도 있다. 그렇지 않으면 이러한 후면 입자들은 포토리소그래피 동작들과 같은 민감한 프로세스들에서 문제들을 유발할 수 있다.
본 명세서의 실시예들의 일 양태에서, 기판의 후면 상에 막을 증착하는 방법이 제공되고, 이 방법은, 전면 및 후면을 포함하는 기판을 증착 반응기 (deposition reactor) 에 제공하는 단계로서, 상기 기판의 전면은 전면 증착된 재료를 포함하는 활성 영역을 포함하는, 상기 기판을 제공하는 단계, 상기 기판의 전면 상의 활성 영역이 상기 증착 반응기의 어떤 부분에도 접촉하지 않도록 상기 기판을 상기 증착 반응기 내에 고정시키는 단계, 및 상기 기판의 전면 상에 막을 증착하지 않고 상기 기판의 후면 상에 상기 막을 증착하는 단계를 포함한다.
일부 실시예들에서, 상기 기판을 상기 증착 반응기 내에 고정시키는 단계는, 상기 증착 반응기 내의 지지 구조체 내 또는 지지 구조체 상에 상기 기판을 로딩하는 단계를 포함하고, 상기 기판은 기판의 전면 상의 활성 영역이 전면 갭에 노출되고 상기 기판의 후면이 증착 영역에 실질적으로 노출되도록 로딩된다. 이 방법은 또한 상기 전면 갭을 통해 불활성 가스를 유동시키는 단계를 포함할 수도 있다. 이러한 불활성 가스는 증착 화함물질을 전면 갭 외부에 유지하는 것을 돕고, 따라서 웨이퍼의 전면 상의 증착을 방지한다. 다양한 경우들에서, 상기 기판의 후면 상에 증착된 상기 막은 유전체 막이다. 증착될 유전체 막들의 예들은, 이로 제한되는 것은 아니지만, 실리콘 옥사이드 및 실리콘 나이트라이드를 포함할 수도 있다.
상기 전면 증착된 재료는 특정한 경우들에서 상기 기판을 보잉하도록 (bow) 한다. 상기 기판의 후면 상에 상기 막을 증착하는 단계는 약 150 ㎛ 이하의 보우 (bow) 높이로 상기 기판의 보잉을 감소시키기에 충분한 두께로 상기 막을 증착하는 단계를 포함할 수도 있다. 이들 또는 다른 경우들에서, 상기 기판의 후면 상에 막을 증착하는 단계는 약 150 MPa 이하로 상기 웨이퍼 내의 응력의 크기를 감소시키기에 충분한 두께로 막을 증착하는 단계를 포함할 수도 있다. 예를 들어, 상기 기판의 후면 상에 증착된 막의 두께는 약 2 ㎛보다 작고, 상기 전면 증착된 재료의 두께보다 작을 수도 있다.
특정한 실시예들에서, 상기 기판의 후면 상에 증착된 막은 희생층이다. 상기 희생층은 나중의 프로세싱 단계들 동안 제거될 수도 있다. 일 실시예에서, 이 방법은, 상기 기판의 후면 상에 막을 증착하는 단계 후에, 에칭, 증착, 이온 주입, 플라즈마 세정, 및 습식 세정으로 구성된 그룹으로부터 선택된 하나 이상의 프로세스들을 통해 상기 기판의 전면을 추가로 프로세싱하는 단계를 더 포함하고, 상기 기판의 전면을 프로세싱하는 추가적인 단계는 상기 막 상에 입자의 형성을 발생시키고, 상기 막을 제거하는 단계는 상기 막 상의 상기 입자들을 또한 제거한다. 이 방법은 상기 막이 제거된 후에 상기 기판 상에 포토리소그래피를 수행하는 단계를 더 포함할 수도 있다.
다른 실시예들에서, 이 방법은, 상기 기판의 후면 상에 상기 막을 증착하기 전에, 에칭, 증착, 이온 주입, 플라즈마 세정, 및 습식 세정으로 구성된 그룹으로부터 선택된 하나 이상의 프로세스들을 통해 상기 기판의 전면을 프로세싱하는 단계, 상기 막이 상기 기판의 후면 상에 존재하는 동안 포토리소그래피를 수행하는 단계, 및 포토리소그래피를 수행한 후에 상기 기판의 후면으로부터 상기 막을 제거하는 단계를 더 포함하고, 상기 기판의 전면을 프로세싱하는 단계는 상기 기판의 후면 상에 입자의 형성을 발생시키고, 상기 기판의 후면 상에 상기 막을 증착하는 단계는 상기 기판의 후면 상의 입자들을 상기 막으로 커버하도록 발생된다.
개시된 실시예들의 다른 양태에서, 상기 기판의 후면 상에 막을 증착하기 위한 장치가 제공되고, 이 장치는, 반응 챔버; 상기 기판의 전면 상의 활성 영역이 상기 반응 챔버의 어떤 부분에도 접촉하지 않도록, 그리고 상기 기판의 후면이 실질적으로 노출되도록, 상기 기판의 주변부 또는 상기 기판의 주변부 가까이에서 상기 기판을 지지하도록 구성된 상기 반응 챔버 내의 기판 지지 메커니즘; 기판 존재시, 상기 기판의 후면에 의해 일 측면 상에 규정된 증착 영역; 기판 존재시, 상기 기판의 상기 전면에 의해 일 측면 상에 규정된 전면 갭; 상기 전면 갭으로 가스를 제공하기 위한 상단면 유입부; 및 상기 증착 영역에 가스를 제공하기 위한 증착 영역 유입부를 포함한다.
이 장치는 상기 기판의 전면 상에 막을 증착하지 않고 상기 기판의 후면 상에 막을 증착하기 위한 인스트럭션들을 포함하는 제어기를 더 포함할 수도 있다. 예를 들어, 제어기는 증착 영역 유입부를 통해 하나 이상의 반응 가스들을 유동시키고, 상단면 유입부를 통해 불활성 가스를 유동시키기 위한 인스트럭션들을 가질 수도 있다. 제어기는 또한 상기 기판 지지 메커니즘 및/또는 상기 기판 지지 메커니즘 위의 표면을 이동시킴으로써 전면 갭의 높이을 제어하기 위한 인스트럭션들을 가질 수도 있다. 이들 및 다른 경우들에서, 상기 반응 챔버의 하나 이상의 부품들은, 상기 전면 갭의 높이 및/또는 상기 증착 영역의 높이가 변할 수 있도록 이동가능할 수도 있다.
상기 기판 지지 메커니즘은, 일부 경우들에서 지지 링을 포함할 수도 있다. 다른 경우들에서, 복수의 페그들 (pegs) 이 기판 지지 메커니즘으로서 사용될 수도 있다.
개시된 실시예들의 다른 양태에서, 멀티 툴 반도체 프로세싱 장치로서, 기판의 전면 상에 재료를 증착하기 위한 전면 모듈; 상기 기판의 전면 상의 활성 영역을 실질적으로 접촉하지 않고 상기 기판의 후면 상에 재료를 증착하기 위한 후면 모듈로서, 상기 후면 모듈은 가스 상 반응물질들을 전달하도록 구성되는, 상기 후면 모듈; 및 상기 전면 모듈 내에서 상기 기판의 전면 상에 재료를 증착하기 위한 인스트럭션, 상기 후면 모듈로 상기 기판을 이송하기 위한 인스트럭션, 및 상기 기판의 전면 상에 막을 동시에 증착하지 않고 상기 기판의 후면 상에 재료를 증착하기 위한 인스트럭션을 포함하는, 제어기를 포함하는, 멀티 툴 반도체 프로세싱 장치가 제공된다. 이 멀티 툴 반도체 프로세싱 장치는 상기 기판을 에칭하기 위한 에칭 모듈을 더 포함할 수도 있다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1a는 웨이퍼의 후면 상에 막을 증착하는 방법의 흐름도를 나타낸다.
도 1b는 특정한 실시예들에 따라 전면 응력 보상층을 갖는 기판의 단면도를 도시한다.
도 2a는 웨이퍼 상에 증착된 재료들의 스택을 갖는 보잉된 웨이퍼를 예시한다.
도 2b는 웨이퍼의 후면 상에 재료의 층의 증착 후에, 도 2a에 도시된 웨이퍼를 예시한다.
도 3a 및 도 3b는 희생 후면층을 활용하는 특정한 실시예들에 따른 제조 동안 다양한 지점들에서 반도체 기판의 일부의 단면도를 도시한다.
도 4a는 특정한 실시예들에 따른 웨이퍼의 후면 상에 재료를 증착하기 위해 사용될 수도 있는 반응 챔버의 단순화된 도면을 도시한다.
도 4b는 도 4a에 도시된 후면 증착 장치의 일부의 확대도를 도시한다.
도 5는 특정한 실시예들에 따라 웨이퍼의 후면 상에 재료를 증착하기 위해 사용될 수도 있는 반응 챔버의 단순화된 단면도를 도시한다.
도 6은 일부 실시예들에서 사용될 수도 있는 에칭 챔버의 단순화된 단면도를 예시한다.
도 7은 특정한 실시예들에서 사용될 수도 있는 스트립핑/제거 챔버의 단순화된 단면도를 도시한다.
도 8은 특정한 실시예들에 따라, 웨이퍼의 전면 및 후면 상에 재료를 증착 및 웨이퍼를 에칭하기 위해 사용될 수도 있는 멀티 툴 장치의 상면도를 도시한다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 서로 교환가능하게 사용된다. 본 기술 분야의 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 상에서의 집적 회로의 제조의 다수의 스테이지들 중 임의의 것 동안의 실리콘 웨이퍼를 지칭한다는 것을 이해할 것이다. 반도체 디바이스 산업 분야에서 사용되는 웨이퍼 또는 기판은 전형적으로 200 ㎜, 또는 300 ㎜의 직경을 갖지만, 산업은 450 ㎜ 직경 기판들을 채택하는 것으로 이동하고 있다. 본 명세서의 기술은 웨이퍼 기판의 상이한 측면들을 기술하기 위해 용어들 "전면" 및 "후면"을 사용한다. 전면은 대부분의 증착 및 프로세싱이 발생하는 면이고, 반도체 디바이스들 자체가 제조되는 면이라는 것이 이해된다. 후면은, 통상적으로 제조 동안 최소의 프로세싱을 겪거나 프로세싱을 겪지 않는, 웨이퍼의 대향 측면이다.
본 명세서에 제공된 플로우 레이트들 및 전력 레벨들은, 달리 규정되지 않는 한, 300 ㎜ 기판 상에서의 프로세싱에 적합하다. 당업자는 이들 플로우 레이트들 및 전력 레벨들이 다른 크기들의 기판들에 대해 필요에 따라 조정될 수도 있다는 것을 인식할 것이다. 이하의 상세한 기술은 본 발명이 웨이퍼 상에서 구현되는 것을 가정한다. 그러나, 본 발명은 그렇게 제한되지 않는다. 워크피스는 다양한 형상들, 크기들 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 발명의 장점을 취할 수 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다.
이하의 기술에서, 다수의 구체적인 상세들은 제시된 실시예들의 전체적인 이해를 제공하기 위해 언급되었다. 개시된 실시예들은 이들 구체적인 상세들의 전부 또는 일부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 개시된 실시예들로 제한하도록 의도되지 않는다는 것이 이해될 것이다.
방법들
상기에 논의된 바와 같이, 웨이퍼 기판의 전면 상의 재료들의 증착은 웨이퍼 내에 응력 및 보잉 문제들을 야기할 수 있다. 이들 문제들은 특히, 재료들의 많은 스택들이 증착될 때, 예를 들어, 3D-NAND 디바이스들의 맥락에서 잘 발생한다. 웨이퍼 보잉은 후속하는 프로세싱 단계들에서 문제들을 유발할 수 있다. 예를 들어, 보잉이 너무 크면, 웨이퍼가 정확하게 척킹하는 (chuck) 것을 실패할 수도 있다. 또한, 특정한 프로세싱 단계들 (예를 들어, 포토리소그래피) 은 매우 정밀하고, 웨이퍼가 프로세싱시 실질적으로 편평하지 않을 때 불량한 결과들을 생성한다.
응력 및 보잉 문제들을 해결하기 위한 일 기법은 웨이퍼의 후면 상에 막을 증착하는 것이다. 후면 막은 보잉을 나타내지 않는 (또는 실질적으로 보잉하지 않은, 예를 들어, 약 150 ㎛ 미만의 보잉) 중립 응력 (또는 실질적으로 중립 응력, 예를 들어, 약 +/- 150MPa 미만) 웨이퍼를 생성하도록 전면 증착으로부터의 응력에 대응한다. 전면 상에 증착된 막이 신장성 (tensile) 이면, 전체 응력을 상쇄시키기 위해 후면 막 또한 신장성이어야 한다. 유사하게, 전면 막이 압축성 (compressive) 이면, 후면 막 또한 압축성이어야 한다. 후면 막은 다양한 반응 메커니즘들 (예를 들어, CVD (chemical vapor deposition), (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), LPCVD (low pressure chemical vapor deposition), 등) 을 통해 증착될 수도 있다. 다양한 경우들에서, 이 반응 타입에서 고 증착 레이트가 달성되기 때문에 PECVD가 사용된다.
특정한 증착 파라미터들은 목표된 응력 레벨을 갖는 후면 막을 생성하기 위해 튜닝될 수도 있다. 이들 증착 파라미터들 중 하나는 증착된 후면 막의 두께이다. 보다 두꺼운 막들은 웨이퍼 내에 보다 많은 응력을 도입하는 반면, (동일한 조성 및 동일한 조건들 하에서 증착된) 보다 얇은 막들은 웨이퍼 내에 보다 적은 응력을 도입한다. 따라서, 후면 층을 형성하는데 소비된 재료의 양을 최소화하기 위해, 이 층은 높은 응력의 막의 형성을 촉진하는 조건들 하에서 상대적으로 얇게 증착될 수도 있다.
후면 (또는 전면) 막에 의해 유도된 응력에 영향을 주도록 조정될 수도 있는 다른 파라미터들은 플라즈마가 생성되는 전력 (예를 들어, RF 전력), 플라즈마의 RF 주파수, 플라즈마의 노출 시간, 기판 및 반응 챔버의 온도, 반응 챔버 내의 압력, 불활성 가스의 플로우, 반응물질들의 조성, 등을 포함한다. 본 문단은 상이한 증착 조건들 하에서 실리콘 나이트라이드 막에서 관찰되는 변화들에 관한 것이다. 플라즈마를 생성하기 위해 사용된 RF 전력의 고주파수 (HF, 예를 들어, 약 13.5 ㎒) 성분이 증가함에 따라, 막의 신장 응력 응답은 증가하고, 압축 응력 응답은 실질적으로 변화가 없는 것을 보여준다. 예시적인 HF RF 주파수들은 약 13.56 내지 60 ㎒의 범위일 수도 있다. 예시적인 HF RF 전력들은 약 0 내지 2500 Watts/스테이션의 범위일 수도 있다. 플라즈마를 생성하기 위해 사용된 RF 전력의 저주파수 (LF, 예를 들어, 약 356 ㎑) 성분이 증가함에 따라, 막의 신장 응력 응답은 감소하고, 압축 응력 응답은 증가한다. 예시적인 LF RF 주파수들은 약 200 ㎑ 내지 4 ㎒의 범위일 수도 있다. 예시적인 LF 전력들은 약 0 내지 2500 Watts/스테이션의 범위일 수도 있다. 다양한 경우들에서, LF + HF 전력들은 함께 약 0 내지 2500 Watts/스테이션의 범위일 수도 있다. 플라즈마 노출 시간 및/또는 듀티 사이클이 증가함에 따라, 응력 응답은 사용된 주파수 및 수반된 막 응력의 타입에 따라 상기에 나타낸 바와 같이 변할 수도 있다. 예시적인 RF 노출 시간들은 발생하는 증착 타입에 따른다. 예를 들어, PECVD는 비교적 긴 시간 기간들 동안 플라즈마로의 노출을 수반하지만, PEALD는 훨씬 짧은 시간 기간들 동안 플라즈마로의 노출을 수반한다. 증착 동안 기판의 온도가 상승하기 때문에, 막의 신장 응력 및 압축 응력 양자가 증가한다. 예시적인 기판 온도 및 챔버 온도는 또한 증착 프로세스에 따르지만, 약 20 내지 400℃일 수도 있다. 증착 동안 반응 챔버 내의 압력이 상승함에 따라, 막의 신장 응력 응답은 증가하고, 막의 압축 응력 응답은 감소한다. 예시적인 챔버 압력은 약 1 내지 4 Torr의 범위이다. 증착 동안 반응 챔버로 전달된 불활성 가스 플로우가 증가함에 따라, 신장 응력 응답은 변화를 보이지 않고, 압축 응력 응답은 증가한다. 불활성 가스에 대한 예시적인 플로우 레이트들은 약 100 내지 5000 sccm일 수도 있다. 막 응력에 영향을 줄 수도 있는 다른 파라미터는 전극 간격 (electrode spacing) 이다. 전극 간격은 막 상의 밀도에 영향을 줄 수 있는, 웨이퍼 상의 전기장에 영향을 주기 때문에 중요하다. 전극 간격이 증가함에 따라, 신장 응력 응답에는 반응이 없고, 압축 응력 응답은 감소한다. 예시적인 전극 간격은 약 5 내지 30 ㎜일 수도 있다. 후면 증착에 관련된 다른 반응 파라미터들은 이하에 추가로 논의될 것이다.
막의 응력의 정도에 영향을 줄 수 있는 다른 변수는, NH3 또는 다른 수소 함유 반응물질의 플로우에 의해 제어될 수 있는, 막의 수소 함량이다. 상기에 논의된 하나 이상의 변수들은 또한 막의 수소 함량에 직접적으로 또는 간접적으로 영향을 줄 수도 있다. 보다 낮은 수소 함량을 갖는 막들은 보다 중립 응력 레벨들을 나타낸다. 전면 증착에 의해 유도된 응력을 변경하기 위한 일 기법은 (웨이퍼 전면 상에) 보다 낮은 수소 함량을 갖는 막들을 형성하는 것이다. 그러나, 막의 수소 함량은 또한 막의 유전 상수에 상당한 영향을 준다. 따라서, 목표된 유전 상수를 유지하면서, 수소 함량이 변경될 수 있는, 적은 기회 (narrow window) 만이 있다. 후면 증착은 전면 재료들을 증착하는데 가용한 적은 프로세싱 기회와 관련된 문제를 극복한다.
언급된 바와 같이, 증착된 재료들의 스택들은 특히 웨이퍼 응력 및 보잉을 유발할 가능성이 있다. 이들 문제들을 유발할 수도 있는 일 예시적인 스택은 교번하는 옥사이드 층 및 나이트라이드 층 (예를 들어, 실리콘 옥사이드/실리콘 나이트라이드/실리콘 옥사이드/실리콘 나이트라이드, 등) 을 갖는 스택이다. 보잉을 유발하는 다른 예시적인 스택은 교번하는 옥사이드 층 및 폴리실리콘 층 (예를 들어, 실리콘 옥사이드/폴리실리콘/실리콘 옥사이드/폴리실리콘, 등) 을 포함한다. 문제가 있을 수도 있는 스택 재료들의 다른 예들은, 이로 제한되는 것은 아니지만, 텅스텐 및 티타늄 나이트라이드를 포함한다. 스택들 내의 재료들은 PECVD (plasma enhanced chemical vapor deposition), LPCVD (low pressure chemical vapor deposition), MOCVD (metal organic chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition) 와 같은 화학적 기상 증착을 통해, 또는 DMD (direct metal deposition), 등을 통해 증착될 수도 있다. 이들 예들은 제한하는 것으로 의도되지 않는다. 특정한 개시된 실시예들은, 웨이퍼의 전면 상에 존재하는 재료로 인해 웨이퍼 응력 및/또는 보잉이 유도될 때마다 유용할 수도 있다.
전면 스택들은 임의의 수의 층들 및 두께들로 증착될 수도 있다. 통상적인 예에서, 스택은 약 32 내지 72 층들을 포함하고, 약 2 내지 4 ㎛의 총 두께를 갖는다. 스택에 의해 웨이퍼 내에 유도된 응력은 약 -500 MPa 내지 약 +500 MPa일 수도 있고, (300 ㎜ 웨이퍼에 대해) 약 200 내지 400 ㎛ 및 심지어 일부 경우들에서 보다 큰 보잉을 빈번하게 발생시킨다.
웨이퍼의 후면 상에 증착된 재료는 다양한 실시예들에서 유전체 재료일 수도 있다. 일부 경우들에서, 옥사이드 및/또는 나이트라이드 (예를 들어, 실리콘 옥사이드/실리콘 나이트라이드) 가 사용된다. 사용될 수도 있는 실리콘 함유 반응물질들의 예들은, 이로 제한되는 것은 아니지만, 실란들, 할로실란들, 및 아미노실란들을 포함한다. 실란은 수소 및/또는 탄소기들을 함유하지만, 할로겐은 함유하지 않는다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란, 에틸 실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 아릴실란 (allylsilane), 세크-부틸실란 (sec-butylsilane), 덱실실란 (thexylsilane), 이소아밀실란 (isoamylsilane), t-부틸디실란, 디-t-부틸디실란 등과 같은 유기 실란이다. 할로실란은 적어도 하나의 할로겐기를 함유하고 수소들 및/또는 탄소기들을 함유하거나 함유하지 않을 수도 있다. 할로실란의 예들은 요오드실란, 브롬화실란, 클로로실란, 및 플루오로실란이다. 할로실란, 특히 플루오로실란이 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종을 형성할 수도 있지만, 본 명세서에 기술된 특정한 실시예들에서, 실리콘-함유 반응물질은 플라즈마가 점화될 때 존재하지 않는다. 구체적인 클로로실란은 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로아릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-세크-부틸실란, t-부틸디메틸크로로실란, 덱실디메틸클로로실란, 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란, 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란, 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, 비스(3차부틸아미노)실란 (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸 실릴카바메이트 (tert-butyl silylcarbamate), SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가 예는 트리실릴아민 (N(SiH3)) 이다. 다른 잠재적인 실리콘 함유 반응물질들은 TEOS, 및 TMOS (tetramethoxysilane), FTES (fluorotriethoxysilane), TMS (Trimethylsilane), OMCTS (octamethyltetracyclosiloxane), TMCTSO (tetramethylcyclotetrasiloxane), DMDS (dimethyldimethoxysilane), HMDS (hexamethyldisilazane), HMDSO (hexamethyldisiloxane), HMCTSO (hexamethylcyclotrisiloxane), DMDEOS (dimethyldiethoxysilane), MTMOS (methyltrimethoxysilane), TMDSO (tetramethyldisiloxane), VSI2 (divinyltetramethyldisiloxane), MTEOS (methyltriethoxysilane), DMTMODSO (dimethyltetramethoxydisiloxane), ETEOS (ethyltriethoxysilane), ETMOS (ethyltrimethoxysilane), HMODS (hexamethoxydisilane), BTEOSE (bis(triethoxysilyl)ethane), BTMOSE (bis(trimethoxysilyl)ethane), DMEOS (dimethylethoxysilane), TEODMDSO (tetraethoxydimethyldisiloxane), TTMSOS (tetrakis(trimethylsiloxy)silane), TMDEODSO (tetramethyldiethoxydisiloxane), TIEOS (triethoxysilane), TIMEOS (trimethoxysilane), 또는 TPOS (tetrapropoxysilane) 와 같은 순환적 또는 비순환적 TEOS 변종들을 포함한다.
예시적인 질소-함유 반응물질들은, 이로 제한되는 것은 아니지만, 암모니아, 하이드라진 (hydrazine), 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, 세크-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸하이드라진과 같은, 아민들 (예를 들어, 탄소 베어링 아민들 (amines bearing carbon)), 및 아닐린, 피리딘, 및 벤질아민과 같은 방향족 함유 아민들을 포함한다. 아민들은 1차, 2차, 3차 및 4차 (예를 들어, 테트라알킬암모늄 화합물들) 일 수도 있다. 질소-함유 반응물질은 질소 이외의 헤테로원자들 (heteroatoms) 을 함유할 수 있고, 예를 들어, 히드록실아민, t-부틸옥시카르보닐 아민 및 N-t-부틸 히드록실아민은 질소-함유 반응물질들이다.
산소 함유 공반응물질들의 예들은 산소, 오존, 아산화질소 (nitrous oxide), 일산화탄소, 산화질소 (nitric oxide), 이산화질소, 산화황, 이산화황, 산소 함유 하이드로카본 (CxHyOz), 물, 이들의 혼합물들, 등을 포함한다.
이들 반응물질들의 플로우 레이트는 후면 층이 증착되는 반응의 타입에 상당히 의존할 것이다. 후면 층을 증착하기 위해 CVD/PECVD가 사용되면, 실리콘 함유 반응물질의 플로우 레이트는 (원자화 전) 약 0.5 내지 10 mL/min이고, 예를 들어, 약 0.5 내지 5 mL/min이다. 질소 함유 반응물질, 산소 함유 반응물질, 또는 다른 공반응물질의 플로우 레이트는 약 3 내지 25 SLM이고, 예를 들어, 약 3 내지 10 SLM이다.
특정한 구현예들에서, 후면 층은 추가 프로세싱 후에 제거될 수도 있다. 이는 후면 층의 조성이, 후면 층이 적절한 시간에 기판으로부터 용이하게 제거될 수 있도록 선택되어야 하는 경우이다. 이와 관련하여, 목표딘 제거 화학물질의 후면 층 재료 (예를 들어, 유전체) 와 아래에 놓인 기판의 재료 (예를 들어, 실리콘) 사이에 높은 선택도가 있어야 한다.
후면 층의 최적의 두께는 웨이퍼의 전면 상에 증착에 의해 유도된 응력의 양, 및 후면 층이 증착되는 조건에 따를 것이다. 후면 층은 웨이퍼 내에서의 응력이 무시할 만한 두께로 증착될 수도 있다 (예를 들어, 약 150 MPa 미만). 이들 및 다른 실시예들에서, 후면 층은 웨이퍼 보잉이 무시할 만한 두께로 증착될 수도 있다 (예를 들어, 약 150 ㎛ 미만의 보잉). 일부 경우들에서, 이는 약 0.1 내지 2 ㎛, 예를 들어, 약 0.3 내지 2 ㎛, 또는 약 0.1 내지 1 ㎛, 또는 약 0.3 내지 1 ㎛ 의 후면 층 두께에 대응한다. 실리콘 나이트라이드가 후면 층을 형성하기 위해 사용되면, 약 0.3 ㎛의 두께를 갖는 막이 약 50 내지 200 ㎛의 보잉을 완화하기에 충분하다. 상기에 언급된 바와 같이, 필요한 층의 두께를 감소시키기 위해 보다 높은 응력의 후면 층이 사용될 수도 있다. 이는 재료를 아끼고 비용을 감소시키는 것을 돕는다.
특정한 경우들에서, 후면 증착은 증착 툴로부터 웨이퍼를 제거하고, 웨이퍼를 뒤집고 (flip over), 웨이퍼를 상면을 아래로 하여 다시 증착 툴 내에 위치되고, 웨이퍼의 상면이 아래를 향하는 위치에 있는 동안 웨이퍼 후면 상에 증착함으로써 달성될 수도 있다. 후면 증착 동안 웨이퍼의 전면을 보호하기 위해 부가적인 프로세스 단계들이 요구된다. 예를 들어, 웨이퍼가 제거되고 뒤집어지기 전에, 하나 이상의 보호층들이 웨이퍼 전면 상에 증착될 수도 있다. 이들 보호층들은 고가의 웨이퍼 전면에 대한 대미지를 최소화하도록, 아래에 놓인 중요한 디바이스 층들/구조체들을 차폐한다. 보호층들을 증착하지 않고, 웨이퍼 전면에 대한 실질적인 대미지는, 웨이퍼가 핸들링되고, 이송되고, 척킹되고 (chuck), 디척킹되는 때 등에, 웨이퍼의 상면이 아래로 향하는 배향에서, 발생할 수도 있다. 예를 들어, 웨이퍼 전면이 척과 접촉될 때 보호되지 않은 웨이퍼 전면은 스크랩핑되고 (scraped), 덴트되고 (dented), 또는 달리 대미지를 받을 수도 있다. 후면 증착 동안 보호층들이 웨이퍼를 차폐하는 것이 유리하지만, 보호층들의 형성은 직접적인 후면 증착이 가용할 때 회피될 수 있는, 추가적인 프로세싱 단계들 및 재료를 수반한다.
따라서, 특정한 다른 경우들에서, 후면 증착은, 웨이퍼가 우측면 상향 배향 (right-side-up orientation) (즉, 웨이퍼의 전면이 상향) 인 경우에도, 웨이퍼의 후면 상에 증착하도록 특정하게 설계된 장치에서 수행된다. 이 방법은 후면 증착이 발생하기 전에 웨이퍼의 전면 상에 보호층을 형성할 필요를 제거한다. 후면 증착이 웨이퍼의 전면을 전혀 핸들링하거나 달리 접촉하지 않고 발생하기 때문에 보호층은 더이상 필요가 없다. 일부 실시예들에서, 증착 장치는 웨이퍼를 뒤집지 않고, 웨이퍼의 전면 및 후면 모두 상에 증착하기 위해 사용될 수도 있다 (즉, 증착 장치는 웨이퍼의 배향을 변경하지 않고 전면 증착 및 후면 증착 모두를 수행할 수 있다). 이 경우, 장치의 다양한 컴포넌트들이 반응 챔버의 상단 및 하단 모두에 포함될 수도 있다 (예를 들어, 샤워헤드 또는 다른 유입부들, 유출부들, 플레이트들 또는 웨이퍼의 현재 비도금 면과 플레이트 사이에 얇은 갭을 제공하기 위한 다른 컴포넌트들, 전기 접속부들, 등).
도 1a는 웨이퍼의 후면 상에 막을 증착하는 방법에 대한 흐름도를 제공한다. 방법 (100) 은, 웨이퍼가 후면 증착 챔버 내로 로딩되는, 단계 101로 시작된다. 적절한 후면 증착 챔버는 도 4a 및 도 4b와 관련하여, 이하에 더 기술된다. 웨이퍼는, 웨이퍼의 전면이 챔버의 상단을 향하여 상향으로 포인팅하는, 우측면 상향 배향으로 로딩된다. (단계 101과 동시에 일어날 수도 있는) 단계 103에서, 웨이퍼는 웨이퍼의 주변에 위치된 지지 링에 의해 지지되고/홀딩된다. 링은 증착이 일어날 갭 위에 웨이퍼를 지지하도록 위치된다. 이 갭은 때때로 증착 영역이라고 참조되고, 웨이퍼의 후면 아래의 영역 챔버의 하단에 위치된 임의의 하드웨어 (예를 들어, 하부 전극으로서 기능할 수 있는, 샤워헤드 또는 다른 유입부들) 위의 영역을 포함한다. 링은, 웨이퍼의 후면이 증착 영역에 실질적으로 노출되는 형상이다. 일부 실시예들에서, 웨이퍼 위에 위치된 링 및 상단 전극은 증착 영역의 높이가 가변하도록 이동가능할 수도 있다. 상단 전극은 다양한 경우들에서 접지 플레이트일 수도 있고, 가열되거나 가열되지 않을 수도 있다. 이러한 가동성 (movability) 은 프로세싱 유연성을 제공하는 것을 도울 수도 있다.
단계 105에서, 웨이퍼의 후면이 반응물질들에 노출되도록 하나 이상의 가스 상 반응물질들이 반응 챔버 내로 유동된다. 반응물질들의 도입을 위한 유입부 또는 유출부는, 반응물질들이 증착 영역 내로 바로 들어가는, 지지 링 아래에 위치될 수도 있다. 일부 경우들에서, 챔버/증착 영역 하단에 위치된 샤워헤드가 반응물질들을 전달하기 위해 사용된다. 단계 105와 동시에 일어날 수도 있는, 단계 107에서, 불활성 가스가 웨이퍼의 전면 위로 유동된다. 통상적으로, 가스는 웨이퍼의 전면 바로 위에 위치된, 비교적 작은 갭 (또한 전면 갭으로도 지칭됨) 으로 전달된다. 전면 갭은, 예를 들어 플라즈마 시스의 두께 미만의 높이로, 비교적 작고/짧아야 한다. 전면 갭은 통상적으로 웨이퍼의 전면과 대략 같은 공간에 있다. 짧은 전면 갭의 사용은, 플라즈마가 짧은 전면 갭을 통과할 수 없기 때문에, 웨이퍼의 전면이 후면 상의 증착 동안 보호되는 것을 보장하는 것을 돕는다. 전면 갭으로 전달된 불활성 가스는 웨이퍼의 전면 보호를 더 돕는다. 특정한 경우들에서, 불활성 가스는 웨이퍼의 중심 가까이의 전면 갭으로 전달된다. 여기로부터, 불활성 가스는 웨이퍼의 전면부 위에서 바깥쪽으로 유동된다. 이러한 플로우 패턴은, 임의의 증착 유발 반응물질들의 스윕핑 (sweep) 을 돕고, 이는 증착 또는 다른 대미지로부터 전면을 더 보호한다. 웨이퍼의 전면으로 전달된 불활성 가스의 플로우 레이트는 약 100 내지 5000 sccm일 수도 있다. 다양한 경우들에서, 전면 갭을 규정하는 상단 표면 (예를 들어, 히터, 접지 플레이트, 챔버 실링 (chamber ceiling), 샤워헤드, 또는 다른 표면) 은, 전면 갭의 높이가 조정가능하도록 이동가능하다. 이는 웨이퍼들을 로딩하고 언로딩하기 위한 액세스의 보다 유연성 및 용이성을 제공할 수도 있고, 또한 프로세스들 동안, 또는 프로세스들 사이에서 챔버 기하구조가 변경되게 함으로써, 프로세스 유연성을 제공하는 것을 도울 수도 있다.
방법 (100) 은 웨이퍼의 후면 상에 재료의 증착을 발생시키는 반응을 구동하기 위해 플라즈마가 생성되는 단계 109로 계속된다. 특정한 실시예들에서, 기판은 증착 동안 DC 접지될 수도 있다. 플라즈마는 많은 경우들에서 용량 결합된 플라즈마를 생성하기 위해 상부 전극과 하부 전극 사이에서 생성될 수도 있다. 단계 109는 단계들 105 및 107과 동시에 발생할 수도 있고, 예를 들어 증착은 PECVD 반응을 통해 발생한다. (예를 들어, 증착이 ALD 프로세스들을 통해 발생하는) 다른 경우에서, 반응물질 전달 및 플라즈마 생성은 순환적인 방식으로 발생할 수도 있고, 중첩되거나 중첩되지 않을 수도 있다. 반응물질 전달 및 타이밍은 이하에 더 논의되지만, 당업자는 임의의 적절한 타입의 증착 스킴 (반응 타입, 반응물질 타이밍, 플라즈마 타이밍, 스윕핑/퍼지의 사용, 등) 이 사용될 수도 있다는 것을 인식할 것이다. 반응물질 전달 단계 105, 불활성 가스 전달 단계 107, 및 플라즈마 노출 단계 109은 후면 막이 목표된 두께로 증착될 때까지 계속 (또는 반복) 된다.
PECVD를 포함하는, 화학적 기상 증착은 기판의 후면 상에 실리콘 옥사이드 막들 또는 다른 막들을 증착하기 위해 자주 선택되는 방법이다. CVD 반응들에서, 하나 이상의 가스 상 반응물질들은 반응 챔버로 전달되고 반응 챔버 내의 기판의 표면 상에 생성물을 증착하는 가스 상 반응을 겪는다. PECVD가 사용되면, 가스 상 반응은 플라즈마로의 노출에 의해 구동된다. PECVD 반응들은, 고속 증착 레이트를 달성하고 후면 층의 응력이 원하는 바에 따라 튜닝되게 하기 때문에 후면 증착에 특히 유용하다. PECVD 반응들은 또한, 다른 방법들 (예를 들어, ALD 및 CFD) 보다 덜 컨포멀하기 때문에 특히 유용하고, 보다 낮은 컨포멀성 (conformality) 은, 이하에 추가로 논의된, 후면 입자들의 커버의 맥락에서 유익하다.
CVD에 대한 일 대안은 ALD (atomic layer deposition) 프로세싱 및 PEALD 프로세싱이다. ALD/PEALD 방법들은 반응물질 가스들의 자기-제한 흡착을 수반하고 얇고, 컨포멀한 유전체 막들을 제공할 수 있다. ALD 방법들은 실리콘 옥사이드 및 다른 타입들의 막의 증착을 위해 개발되었다. ALD에 의해 생성된 막들은 매우 얇고 (예를 들어, 약 1 모노레이어); 따라서, 다수의 ALD 사이클들이 목표된 두께를 갖는 막에 이르도록 계속될 수도 있고, CVD 프로세스에 비해 목표된 두께에 이르는데 상대적으로 긴 시간이 걸릴 수도 있다.
활성화된 가스 상 반응들이 막들을 증착하기 위해 사용되는, CVD 프로세스와 반대로, ALD 프로세스들은 층 단위로 막들을 증착하기 위해 표면-매개된 증착 반응들을 사용한다. 일 예시적인 ALD 프로세스에서, 표면 활성 사이트들의 집단 (population) 을 포함하는, 기판 표면은 제 1 막 프리커서 (P1) 의 가스 상 분포에 노출된다. P1의 일부 분자들은 P1의 화학 흡착된 (chemisorbed) 종들 및 물리 흡착된 분자들을 포함하는 기판 표면 상에 응결된 상 (condensed phase) 을 형성할 수도 있다. 이어서 반응기는 단지 화학 흡착된 종들만 남도록 가스 상 및 물리 흡착된 P1을 제거하도록 배기된다. 이어서 제 2 막 프리커서 (P2) 가 P2의 일부 분자들이 기판 표면에 흡착하도록 반응기에 도입된다. 반응기는 다시 배기될 수도 있고, 이 때 결합되지 않은 (unbound) P2가 제거된다. 후속하여, 기판에 제공된 에너지 (예를 들어, 열 에너지 또는 플라즈마 에너지) 가 P1과 P2의 흡착된 분자들 사이의 표면 반응들을 활성화하여서 막 층을 형성한다. 마지막으로, 반응기는 반응 부산물 및 가능하게는 반응되지 않은 P1 및 P2를 제거하도록 배기되고, ALD 사이클을 종료한다. 막 두께를 구축하기 위해 부가적인 ALD 사이클들이 포함될 수도 있다.
프리커서 도징 단계들의 노출 시간 및 프리커서들의 점착 계수들에 따라서, 각 ALD 사이클은, 일 예에서 0.5 내지 3 Å 두께의 막 층을 증착할 수 있다.
CVD에 대한 실현가능한 대안을 나타내는 관련된 증착 기법은 CFD (conformal film deposition) 이다. 일반적으로, CFD는 막을 형성하기 위한 반응 전에 하나 이상의 반응물질들의 완전한 퍼지에 의존하지 않는다. 예를 들어, 플라즈마 (또는 다른 활성화 에너지) 가 점화될 때, 증기 상으로 제공된 하나 이상의 반응물질들이 있을 수도 있다. 따라서, ALD 프로세스에서 기술된 하나 이상의 프로세스 단계들 (예를 들어, 퍼지/스윕 단계) 은 예시적인 CFD 프로세스들에서 단축되거나 제거될 수도 있다. 일부 경우들에서, 반응물질은 연속적으로 제공될 수도 있다. 연속적으로 제공된 반응물질은 종종 공반응물질/보조 반응물질 (예를 들어, 최종 막에 실리콘을 부여하는 것과 같은 주 반응물질과 대조되는, 산소 함유 반응물질 또는 질소 함유 반응물질) 이다. 일반적으로 CFD 프로세스들에서도, 챔버가 플라즈마에 노출될 때 반응 챔버의 비흡착 주 반응물질의 양을 최소화하는 것이 필요하다. 그렇지 않으면, 가스 상의 CVD 프로세스가 발생할 수도 있다. 통상적으로, ALD 프로세스를 수행할 수 있는 챔버는 또한 CFD 프로세스를 수행할 수 있고, 본 명세서에서 사용된 바와 같이, CFD 프로세스는 ALD 프로세스의 한 타입으로 간주된다.
본 명세서에 기술된 응력 및 보잉 문제들을 해결하기 위한 또다른 기법은 웨이퍼의 전면 상에 응력 보상층을 포함하는 것이다. 응력 보상층은 전면 층들의 나머지로부터의 응력을 오프셋하는 특정한 레벨의 응력을 발생하는 조건들 하에서 증착될 수도 있다. 예를 들어, 전면 증착 (응력 보상층을 배제하는) 이 압축 응력을 발생시키면, 전면 응력 보상층은 신장 응력을 발생시키는 조건들 하에서 증착될 수도 있어서, 남아 있는 전면 층들로부터 압축 응력을 오프셋한다. 이러한 응력 보상층은 층들의 스택의 상단 상 (예를 들어, 교번하는 옥사이드 층 및 폴리실리콘층, 또는 교번하는 옥사이드 층 및 나이트라이드 층, 등) 그리고, 일부 경우들에서 하드마스크층 아래에 위치될 수도 있다. 이 구성은 3D-NAND 디바이스를 제조하는 맥락에서 특히 유의미하다.
도 1b는 에칭 정지층 (151), 교번하는 옥사이드 층/폴리실리콘층의 스택 (153), 전면 응력 보상층 (155), 탄소 함유 하드마스크층 (157), 및 PECVD 증착된 실리콘 옥시나이트라이드층 (159) 을 갖는 기판 (150) 을 예시한다. 특정한 실시예들에서, 전면 응력 보상층 (155) 은 또한 에칭 정지층일 수도 있다. 전면 응력 보상층 (155) 의 최적의 두께는, 전면 응력 보상층 (155) 자체의 응력뿐만 아니라 다른 전면 층들에 의해 유도된 응력에 따를 것이다. 보다 큰 응력 레벨들로 증착된 응력 보상층들은 응력을 덜 받은 층들보다 얇은 두께들에서 응력을 효율적으로 해결할 수 있다. 일부 실시예들에서, 응력 보상층은 약 0.1 ㎛ 이상의 두께이다. 이들 또는 다른 실시예들에서, 응력 보상층은 약 1 ㎛ 이하의 두께이다. 특정한 예에서, 응력 보상층은 약 0.3 ㎛ 두께이다.
응력 보상층은 고레벨 응력을 나타내는 다양한 재료들로부터 만들어질 수도 있다. 일부 경우들에서, 응력 보상층은 고 압축성 또는 고 신장성 옥사이드 재료 또는 나이트라이드 재료로 만들어질 수도 있다. 전면 응력 보상층들은 또한 일부 실시예들에서 고 응력 금속층들로부터 만들어질 수도 있다. 예를 들어, 텅스텐 막은 고 신장도 응력으로 증착될 수도 있다. 이러한 텅스텐 층은 재료들의 스택 내에 또는 스택 상에 증착될 수도 있다. 텅스텐 층은 응력 보상층 및 하드마스크층 양자로서 기능할 수도 있다. 다른 경우들에서, 텅스텐 층은 응력 보상층으로서 기능하고 하드마스크로서 기능하는 또다른 층과 함께 제공된다. 많은 경우들에서 응력 보상층으로 선택된 재료는 습식 에칭 프로세스 및/또는 건식 에칭 프로세스에 의해 용이하게 제거가능해야 한다. 특정한 실시예들에서, 응력 보상층은 후속하는 프로세싱 동안, 예를 들어, 일부 경우들에서, 포토리소그래피 동작 후에 제거될 수도 있다. 응력 보상층은, 예를 들어 에칭 또는 세정 프로세스를 통해 제거될 수도 있다. 응력 보상층의 응력 레벨은 본 명세서의 다른 곳에 기술된 바와 같이 증착 파라미터들을 제어함으로써 튜닝될 수도 있다.
웨이퍼의 전면 또는 후면에 존재하든지, 응력 보상층들의 또다른 장점은 하드마스크층에 사용된 재료에 대하여 보다 넓은 프로세싱 윈도우가 달성된다는 것이다. 일반적으로, 높은 선택성의 하드마스크 재료를 사용하는 것이 바람직하다. 그러나, 많은 높은 선택성의 하드마스크 재료들은 또한 높은 레벨의 응력을 갖는 경향이 있다. 따라서, 웨이퍼 응력 문제들을 악화시키는 것을 방지하기 위해, 이들 높은 선택성의 하드마스크 재료들은 통상적으로 웨이퍼 응력이 문제가 되는 경우에 사용되지 않는다. 한편, 웨이퍼 응력이 전면 또는 응력 보상층에 대응하면, 높은 선택성, 비교적 고 응력 하드마스크 재료들도 사용될 수도 있다. 이 경우, 응력 보상층은 하드마스크층을 포함하여, 모든 전면 증착된 재료들에 의해 유도된 응력을 해결하도록 설계될 수도 있다.
도 2a 및 도 2b는 상기에 기술된 웨이퍼 응력 문제들을 예시한다. 도 2a는 웨이퍼 상에 증착된 재료들의 스택을 갖는 웨이퍼 (201) 를 도시한다. 증착된 스택 (202) 은 아래에 놓인 웨이퍼 (201) 을 눌러 (stress), 도 2a에 도시된 보잉된 형상을 발생한다. 도 2b는 전면 상에 증착된 재료들의 스택 (202) 및 후면 상에 증착된 후면 층 (204) 을 갖는 동일한 웨이퍼 (201) 를 도시한다. 후면 층 (204) 은 전면 스택 (202) 에 의해 유도된 응력에 대응한다. 이러한 응력 오프셋은 훨씬 편평한 기판에서, 보잉이 거의 없거나 발생하지 않게 한다. 웨이퍼 (201), 전면 스택 (202), 및 후면 층 (204) 의 두께들은 스케일대로 도시될 필요는 없다. 또한, 보잉 정도는 문제를 보다 잘 예시하기 위해 도 2a에서 과장될 수도 있다. 특정한 경우들에서, 웨이퍼 보잉에 대해 허용가능한 공차 (tolerance) 는 웨이퍼의 가장 낮은 높이로부터 웨이퍼의 가장 높은 높이까지 수직으로 측정될 때, 약 150 ㎛ 미만이다. 이 거리는 도 2a에서 높이 (205) 로 마킹되었다.
후면 증착이 응력 및 보잉을 해결하는데 유용하지만, 이는 또한 다른 맥락들에서도 유익할 수 있다. 예를 들어, 후면 증착은 웨이퍼 후면 상에 입자들의 형성으로 인해 발생하는 문제들을 해결하는데 사용될 수 있다. 상기에 언급된 바와 같이, 특정한 증착 후 프로세스들 (예를 들어, 에칭, 이온 주입) 은 웨이퍼의 후면 상에 입자들의 형성을 발생시킨다. 이들 입자들은 포토리소그래피 동작들 동안과 같은, 후속하는 프로세싱에서 문제가 될 수 있다.
후면 입자들은, 국부화된 응력 및 웨이퍼의 변형을 발생시키기 때문에 유해하고, 리소그래피 동작과 같은 편평한 표면 상에 정전기적으로 척킹될 때, 특히 유해하다. 웨이퍼가 척킹될 때, 이는 웨이퍼의 하단 측 상에 존재하는 임의의 입자들 주변에서 변형된다. 이러한 변형은 웨이퍼 내에 국부화된 응력을 유도한다. 국부적인 응력 및 변형은 포토리소그래피 동안 문제가 되고, 프로세싱 동안 디포커싱 (de-focusing) 문제들을 유발할 수 있다. 이는 웨이퍼 상의 후면 입자들의 위치가 포토리소그래피 디펙트들의 위치와 강하게 상관되는 것이 나타냈다. 따라서, 특히, 포토리소그래피 동작 (또는 다른 민감한 동작) 이 발생하기 전에, 후면 입자들의 영향을 제거하거나 달리 대응하는 것이 바람직하다.
특정한 실시예들에서, 후면 입자들의 유해한 영향들은 웨이퍼 후면 상에 증착된 희생층의 사용을 통해 최소화된다. 도 3a 및 도 3b는 이러한 희생층을 활용하는 2 가지 구현예들을 제공한다. 이들 도면들에 도시된 실시예들은 포토리소그래피 동작을 위한 기판을 준비하는 맥락에서 제공되었다. 당업자는 리소그래피 동작이 유사한 민감도를 갖는 임의의 다른 프로세스 (예를 들어, 매우 편평한 기판을 전체적으로 그리고 국부적으로 필요로 하는) 로 치환될 수도 있다는 것을 인식할 것이다. 도 3a 및 도 3b에 도시된 층들 및 입자들의 사이즈는 예시를 목적으로 과장될 수도 있다.
도 3a는 다양한 제조 스테이지들 동안 웨이퍼의 일부의 패널들 (i) 내지 (iv) 의 단면도를 도시한다. 이 실시예에서, 희생층은 후면 입자들이 생성되기 전에 증착된다. 비교하면, 도 3b의 실시예에서 희생층은 후면 입자들이 생성된 후에 증착된다. 도 3a의 실시예로 돌아가서, 기판 (301) 이 패널 (i) 에 도시된 바와 같이, 그 위에 전면 증착 (302) 과 함께 제공된다. 전면 증착 (302) 은 상기에 논의된 바와 같이, 재료들의 스택일 수도 있다. 전면 증착 (302) 은 또한 임의의 다른 타입의 증착일 수도 있고, 임의의 수의 층들, 구조체들, 피처들, 디바이스들, 등을 포함할 수도 있다. 패널 (i) 로부터 (ii) 로 이동하여, 후면 희생층 (304) 이 웨이퍼 (301) 의 후면 상에 증착된다. 다음에, 패널 (ii) 로부터 (iii) 로 이동하여, 변경된 전면 증착 (306) 을 형성하는, 증착 후 프로세싱이 수행된다. 증착 후 프로세싱은 에칭, 이온 주입, 어닐링, 세정 등을 수반할 수도 있다. 종종, 증착 후 프로세싱은 웨이퍼의 후면 상에 입자들 (305) 의 형성을 발생시킨다. 여기서, 후면 희생층 (304) 이 존재하기 때문에, 입자들 (305) 은 희생층 (304) 상에 형성된다. 입자 형성 증착 후 프로세싱이 완료된 후에, 후면 희생층 (304) 은 입자들 (305) 과 함께 제거될 수도 있다 (도 3a에서 패널 (iii) 로부터 패널 (iv) 로 이동). 후면 희생층 (304) 은 임의의 적절한 프로세스를 통해 제거될 수도 있다. 습식 프로세싱 및 건식 프로세싱 모두 가용하지만, 습식 방법들이 일반적으로 보다 효율적이다. 일단 후면 희생층 (304) 및 입자들 (305) 이 제거되었으면, 웨이퍼는 포토리소그래피 프로세싱을 위해 준비된다. 입자들이 더이상 존재하지 않기 때문에, 리소그래피는 매우 편평한 웨이퍼 상에서 원하는대로 진행될 수도 있고, 리소그래피 디펙트들의 양은 후면 증착이 사용되지 않는 경우들에 비해 상당히 감소된다.
상기에 언급된 바와 같이, 희생층은 아래에 놓인 웨이퍼로부터 용이하게 제거될 수 있는 재료로 이루어져야 한다. 즉, 목표된 제거 화학물질의 웨이퍼 재료와 희생층 사이에 양호한 선택도가 있어야 한다. 많은 경우들에서, 희생층은 실리콘 옥사이드 또는 실리콘 나이트라이드와 같은 유전체 재료이지만, 다른 재료들도 사용될 수도 있다. 희생층은 입자들에 의해 유발된 국부적인 변형 및 응력 영향들에 대응하기에 충분한 두께로 증착되어야 한다. 이는 통상적으로 비교적 얇은 두께, 예를 들어 약 500 내지 3000 Å이다. 통상적으로, 층은, (희생층이 또한 전면층으로부터 유도된 응력을 상쇄시키기 위해 사용되는 실시예들을 제외하고) 웨이퍼에 실질적으로 부가적인 응력을 도입하지 않도록 충분히 얇다. 즉, 층은 때때로 보잉 또는 다른 응력 문제들을 유발하지 않도록 충분히 얇지만, 일부 경우들에서 전면 증착으로부터 발생되는 보잉/응력 문제들에 대응하기에 충분히 두꺼울 수도 있다.
도 3b는 대안적인 실시예에서 제조 스테이지들 동안 웨이퍼의 일부의 패널들 (i) 내지 (v) 의 단면도이다. 이 기법은 도 3a에 도시된 바와 동일한 방식으로, 전면 증착 (302) 을 갖는 기판을 사용하여, 시작된다. 패널 (i) 로부터 (ii) 로 이동하여, 증착 후 프로세싱이 수행된다. 이 실시예에서, 증착 후 프로세싱은 임의의 후면 증착이 일어나기 전에 일어난다. 이 프로세싱은 웨이퍼 (301) 에 바로 부착되는, 입자들 (305) 의 형성을 발생시킨다. 이 프로세싱은 또한 어떤 방식으로 전면 증착을 변화시키고, 증착 후 프로세싱에 의해 변경된 전면 증착은 306으로 라벨이 붙여진다. 다음에, 패널 (ii) 로부터 (iii) 로 이동하여, 후면 희생층 (304) 이 증착된다. 후면 희생층 (304) 은 입자들 (305) 을 커버하고 웨이퍼의 노출된 하단측 상에 매우 편평한 표면을 제공하기에 충분한 두께로 증착된다. 패널 (iii) 로부터 (iv) 로 이동하여, 포토리소그래피가 수행된다. 이는 포토리소그래피 변경된 전면 증착 (307) 을 형성하기 위해 전면 증착 (306) 을 변화시킨다. 전면 증착층들 (302, 306, 및 307) 은 모두 프로세싱 동안 상이한 지점들에서 웨이퍼의 전면 상의 증착과 관련된다는 것이 이해된다. 후면 희생층 (304) 은 웨이퍼의 하단 상에 매우 편평한 표면을 제공하여, 입자들 (305) 에 의해 유발된 국부적인 변형 및 응력 문제들을 최소화하거나 제거한다. 따라서, 리소그래피가 일어나더라도, 입자들 (305) 은 여전히 웨이퍼 (305) 상에 있고, 입자들의 존재는 리소그래피에 유해하지 않다. 후면 희생층 (304) 이 입자들 (305) 주변에 어떠한 변형도 없이 웨이퍼를 적절하게 척킹하도록 정전 척과 접촉하게 되기 때문에, 이 경우 입자들은 문제가 되지 않는다. 다음에, 패널 (iv) 로부터 패널 (v) 로 이동하여, 후면 희생층이 제거된다. 후면 희생층 (304) 제거 프로세스는 또한 깨끗하고 (clean), 편평한 웨이퍼를 남기기 위해 입자들 (305) 을 제거한다. 상기에 언급된 바와 같이, 후면 희생층 (304) 은 습식 방법 또는 건식 방법을 통해 제거될 수도 있다.
장치
본 명세서에 기술된 방법들은 임의의 적합한 장치에 의해 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 (웨이퍼 전면과 접촉하지 않고 (또는 최소로 접촉하여) 후면 증착을 수행하기 위한 하드웨어) 및 본 발명에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시예들에서, 하드웨어는 프로세스 툴 내에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다.
도 4a는 웨이퍼 (451) (웨이퍼 (451) 는 도 4a에 검은 수평선으로 도시됨) 의 후면 상에 증착할 수 있는 장치 (400) 의 단순화된 버전의 단면도를 도시한다. 도 4b는 장치 (400) 의 일부의 확대도를 도시한다. 특히, 도 4b는 장치 (400) 내에서 웨이퍼 (451) 가 어떻게 지지되는지를 예시한다. 웨이퍼 (451) 는 웨이퍼 지지 링 (453) 에 의해 주변부에 또는 주변부 가까이에 지지된다. 지지 링 (453) 은 웨이퍼의 하단 표면 상에서, 지지 접촉 영역이라고도 지칭되는 영역 내의 웨이퍼 에지 가까이에서 웨이퍼 (451) 와 접촉할 수도 있다. 지지 접촉 영역은 환 형상이고, 실질적으로 웨이퍼의 전체 후면 (예를 들어, 표면 면적에 의해 측정될 때, 적어도 약 95 %, 또는 적어도 약 99 %) 이 증착 동안 노출되도록 매우 작을 수도 있다. 일부 실시예들에서, 웨이퍼의 하단 상의 지지 접촉 영역은 웨이퍼의 에지로부터 약 5 ㎜ 이하만큼, 예를 들어 약 1 ㎜ 이하만큼, 내측으로 연장된다. 도 4b의 예에서, 지지 접촉 영역은 웨이퍼 (451) 의 하단 상에 있고, 웨이퍼의 주변부로부터 거리 (461) 만큼 내측으로 연장한다. 지지 링 (453) 은 또한 웨이퍼 에지 가까이의 웨이퍼의 상단 측과 접촉할 수도 있다. 이들 경우들에서, 지지 접촉 영역은 웨이퍼의 상단 측으로 연장한다. 이 실시예에서, 지지 링은 C-형상 (도 4b에 도시된 L-형상과 달리) 인 국부적인 단면을 가질 수도 있고, 웨이퍼의 아래 및 위 모두에서 주변부로 연장한다. 지지 링이 웨이퍼의 상단 측과 접촉하지만, 지지 링이 웨이퍼의 전면을 대미지하지 않는다는 것을 보장하기 위한 조치 (care) 가 취해져야 한다. 이러한 조치는 지지 링이 작은 규정된 구역 (지지 접촉 영역) 의 웨이퍼 전면과만 접촉하고, 활성 구역에 있지 않다는 것을 보장하는 것을 포함할 수도 있다. 일부 실시예들에서, 웨이퍼의 상단 상의 지지 접촉 영역은 웨이퍼의 에지로부터 방사상 내측으로 약 0.5 ㎜보다 크지 않게, 또는 약 0.25 ㎜보다 크지 않게 연장한다.
일부 실시예들에서, 지지 링은 웨이퍼 주변부에서/웨이퍼 주변부 가까이에서 웨이퍼를 지지하는 또다른 웨이퍼 지지 메커니즘으로 대체될 수도 있다. 일 예는 에지 주변의 다른 위치들에서 웨이퍼를 지지하는, 일련의 3 개 이상의 접속해제된 페그들 (pegs) 이다. 일부 경우들에서, 페그들은 프로세싱 동안 웨이퍼를 제 위치에 보다 잘 고정하기 위해 웨이퍼 주변을 랩핑 (wrap) 할 수도 있다. 페그들 (또는 다른 지지 메커니즘들) 은 상기 기술된 지지 접촉 영역 내에서 웨이퍼와 접촉할 수도 있다.
임의의 경우에, 기판을 홀딩하기 위한 메커니즘은 웨이퍼의 전면이 반응기의 임의의 부분과 실질적으로 접촉하지 않도록 설계될 수도 있다. 본 명세서에서 사용된 바와 같이, 이는 웨이퍼 (451) 의 전면과 웨이퍼 지지 메커니즘 (453) (예를 들어, 지지 링, 페그들, 등) 또는 장치의 다른 부분 사이의 임의의 접촉이 웨이퍼의 에지 가까이에서만 일어난다는 것을 의미한다. 웨이퍼의 전면은, 디바이스들이 제조되고, 비활성 주변 영역에 의해 둘러싸이는, 활성 영역을 포함한다. 비활성 주변 영역은 웨이퍼의 기하구조로 인해 존재하고 프로세싱 동안 웨이퍼를 핸들링하기 위해 필요하다. 웨이퍼의 전면 상의 활성 영역이 반응기의 어떤 부분에도 접촉하지 않는다는 것을 보장함으로써, 웨이퍼의 전면에 대한 대미지가 최소화되거나 함께 방지될 수도 있다. 통상적으로 주변의 비활성 영역이은 기판이 개별 디바이스들 내로 절단될 때 제거되고 폐기되기 때문에, 전면의 가장 에지에서 발생하는 접촉은 많은 경우들에서 문제가 되지 않는다. 이와 같이, 이 영역에서 발생하는 접촉은 웨이퍼 상에 형성된 최종 디바이스들에 대해 치명적이지 않다.
도 4a 및 도 4b의 실시예로 돌아가면, 지지 링 (453) 은 증착 영역 (459) 위에 웨이퍼 (451) 를 홀딩한다. 증착 영역 (459) 은 반응물질 가스들이 도입되고, 반응하고, 웨이퍼 (451) 상에 증착되는 영역이다. 증착 영역 (459) 은 웨이퍼 (451) 의 면적과 적어도 거의 같은 공간에 있다. 증착 영역 (459) 하단은, 본 실시예에서 또한 샤워헤드 (463) 로서 기능하는, 하부 표면 (463) 에 의해 규정될 수도 있다. 하부 표면 (463) 은 통상적으로 웨이퍼 (451) 에 실질적으로 평행하다. 증착 영역 (459) 의 높이 (웨이퍼 (451) 하단측과 하부 표면 사이의 거리로서 측정됨) 는 많은 경우들에서 비교적 작을 수도 있다. 예를 들어, 증착 영역 (459) 은 약 5 내지 30 ㎜, 예를 들어 약 15 내지 25 ㎜의 높이를 가질 수도 있다. 일부 실시예들에서, 하부 전극/샤워헤드 표면 (463) 및 지지 링 (453) 중 적어도 하나는 증착 영역 (459) 의 높이가 튜닝될 수도 있도록, 이동가능하다.
상기 언급된 바와 같이, 하부 표면 (463) 은 증착 영역 (459) 의 하단을 규정한다. 다양한 구현예들에서, 하단 표면 (463) 에 (예를 들어, RF 전력 소스를 사용하여) 전력이 공급된다. 일부 실시예들에서, 하부 표면 (463) 은 필요에 따라 프로세스 가스들을 제공하기 위해 샤워헤드로서 기능하도록 구성된다. 다른 실시예들에서, 하부 표면 (463) 은 보다 단순할 수도 있고, 프로세스 가스들은 교번하는 유입부들을 통해 제공될 수도 있다. 후면 증착 챔버 내에서 사용하기 위해 구성될 수도 있는 샤워헤드 설계들은 이하의 미국 특허들 및 특허 출원들에서 더 논의되었고, 이들 각각은 전체로서 본 명세서에 인용되었다: 명칭이 “COMPOSITE SHOWERHEAD ELECTRODE ASSEMBLY FOR A PLASMA PROCESSING APPARATUS”인 2008년 8월 15일 출원된 미국 특허 제 8,147,648 호; 및 명칭이 “COMPOSITE SHOWERHEAD ELECTRODE ASEMBLY FOR A PLASMA PROCESSING APPARATUS”인 2013년 3월 14일 출원된 미국 특허 출원 제 13,828,176 호.
다양한 상이한 타입들의 플라즈마가 사용될 수도 있다. 예를 들어, 플라즈마는 증착 영역 (459) 에서 바로 생성될 수도 있고 (즉, 직접 플라즈마) 또는 상이한 위치에서 생성되고 증착 영역 내로 파이핑 (piped) 될 수도 있다 (즉, 원격 플라즈마). 임의의 적절한 플라즈마 생성기가 사용될 수도 있다. 다양한 실시예들에서, 플라즈마는 웨이퍼 (451) 아래의 전력 공급된 하부 전극/샤워헤드 (463) 와 웨이퍼 위의 접지된 상부 전극/상단 표면 (465) 사이에서 생성된 용량 결합 플라즈마이다.
웨이퍼 (451) 위에 작은 전면 갭 (457) 이 있다. 이 갭 (457) 은 웨이퍼 (451) 의 상단 표면과 반응 챔버 내의 상부 표면 (455) 사이에서 연장한다. 도 4a에서 갭의 사이즈는 예시를 목적으로 과장되었다. 상부 표면 (455) 은 히터, 접지 플레이트, 챔버 실링, 또는 다른 타입의 플레이트/표면일 수도 있다. 많은 경우들에서, 이 상부 표면 (455) 은 전극으로서 기능한다. 일부 실시예들에서, 전면 갭 (457) 의 높이는 약 0.5 ㎜ 이하, 예를 들어 약 0.35 ㎜ 이하이다. 이들 또는 다른 실시예들에서, 전면 갭 (457) 의 높이는 적어도 약 0.1 ㎜ 이상, 예를 들어 적어도 약 0.25 ㎜ 이상이다. 많은 경우들에서, 이 상부 표면 (455) 은 웨이퍼에 실질적으로 평행하다. 이 상부 표면/전극 (455) 은 또한 웨이퍼 지지 링 (453) 과 접촉되도록 도 4b에 도시된 바와 같이, 기판의 에지 주변에서 연장할 수도 있다. 증착 동안, 불활성 가스 (예를 들어, N2, Ar, 등) 가 전면 유입부 (465) 로부터 도입되고 웨이퍼 (451) 의 전면 위를 통과한다. 전면 유입부 (465) 는 불활성 가스가 웨이퍼의 중심으로부터 바깥쪽으로 유동하도록, 웨이퍼 (451) 의 중심에서 또는 중심 가까이에 위치될 수도 있다. 이러한 바깥쪽으로 유동하는 불활성 가스는, 증착 유발 가스들이 전면 갭 (457) 로 들어가지 않고, 또는 웨이퍼 (451) 의 전면과 접촉하지 않는 것을 보장하는 것을 돕는다. 즉, 불활성 가스 플로우는, 후면 증착 동안 어떠한 재료도 웨이퍼 (451) 의 전면 상에 증착할 수 없다는 것을 보장하는 것을 돕는다. 웨이퍼 (451) 의 전면을 추가로 보호하기 위해, 전면 갭 (457) 은 플라즈마 시스의 두께보다 작아지도록 설계될 수도 있다. 이는 플라즈마가 기판에 대미지를 줄 수 있는 전면 갭으로 들어가지 않는다는 것을 보장하는 것을 돕는다.
많은 경우들에서, 플라즈마는 상부 전극과 하부 전극 사이에서 생성된 용량 결합 플라즈마이다. 특정한 경우들에서, 상부 전극은 접지와 접속될 수도 있고, 하부 전극은 RF 소스와 접속될 수도 있다. 하부 전극은 플라즈마로부터 전자들을 수거하기 위해 부분적으로 동작할 수도 있다. (예를 들어, LF 주파수 및 HF 주파수 및 LF 전력 및 HF 전력 양자를 사용하고 제어하는) 듀얼 RF가 다양한 경우들에서 증착된 막의 응력을 조절하기 위해 사용될 수도 있다.
일부 실시예들에서, 후면 증착 반응기는 후면 증착을 수행하기 위해 변경된 베벨 세정 장치 (bevel clean apparatus) 이다. 변경될 수도 있는 프로세싱 장치의 일 예는 CA, Fremont의 Lam Research로부터의 Coronus® 플라즈마 베벨 세정 장치이다. 이 장치는 이하의 미국 득허들에서 더 논의되고, 각각은 본 명세서에 참조로서 인용되었다: 명칭이 “BEVEL ETCHER WITH GAP CONTROL”인, 2007년 1월 26일 출원된 미국 특허 제 7,858,898 호; 명칭이 “CONFIGURABLE BEVEL ETCHER”인, 2007년 1월 26일 출원된 미국 특허 제 7,943,007 호; 및 명칭이 “METHOD AND APPARATUS FOR PROCESSING BEVEL EDGE”인, 2009년 12월 17일 출원된 미국 특허 제 8,562,750 호.
후면 증착을 수행하기에 유용한 변경들은 통상적으로, 상이한 웨이퍼 홀더 (예를 들어, 웨이퍼의 주변부에서 웨이퍼를 지지하고 프로세싱 동안 웨이퍼의 후면으로 하여금 플라즈마에 노출된 채로 유지되게 하는 환형 웨이퍼 홀더) 의 설치, 및 (예를 들어, 불활성 가스를 웨이퍼의 전면 위의 전면 갭으로 또한 전달하면서, 웨이퍼의 후면 아래의 증착 영역으로 증착 가스들을 전달하기 위한) 상이한 가스 전달 시스템의 설치 (또는 수정)를 포함한다. 또한, 이미 존재하지 않는다면, 웨이퍼 위에 히터 및/또는 접지 플레이트가 부가될 수도 있다.
도 5는 웨이퍼의 전면 상에 막을 증착하기 위한 프로세스 스테이션 (500) 의 단순화된 버전의 단면도를 도시한다. 단순화를 위해, 프로세스 스테이션 (500) 은 저압 환경을 유지하기 위한 프로세스 챔버 바디 (502) 를 갖는 독립적인 스테이션으로서 도시되었다. 그러나, 복수의 프로세스 스테이션들 (500) 이 공통 프로세스 툴 환경에 포함될 수도 있다는 것이 인식될 것이다. 또한, 일부 실시예들에서, 프로세스 스테이션 (500) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (500) 은 분배 샤워헤드 (506) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (501) 과 유체적으로 연통한다. 반응물질 전달 시스템 (501) 은 분배 샤워헤드 (506) 로 전달하기 위해 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 베젤 (504) 을 포함한다. 하나 이상의 혼합 베젤 유입구 밸브들 (520) 이 혼합 베젤 (504) 로의 프로세스 가스들의 도입을 제어할 수 있다.
일부 반응물질들은 기화 및 프로세스 스테이션으로의 후속 전달 전에 액체 형태로 저장될 수도 있다. 도 5의 실시예는 혼합 베젤 (504) 로 공급될 액체 반응물질을 기화하기 위해 기화 지점 (503) 을 포함한다. 일부 실시예들에서, 기화 지점 (503) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 적절한 제어가 일어나지 않을 때 (이를 해결하는 일 방식은 액체 반응물질 기화/원자화 시 헬륨을 포함하는 것이다) 다운스트림 전달 파이프에서 응결될 수도 있다. 양립할 수 없는 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 방법들은 잔여 반응물질을 제거하기 위해 전달 파이프를 스윕핑 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 증가시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (503) 의 전달 파이핑 다운스트림에서 열 추적될 수도 있다. 일부 예들에서, 혼합 베젤 (504) 이 또한 열 추적될 수도 있다. 비제한적인 일 예에서, 기화 지점 (503) 의 파이핑 다운스트림에서 혼합 베젤 (504) 에서 대략 100 ℃ 내지 대략 150 ℃로 연장하는 증가하는 온도 프로파일을 갖는다.
언급된 바와 같이, 일부 실시예들에서 기화 지점 (503) 은 가열된 액체 주입기이다. 액체 주입기는 액체 반응물질의 펄스들을 혼합 베젤의 업스트림에서 캐리어 가스 스트림으로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래시함으로써 반응물질을 기화시킬 수도 있다. 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프에서 이어서 기화되는 분산된 마이크로드롭릿들로 액체를 원자화할 수도 있다. 보다 작은 드롭릿들이 보다 큰 드롭릿들보다 보다 고속으로 기화될 수 있어서, 액체 주입과 기화 완료 간의 지연을 감소시킨다. 보다 고속의 기화는 기화 지점 (503) 으로부터 파이핑 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 베젤 (504) 에 바로 장착될 수도 있다. 다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (506) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (503) 의 업스트림에, 액체 유량 제어기가 기화 및 프로세스 스테이션 (500) 으로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 유량 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 유량을 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 전환될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 전환될 수도 있다.
분배 샤워헤드 (506) 는 기판 (512) 을 향하여 프로세스 가스들을 분배한다. 도 5에 도시된 실시예에서, 기판 (512) 은 분배 샤워헤드 (506) 아래에 위치되고, 페데스탈 (508) 상에 얹힌 것으로 도시된다. 분배 샤워헤드 (506) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (512) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 마이크로볼륨 (507) 은 분배 샤워헤드 (506) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨에서보다 마이크로볼륨 내에서 CFD 프로세스를 수행하는 것은 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 볼륨을 포함한다.
일부 실시예들에서, 페데스탈 (508) 은 기판 (512) 을 마이크로볼륨 (507) 에 노출시키고/시키거나 마이크로볼륨 (507) 의 체적을 변화시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 단계에서, 페데스탈 (508) 은 기판 (512) 으로 하여금 페데스탈 (508) 상으로 로딩되게 하도록 하강될 수도 있다. 기판 상의 증착 프로세스 단계 동안, 페데스탈 (508) 은 마이크로볼륨 (507) 내에 기판 (512) 을 포지셔닝하기 위해 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (507) 은 증착 프로세스 동안 높은 플로우 임피던스 영역을 생성하기 위해 페데스탈 (508) 의 일부뿐만 아니라 기판 (512) 을 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (508) 은 마이크로볼륨 (507) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 증착 프로세스의 일부 동안 하강되고/되거나 상승될 수도 있다. 프로세스 챔버 바디 (502) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (508) 을 하강시키는 것은 마이크로볼륨 (507) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
다른 시나리오에서, 페데스탈 (508) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 변할 수 있게 한다. 증착 프로세스 단계의 종료 시, 페데스탈 (508) 은 또다른 기판 이송 단계 동안 페데스탈 (508) 로부터 기판 (512) 의 제거를 허용하기 위해 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변화들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 분배 샤워헤드 (506) 의 위치는 마이크로볼륨 (507) 의 체적을 변화시키기 위해 페데스탈 (508) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (508) 및/또는 분배 샤워헤드 (506) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 변화될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (508) 은 기판 (512) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
도 5에 도시된 실시예로 돌아가면, 분배 샤워헤드 (506) 및 페데스탈 (508) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (514) 및 매칭 네트워크 (516) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (514) 및 매칭 네트워크 (516) 는 목표된 조성의 라디컬 종들을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (514) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (514) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy sensors) 에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 활성화 단계를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 단계들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 단계에 선행하는 레시피 단계에 포함될 수도 있다. 예를 들어, 제 1 레시피 단계는 불활성 (예를 들어, 헬륨) 및/또는 반응 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정 점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 단계는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 단계는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 단계들은 더 세분화될 수도 있고/있거나 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 점화는 지속기간 중에서 몇 초 이상 지속된다. 본 명세서에 기술된 특정한 구현예들에서, 예를 들어, 원자층 증착이 사용되면, 프로세싱 사이클 동안 훨씬 짧은 플라즈마 점화가 인가될 수도 있다. 이들은 0.25 초가 구체적인 예인, 50 ㎳ 내지 1 초의 차수일 수도 있다. 이러한 짧은 RF 플라즈마 점화는 플라즈마의 고속 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 주파수가 플로팅하도록 허용되는 동안, 임피던스 매칭이 특정한 전압으로 프리셋되도록 구성될 수도 있다. 관습적으로, 고주파수 플라즈마들이 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시예들에서, 주파수는 이들 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하면서 주파수가 플로팅되게 허용함으로써, 플라즈마는 훨씬 고속으로 안정화될 수 있고, ALD/CFD 사이클들과 연관된 매우 짧은 플라즈마 점화들을 사용할 때 이 결과가 중요할 수도 있다.
일부 실시예들에서, 페데스탈 (508) 은 히터 (510) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 스테이션 (500) 을 위한 압력 제어가 버터플라이 밸브 (518) 에 의해 제공될 수도 있다. 도 5의 실시예에 도시된 바와 같이, 버터플라이 밸브 (518) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (500) 의 압력 제어는 또한 프로세스 스테이션 (500) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다.
프로세스 스테이션 (500) 의 내부 표면들은, 언더코팅 및/또는 증착 전 코팅을 포함할 수도 있는 컨디셔닝 층 (550) 으로 코팅될 수도 있다. 컨디셔닝 층으로 코팅되는 표면들의 예들은 챔버 벽들 (502), 챔버 실링 및 플로어, 페데스탈 (508) 및 분배 샤워헤드 (506) 를 포함한다.
전면 증착을 수행하기 위해 적합한 장치 및 방법들은 이하의 미국 특허들 및 특허 출원들에서 더 논의되고, 각각이 본 명세서에 전체가 참조로서 인용되었다: 명칭이 “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”인 2011년 4월 11일 출원된 미국 특허 출원 제 13/084,399 호; 명칭이 “METHOD AND APPARATUS FOR REDUCTION OF DEFECTIVITY IN VAPOR DEPOSITED FILMS”인 2014년 1월 17일 출원된 미국 특허 출원 제 14/158,536 호; 및 명칭이 “PLASMA-ACTIVATED DEPOSITION OF CONFORMAL FILMS”인 2010년 9월 23일 출원된 미국 특허 제 8,101,531 호. 전면 증착을 수행하기 위해 적합한 장치의 일 예는 CA, Fremont의 Lam Research Corp.로부터의 VECTOR® 제품군이다.
도 6은 특정한 실시예들에서 기판을 에칭하기 위해 사용될 수도 있는 유도 커플링된 반응 챔버/툴 (600) 의 단면도를 도시한다. 본 명세서의 실시예들은 비유도 커플링된 플라즈마들을 사용하여서도 실시될 수 있다. 유도 커플링된 플라즈마 에칭 장치 (600) 는 챔버 벽들 (601) 및 윈도 (611) 에 의해 구조적으로 규정된 전체 에칭 챔버를 포함한다. 챔버 벽들 (601) 은 통상적으로 스테인리스 스틸 또는 알루미늄으로부터 제조된다. 윈도 (611) 는 통상적으로 석영 또는 다른 유전체 재료로부터 제조된다.
선택적인 내부 플라즈마 그리드 (650) 는 전체 에칭 챔버를 (플라즈마가 생성되는) 상부 서브챔버 (602) 및 (기판이 프로세싱되는) 하부 서브챔버 (603) 으로 분할할 수도 있다. 특정한 다른 구현예들에서, 보다 복잡한 플라즈마 그리드 어셈블리가 사용된다. 예를 들어, 플라즈마 그리드 어셈블리는 복수의 그리드들뿐만 아니라 지지 구조체들 및 움직임 유발 엘리먼트들을 포함할 수도 있다. 플라즈마 그리드 (650) 또는 그리드 어셈블리는 웨이퍼가 프로세싱되는, 하부 서브챔버 (603) 에서 이온-이온 플라즈마의 형성을 촉진하도록 사용될 수도 있다. 이온-이온 플라즈마는 저효율 전자 온도 (예를 들어, 약 1 eV 이하) 및 저 저전자 밀도 (예를 들어, 약 5x109 ㎤ 이하) 를 갖는다. 반대로, 상부 서브챔버 (602) 내에 존재하는 플라즈마는 하부 서브챔버 (603) 내의 플라즈마보다 고효율 전자 온도를 가질 수도 있고, 일부 경우들에서 적어도 약 2 배 높고, eV로 측정된다. 또한, 상부 서브챔버 (602) 내의 플라즈마는 하부 서브챔버 (603) 내의 플라즈마보다 높은 전자 밀도를 가질 수도 있고, 예를 들어 적어도 10 배 높다. 다양한 실시예들에서, 이 내부 플라즈마 그리드 (650) 는 생략될 수도 있고, 상부 서브챔버 (602) 및 하부 서브챔버 (603) 은 단일 프로세싱 챔버 내로 결합된다. 도 6의 나머지 부분의 기술은 플라즈마 그리드 (650) 가 존재하는 실시예들에 초점을 둔다.
척 (617) 은 하단 내측 표면 가까이에서 하부 서브챔버 (603) 내에 위치된다. 척 (617) 은, 그 상에서 에칭 프로세스가 수행되는, 반도체 웨이퍼 (619) 를 수용하고 홀딩하도록 구성된다. 척 (617) 은 기판 존재시, 웨이퍼를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 은 척 (617) 을 둘러싸고, 척 (617) 위에 존재할 때, 웨이퍼의 상단 표면과 대략 평면적인 상부 표면을 갖는다. 척 (617) 은 또한 웨이퍼의 척킹 및 디척킹을 인에이블하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부는 이 목적을 위해 제공될 수도 있다. 척 (617) 의 웨이퍼를 리프트 오프 (lift off) 하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (617) 은 RF 전력 공급부 (623) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (623) 는 접속부 (627) 를 통해 매칭 회로 (621) 에 접속된다. 매칭 회로 (621) 는 접속부 (625) 를 통해 척 (617) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (623) 는 척 (617) 에 접속된다.
코일 (633) 은 윈도 (611) 위에 위치된다. 코일 (633) 은 전기적으로 도전성 재료로부터 제조되고 적어도 하나의 완전한 회전을 포함한다. 도 6에 도시된 예시적인 코일 (633) 은 3 회전을 포함한다. "X"를 갖는 코일 (633) 심볼의 단면은 코일 (633) 이 페이지 내로 회전하며 연장한다는 것을 나타낸다. 반대로, "●"를 갖는 코일 (633) 심볼의 단면은 코일 (633) 이 페이지 외부로 회전하며 연장한다는 것을 나타낸다. RF 전력 공급부 (641) 는 코일 (633) 로 RF 전력을 공급하도록 구성된다. 일반적으로, RF 전력 공급부 (641) 는 접속부 (645) 를 통해 매칭 회로 (639) 에 접속된다. 매칭 회로 (639) 는 접속부 (643) 를 통해 코일 (633) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (641) 는 코일 (633) 에 접속된다. 선택적인 Faraday 차폐부 (649) 는 코일 (633) 과 윈도 (611) 사이에 위치된다. Faraday 차폐부 (649) 는 코일 (633) 에 대해 이격된 관계로 유지된다. Faraday 차폐부 (649) 는 윈도 (611) 바로 위에 배치된다. 코일 (633), Faraday 차폐부 (649), 및 윈도 (611) 는 각각 서로에 실질적으로 평행하도록 구성된다. Faraday 차폐부는 플라즈마 챔버의 유전체 윈도 상에 금속 또는 다른 종들이 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 챔버 내에 위치된 주 주입 포트 (660) 및/또는 때때로 STG로 지칭되는, 측면 주입 포트 (670) 를 통해 공급될 수도 있다. 가스 배기 포트들은 도시되지 않았다. 또한 동작가능한 플라즈마 프로세싱 동안 진공 제어 및 챔버로부터 가스성 부산물들의 제거를 인에이블하도록 챔버 (601) 에 접속된 펌프들도 도시되지 않았다.
장치의 동작 동안, 하나 이상의 반응물질 가스들은 주입 포트들 (660 및/또는 670) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 가스는 주 주입 포트를 통해서만, 또는 측면 주입 포트를 통해서만 공급될 수도 있다. 일부 경우들에서, 주입 포트들은 샤워헤드들에 의해 대체될 수도 있다. Faraday 차폐부 (649) 및/또는 그리드 (650) 는 챔버로의 프로세스 가스의 전달을 허용하는 채널들 및 홀들을 포함할 수도 있다. 즉, Faraday 차폐부 (649) 및 그리드 (650) 중 하나 또는 모두는 프로세스 가스의 전달을 위한 샤워헤드로서 기능할 수도 있다.
RF 전력 공급부 (641) 로부터 코일 (633) 로 인가된 무선주파수 전력은 RF 전류로 하여금 코일 (633) 을 통해 흐르게 한다. 코일 (633) 을 통해 흐르는 RF 전류는 코일 (633) 주변에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (602) 내에 유도 전류를 생성한다. 유도 전류는, 상부 서브챔버 (602) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (602) 내에 존재하는 가스에 대해 작용한다. 내부 플라즈마 그리드 (650) 는 하부 서브챔버 (603) 내에 핫 (hot) 전자들의 양을 제한한다. 다양한 실시예들에서, 장치는, 하부 서브챔버 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록, 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마는 모두 양이온들 및 음이온들을 포함할 것이지만, 이온-이온 플라즈마는 보다 큰 음이온:양이온 비를 가질 것이다. 다양한 이온들 및 라디컬들의 웨이퍼 (619) 와의 물리적 및 화학적 상호작용들은 웨이퍼의 피처들을 선택적으로 에칭한다. 휘발성 에칭 부산물들은 하부 서브챔버로부터 배기 포트 (미도시) 를 통해 제거된다. 이들 휘발성 부산물들은 핫 전자들에 실질적으로 노출되지 않고, 따라서, 이들은 웨이퍼 상에 증착될 수 있는 비휘발성 “끈적끈적한 (sticky)” 해리 생성물로 해리되려 하지 않는다는 것이 중요하다.
통상적으로, 본 명세서에 개시된 척은 약 30℃ 내지 약 250℃, 바람직하게 약 30 내지 150℃의 범위의 상승된 온도에서 동작한다. 온도는 에칭 프로세스 동작들 및 특정한 레시피에 따를 것이다. 챔버 (601) 는 또한 약 1 mTorr 내지 약 95 mTorr, 또는 약 5 내지 20 mTorr의 범위의 압력에서 동작할 것이다.
도시되지 않았지만, 챔버 (601) 는 통상적으로 클린 룸 내 또는 제조 설비에 설치될 때 설비들에 커플링된다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는, 플럼빙 (plumbing) 을 포함한다. 이들 설비들은, 목표 제조 설비 내에 설치될 때, 챔버 (601) 에 커플링된다. 부가적으로, 챔버 (601) 는 로보틱스들로 하여금, 통상적인 자동화를 사용하여 챔버 (601) 안팎으로 반도체 웨이퍼들을 이송하게 할 이송 챔버에 커플링될 수도 있다.
건식 에칭 동작들을 수행하기 위한 장치 및 방법들은 이하의 미국 특허 출원들에서 더 논의되고, 각각은 전체가 참조로서 본 명세서에 인용되었다: 명칭이 “INTERNAL PLASMA GRID FOR SEMICONDCUTOR FABRICATION”인 2013년 11월 15일 출원된 미국 특허 출원 제 14/082,009 호; 명칭이 “INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION”인 2013년 6월 12일 출원된 미국 특허 출원 제 13/916,318 호; 및 명칭이 “ION BEAM ETCHING SYSTEM”인 2013년 7월 8일 출원된 미국 특허 출원 제 13/936,930 호. 일부 실시예들에서 기판을 에칭하기 위해 사용될 수도 있는 장치의 예들은, CA, Fremont의 Lam Research Corp.로부터 입수 가능한 Kiyo® 및 Versys® 반응기들의 군을 포함한다.
도 7은 특정한 실시예들에 따라 습식 화학물질을 사용하여 기판으로부터 재료를 스트립핑하기 위해 사용될 수도 있는 제거 셀을 예시한다. 다른 타입들의 제거 셀들이 적절히 사용될 수도 있다. 이 예에서, 스트립핑 프로세스는 제거 셀 (700) 의 기판 홀더 (702) 내에 기판 (701) 을 수용하고 시일링 (seal) 함으로써 시작된다. 때때로 웨이퍼 홀더로서 또한 지칭되는, 기판 홀더 (702) 는 제자리에 기판을 홀딩하기 위해 대략 링 형상의 컵 내에서 기판의 주변부를 지지한다. 제거될 재료 (많은 실시예들에서 유전체 재료) 는 아래를 향하고, 기판 홀더 (702) 로부터 떨어진다. 컵은 웨이퍼의 측면을 접촉하지 않고 동시에 프로세싱될 웨이퍼를 지지하도록 설계될 수도 있다. 후면 층 제거의 맥락에서, 컵은 웨이퍼의 전면에 실질적으로 접촉하지 않고 웨이퍼를 지지하도록 설계될 수도 있다. 이를 위해, 갭 (720) 은 기판 (701) 위에 위치될 수도 있다. 컵 (702) 및 대응하는 립 시일들 또는 다른 시일들이 재료를 스트립핑하기 위해 사용된 화학물질이 갭 (720) 내로 침투하지 않는다는 것을 보장하도록 설계되어야 한다. 이는 스트립핑 프로세스 동안 웨이퍼의 다른 측면을 보호하는 것을 돕는다. 웨이퍼의 후면 상에서 스트립핑이 발생하면, 이러한 시일들은 대미지로부터 고가의 전면 증착을 보호하는데 있어 특히 중요하다. 웨이퍼가 적절하게 지지되고 보호된다면, 다른 제거 셀 배향들 (예를 들어, 90° 또는 180°만큼 셀을 회전시킴) 이 사용될 수도 있다.
특정한 실시예들에서, 스트립핑될 워크피스는 실질적으로 수평인 배향 (일부 경우들에서 스트립핑 프로세스의 일부 동안 또는 전체 스트립핑 프로세스 동안 완전한 수평에서 약간 변할 수도 있는) 을 갖고 스트립핑 동안 회전하도록 전력이 공급된다. 기술된 스트립핑 장치는 유사한 “분수 (fountain)” 도금 장치와 공통인 많은 설계 피처들을 갖는다. 셀들의 분수 도금 클래스의 부재/장치의 일 예는 CA, Fremont의 Lam Research Corporation에 의해 생산되고 입수가능한 Sabre® 전기도금 시스템이다. 부가적으로, 분수 전기도금 시스템들은, 전체가 본 명세서에 참조로서 인용된, 예를 들어, 2001년 8월 10일 출원된 미국 특허 제 6,800,187 호, 및 2008년 11월 7일 출원된 제 8,308,931 호에 기술된다. 본 명세서의 논의가 수평 기판 배향 타입에 초점을 두지만, 다른 배향들이 가능하다. 일 예에서, 웨이퍼는 실질적으로 수직인 방식으로 배향될 수도 있다.
제거될 재료는 통상적으로 기판의 에지로부터 일부 거리, 예를 들어, 약 1 ㎜ 이격되어 증착되고, 이는 웨이퍼의 주변부 가까이에서 노출된 웨이퍼 기판의 스트립을 남길 수도 있다. 기판 홀더 (702) 는 이러한 노출된 주변 영역에서 기판 (701) 상으로 시일된다. 이러한 배열은 신뢰성 있는 시일을 형성하고, 트랩된 (trapped) 재료를 원치 않게 기판 상에 남아 있게 할 수 있는, 기판 홀더에 의해 재료가 트랩되는 것을 방지한다. 과도한 양의 스트립핑 화학물질들을 요구할 수도 있고 그렇지 않으면 제거 셀 내에서 불량한 유체 역학들 (fluid dynamics) (예를 들어, 웨이퍼의 에지 가까이에서 불연속인 플로우) 을 유발할 수도 있는 유체 누설을 방지하는 것을 돕기 때문에 유익하다.
스트립핑 프로세스는 기판 (701) 이 회전하고, 가열될 수도 있는 스트립핑 용액 내에 침지될 때 계속된다. 회전은 침지 전, 침지 동안, 또는 침지 후에 시작할 수도 있다. 일부 실시예들에서, 회전은 약 1 내지 20 RPM, 예를 들어, 약 5 내지 15 RPM의 레이트로 발생한다. 도 7의 실시예에서, 스트립핑 용액은 서로 실질적으로 평행한 (예를 들어, 약 20°내), 기판 (701) 과 하단 플레이트 (704) 사이의 캐비티 (706) 를 충진한다. 하단 플레이트 (704) 는 캐비티 (706) 내에서의 플로우 특성들을 개선하도록 변경될 수도 있다. 이러한 변경은 웨이퍼 가까이에서 보다 높은 속도, 보다 높은 난기류 (turbulence flow) 를 촉진하는 돌출부들 또는 다른 방해하는 엘리먼트들의 사용을 포함할 수도 있다. 일부 경우들에서, 기판 홀더는 임의의 유체가 캐비티 (706) 에 들어가기 전에 제거 위치 (즉, 침지 위치) 에 위치될 수도 있다. 다른 경우들에서, 유체는 기판 홀더가 제거 위치로 이동하기 전에 베이스 플레이트 (704) 상에 제공된다. 스트립핑 용액은 플로우 분배기 (708) 를 통해 캐비티 (706) 로 들어가고, 유출부 (710) 를 통해 캐비티 (706) 를 나온다. 유체는 다양한 경우들에서 약 20 내지 50 LPM의 레이트로 플로우할 수도 있다. 플로우 분배기 (708) 는 주변에 위치된 플로우 분배기의 섹션에 걸치는 (spanning) C 형상 매니폴드를 포함한다. 일 예에서, 내부 매니폴드는 기판의 주변부에 약 120° 걸친다. 이 배열은 스트립핑 용액으로 하여금 셀의 일 측면에서 캐비티 (706) 로 들어가고, 플로우 패턴을 교차하여 실질적으로 선형인 캐비티를 가로질러 이동하고, 이어서 유출부 (710) 에서 셀을 나가게 한다. 이는 기판의 표면에 전단 (shearing) 동작을 생성한다. 기판 (701) 이 회전하기 때문에, 기판이 스트립핑 용액에 침지될 때, 선형 플로우 패턴들이 웨이퍼의 표면 위에서 상쇄되고, 우수한 재료 제거 결과를 제공한다. 즉, 웨이퍼 아래에 공간적으로 균일한 대류성 (convective) 플로우 필드를 생성하고 웨이퍼를 회전시킴으로써, 피처 각각 및 다이 각각은 회전 및 도금 프로세스의 과정 동안 거의 동일한 플로우 패턴을 나타낸다. 이러한 설정은, 프로세스의 균일성이 가장 중요한, 웨이퍼의 전면으로부터 포토레지스트 또는 다른 재료를 제거하기 위해 특히 유익하다. 스트립핑 장치가 웨이퍼의 후면으로부터 재료를 제거하기 위해 사용되면, 균일성은 중요하지 않고 보다 단순한 제거 챔버가 적절할 수도 있다.
다음에, 기판이 지속 기간 동안 스트립핑 용액에 침지된 채로 유지된다. 용액 노출의 지속 기간은 수반된 화학물질, 용액의 온도, 용액의 플로우 레이트, 스트립핑될 재료의 두께 및 다른 기하학적 특성들, 제거 셀의 정확한 기하구조, 등을 포함하는 다양한 인자들에 따를 것이다. 통상적인 실시예에서, 기판은 재료가 완전히 또는 실질적으로 완전히 제거될 때까지 침지된 채로 유지될 수도 있다. 스트립핑이 후면 희생층을 제거하기 위해 행해졌으면, 기판은 웨이퍼의 후면 상의 희생층 및 임의의 입자들이 제거될 때까지 침지된 채로 남아 있을 수도 있다. 일부 실시예들에서, 완전한 제거는 1 분 미만으로 달성된다. 일부 구현예들에서, 제거될 재료는 완전히 제거된다. 다른 구현예들에서, 재료는 실질적으로 완전히 제거된다 (즉, 재료의 적어도 99 %가 제거됨). 또 다른 구현예들에서, 재료가 덜 제거될 수도 있다 (예를 들어, 재료의 적어도 25 %, 재료의 적어도 50 %, 재료의 적어도 75 %, 또는 재료의 적어도 90 %).
재료가 제거된 후, 기판은, 기판이 린싱되는 린스 위치로 이동될 수도 있다. 린싱 용액은, 제거 챔버 벽 (미도시), 또는 장치의 다른 부분에 적절하게 장착될 수도 있는, 린싱 노즐 (미도시) 을 통해 제공될 수도 있다. 특정한 구현예들에서, 노즐은 정지식일 수 있지만, 다른 구현예들에서, 노즐은 스윙 (swing) 하거나 그렇지 않으면 필요한 장소로 이동할 수도 있다. 일부 실시예들에서, 복수의 린스 노즐들이 사용될 수도 있다. 린싱 용액은 임의의 적절한 용액일 수도 있고, 특정한 실시예들에서는 탈이온수이다. 린싱 동작은 기판의 표면으로부터 스트립핑 용액을 제거하는 것을 돕고, 또한 존재할 수도 있는 (예를 들어, 유전체 재료의) 잔여 입자들을 제거하는 것을 도울 수도 있다. 이어서 기판은, 예를 들어 상승된 회전 레이트 (예를 들어, 약 1000 내지 2000 RPM) 에서 기판의 회전을 통해 건조될 수도 있다.
린싱 단계 및 건조 단계는 제거 셀 (700) 내에서 발생할 수도 있다. 대안적으로, 이들 단계들은 별도의 린싱 모듈 및/또는 건조 모듈 내에서 발생할 수도 있다. 이들 모듈들은 이하에, 도 8에 도시된 바와 같이, 멀티 툴 장치의 부품으로서 구현될 수도 있다.
도 7의 실시예로 돌아가서, 스트립핑 용액은 재순환 용액 루프에서 제공될 수도 있다. 홀딩 탱크 (714) 는 스트립핑 용액의 저장부를 홀딩하기 위해 사용될 수도 있다. 홀딩 탱크는 필요한 양의 용액을 홀딩하기 위해 충분히 커야 한다. 필요한 용액의 양은 기판/제거 챔버/연관된 플럼빙의 스케일에 따를 것이다. 특정한 실시예에서, 홀딩 탱크는 약 50 L의 용액을 홀딩한다.
홀딩 탱크 (714) 는, 스트립핑 용액을 원하는 온도로 유지하도록 동작하는, 가열 엘리먼트 (716) 뿐만 아니라 온도 제어 센서들 및 피드백 루프들 (미도시) 을 가질 수도 있다. 용액은 홀딩 탱크 (714) 를 나가고 펌프 (718) 로 전달되고, 펌프는 유체를 플로우 유입부 (708) 를 가로질러 전달한다. 기판 (701) 이 존재하고 기판 홀더 (702) 가 스트립핑 위치에 있을 때, 좁은 캐비티 (706) 가 기판 (701) 과 하단 플레이트 (704) 사이에 형성된다. 용액은 플로우 유입부 (708) 를 가로질러 나가고, 캐비티 (706) 를 통해 이동하고, 유출부 (710) 에서 나간다. 이어서 용액은 유동시켜 (일부 경우들에서 보 (weir) 벽 위에서, 그리고 다른 경우들에서 전용 플럼빙을 통해), 스크린 (712) 을 통과한다. 일부 구현예들에서, 스크린 (712) 은 약 1 ㎜ 차수의 개구들을 가져 매우 거칠고, 기판의 표면에서 떨어진 재료 (예를 들어, 유전체) 의 조각들을 제거하도록 동작한다. 재료 조각들은 센티미터 또는 수 센티미터의 차수일 수도 있다. 스크린 (712) 은 플로우 루프에서 다양한 위치들에 위치될 수도 있다. 일부 경우들에서, 스크린 (712) 은, 도 7에 도시된 바와 같이, 홀딩 탱크 (714) 에 이르기 전에 유체가 통과하는 별도의 엘리먼트일 수도 있다. 다른 경우들에서, 스크린 (712) 은 홀딩 탱크 (714) 내로 통합될 수도 있다. 일부 경우들에서, 필터가 스크린 대신 사용된다.
스크린 (712) 은 원치 않는 유전체 또는 다른 재료를 제거하기 위해 주기적으로 세정되어야 한다. 스크린 자체는 일반적으로 스트립핑 용액의 충분한 세정을 제공한다. 그러나, 용액은, 필요에 따라 프레시한 (fresh) 스트립핑 용액을 제공하기 위해, 주기적으로 변화되거나 블리드-피드 사이클 (bleed-and-feed cycle) 하에서 동작되어야 한다. 습식 화학물질을 통해 스트립핑을 수행하기 위한 장치는, 본 명세서에 전체가 참조로서 인용된, 명칭이 “APPARATUS FOR ADVANCED PACKAGING APPLICATIONS”인 2013년 5월 29일 출원된 미국 특허 출원 제 13/904,283 호에서 더 논의된다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 8은 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 중 하나 또는 양자가 원격 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 을 갖는, 멀티스테이션 프로세싱 툴 (800) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (808) 를 통해 인바운드 로드록 (802) 으로 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (802) 이 원격 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전에 로드록 내에서 원격 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (802) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 다른 로봇 (미도시) 이 웨이퍼를 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 입력이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는, 도 8에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 다양한 경우들에서, 하나 이상의 스테이션들은 독립적인 모듈을 형성하기 위해 분리될 수도 있다. 임의의 수의 모듈들이 사용될 수도 있다. 모듈들은 도 4a에 도시된 바와 같은 후면 증착 챔버들일 수도 있고, 도 5에 도시된 바와 같은 전면 증착 챔버들일 수도 있고, 도 6에 도시된 바와 같은 에칭 챔버들일 수도 있고, 또는 도 7에 도시된 바와 같은 습식 프로세싱 챔버들일 수도 있다. 다른 타입들의 모듈들이 적절하게 사용될 수도 있다.
스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 818로 도시) 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것을 인식할 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 모드, CFD 모드, 및 CVD 프로세스 모드 사이에서 전환될 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (814) 는 ALD/CFD/CVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 프로세싱 챔버 (814) 가 4 개의 스테이션들을 포함하는 것으로 도시되지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있지만, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 8은 또한 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (890) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들/모듈들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이어 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 시스템 제어기 (850) 의 실시예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리, 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고, 메모리 디바이스 (856) 로 로딩되고, 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스상 및 액체 반응물질들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (800) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 이들 프로그램된 프로세스들은 이들로 제한되는 것은 아니지만, 언더코팅의 증착과 관련된 프로세스들, 기판들 상의 막의 증착 (전면 증착 및/또는 후면 증착) 과 관련된 프로세스들, 기판을 에칭하는 것과 관련된 프로세스들, 기판으로부터 재료를 제거/스트립핑하는 것과 관련된 프로세스들, 및 챔버 세정과 관련된 프로세스들을 포함하는, 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 컨디셔닝 층 증착 프로세스의 단계 각각은 시스템 제어기 (850) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 컨디셔닝 층 증착 프로세스 단계에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 컨디셔닝 층 증착 레시피 단계에 포함될 수도 있다. 일부 실시예들에서, 일 프로세스 단계를 위한 모든 인스트럭션들이 그 프로세스 단계와 동시에 실행되도록 레시피 단계들이 연속적으로 배열될 수도 있다.
시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 프로세스 액 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다. 기판 포지셔닝 프로그램은 컨디셔닝 층들을 증착하고, 기판들 상에 막들을 증착하고, 챔버를 세정하기 위해 필요에 따라 반응 챔버의 내부 및 외부로 기판들을 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다. 이들은 컨디셔닝 층의 증착 동안 그리고 세정 프로세스 동안 반응 챔버 내에 기판이 존재하지 않는다는 것을 보장하기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 하나 이상의 프로세스 스테이션들로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 프로세스 액 제어 프로그램은 프로세스 액 조성, 및 프로세싱 모듈 내로 프로세스 액들 (예를 들어, 스트립핑 용액) 을 도입하기 위한 플로우 레이트를 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어, 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로 또는 부가적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열전달 가스의 전달을 제어할 수도 있다. 플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른 하나 이상의 스테이션들에서 RF 전력 레벨들, 주파수들, 듀티 사이클들, 및 노출 시간들을 설정하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 (또는 액) 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인 시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 본 발명에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
상기에 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다.
막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 예를 들어, 그 위에 실리콘 나이트라이드막이 형성된 기판과 같은 워크피스 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다. 일부 실시예들에서, (비정질 탄소층과 같은) 애싱가능한 하드 마스크층 및 (반사방지층과 같은) 다른 적합한 하드 마스크가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에 기술된 구성들 및/또는 방법들은 본질적으로 예시적이고, 이들 구체적인 실시예들 또는 예들은 다수의 변형들이 가능하기 때문에 제한하는 의미로 간주되지 않는다는 것이 이해된다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들이 예시된 순서로, 또는 다른 순서로, 동시에 수행되거나, 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변할 수도 있다.
본 개시의 주제는 본 명세서에 개시된 다양한 프로세스들, 시스템들, 및 구성들, 및 다른 특징들, 기능들, 동작들, 및/또는 특성들의 모든 신규하고 명백하지 않은 조합들 및 하위 조합들 및 이들의 등가물을 포함한다.

Claims (18)

  1. 기판의 후면 상에 막을 증착하기 위한 장치에 있어서,
    반응 챔버;
    전면 유입부;
    상기 전면 유입부 아래에 위치되고 샤워헤드에 대해 상향 방향으로 상기 샤워헤드 내로 흐르는 가스를 지향시키도록 구성된, 상기 샤워헤드;
    기판 지지 메커니즘으로서, 상기 기판 지지 메커니즘은 웨이퍼 지지 링을 포함하고, 상기 웨이퍼 지지 링은 상기 샤워헤드 위에 위치된 전극과 접촉하고 그리고 기판이 기판 지지 메커니즘에 의해 지지될 때 상기 기판의 전면이 상기 전면 유입부를 향하도록 그리고 상기 기판이 상기 기판 지지 메커니즘에 의해 지지될 때 상기 기판의 후면이 상기 샤워헤드를 향하도록 상기 기판의 주변부 또는 주변부 가까이에서 상기 기판 아래로 연장하고 상기 기판을 아래로부터 지지하도록 구성된 하나 이상의 부분들을 갖는, 상기 기판 지지 메커니즘; 및
    상기 기판이 상기 기판 지지 메커니즘에 의해 지지될 때 장치로 하여금 상기 샤워헤드를 통해 증착 가스를 흘림으로써 상기 기판 상에서 증착 프로세스를 수행하게 하도록 구성된 제어기를 포함하는, 장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 샤워헤드는 상기 전면 유입부를 향해 대면하는 상기 샤워헤드의 표면에 걸쳐 분포된 상기 증착 가스를 분배하기 위한 복수의 유출부들을 갖는, 장치.
  4. 제 1 항에 있어서,
    상기 기판 지지 메커니즘은 상기 기판의 상기 전면과 상기 기판을 향하는 상기 장치의 가장 가까운 표면 사이의 갭이 0.5 ㎜ 이하의 높이를 갖도록 상기 기판을 지지하도록 구성되는, 장치.
  5. 제 1 항에 있어서,
    상기 반응 챔버의 하나 이상의 부분들은 상기 기판의 상기 전면과 상기 기판을 향하는 상기 장치의 가장 가까운 표면 사이의 갭의 높이 및 상기 기판의 후면과 상기 샤워헤드 사이의 갭의 높이 중 적어도 하나가 변화될 수 있도록 이동 가능한, 장치.
  6. 제 1 항에 있어서,
    상기 기판 지지 메커니즘은 상기 기판의 상기 전면 중 어느 것도 상기 반응 챔버의 임의의 부분과 콘택트하지 않도록 상기 기판을 지지하도록 구성되는, 장치.
  7. 제 1 항에 있어서,
    상기 샤워헤드의 적어도 일부는 하부 전극으로서 작용하도록 구성되고,
    상기 기판은, 상기 기판 지지 메커니즘에 의해 지지될 때, 상기 전극과 상기 하부 전극 사이에 개재되는, 장치.
  8. 제 7 항에 있어서,
    상기 제어기는 상기 전극 및 상기 하부 전극 중 하나에 전력이 공급되게 함으로써 상기 전극과 상기 하부 전극 사이의 위치에서 플라즈마가 생성되게 하도록 더 구성되는, 장치.
  9. 제 1 항에 있어서,
    상기 증착 가스를 제공하는 가스 소스를 더 포함하는, 장치.
  10. 제 1 항, 제 3 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 증착 가스는 실리콘 함유 가스인, 장치.
  11. 제 1 항, 제 3 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 증착 가스는 실란을 포함하는, 장치.
  12. 제 11 항에 있어서,
    상기 증착 가스는 할로겐을 포함하지 않는, 장치.
  13. 제 1 항, 제 3 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 증착 가스는 할로실란을 포함하는, 장치.
  14. 제 13 항에 있어서,
    상기 증착 가스는 수소 또는 산소 중 하나 또는 모두를 포함하지 않는, 장치.
  15. 제 1 항, 제 3 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 증착 가스는 유기 실란을 포함하는, 장치.
  16. 제 1 항, 제 3 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 증착 가스는 클로로실란을 포함하는, 장치.
  17. 제 1 항, 제 3 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 증착 가스는 아미노실란을 포함하는, 장치.
  18. 제 1 항, 제 3 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 증착 가스는 TEOS (tetraethyl orthosilicate), 순환적 TEOS 변종, 비순환적 TEOS 변종, TMOS (tetramethoxysilane), FTES (fluorotriethoxysilane), TMS (Trimethylsilane), OMCTS (octamethyltetracyclosiloxane), TMCTSO (tetramethylcyclotetrasiloxane), DMDS (dimethyldimethoxysilane), HMDS (hexamethyldisilazane), HMDSO (hexamethyldisiloxane), HMCTSO (hexamethylcyclotrisiloxane), DMDEOS (dimethyldiethoxysilane), MTMOS (methyltrimethoxysilane), TMDSO (tetramethyldisiloxane), VSI2 (divinyltetramethyldisiloxane), MTEOS (methyltriethoxysilane), DMTMODSO (dimethyltetramethoxydisiloxane), ETEOS (ethyltriethoxysilane), ETMOS (ethyltrimethoxysilane), HMODS (hexamethoxydisilane), BTEOSE (bis(triehtoxysilyl)ethane), BTMOSE (bis(trimethoxysilyl)ethane), DMEOS (dimethylethoxysilane), TEODMDSO (tetraethoxydimethyldisiloxane), TTMSOS (tetrakis(trimehtylsiloxy)silane), TMDEODSO (tetramethyldiethoxydisiloxane), TIEOS (triethoxysilane), TIMEOS (trimethoxysilane), 또는 TPOS (tetrapropoxysilane) 가스를 포함하는, 장치.
KR1020220035739A 2014-05-22 2022-03-23 후면 증착 장치 및 애플리케이션들 KR102548630B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220088448A KR20220106093A (ko) 2014-05-22 2022-07-18 후면 증착 장치 및 애플리케이션들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/285,544 2014-05-22
US14/285,544 US9881788B2 (en) 2014-05-22 2014-05-22 Back side deposition apparatus and applications
KR1020150071073A KR102379334B1 (ko) 2014-05-22 2015-05-21 후면 증착 장치 및 애플리케이션들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150071073A Division KR102379334B1 (ko) 2014-05-22 2015-05-21 후면 증착 장치 및 애플리케이션들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220088448A Division KR20220106093A (ko) 2014-05-22 2022-07-18 후면 증착 장치 및 애플리케이션들

Publications (2)

Publication Number Publication Date
KR20220041810A KR20220041810A (ko) 2022-04-01
KR102548630B1 true KR102548630B1 (ko) 2023-06-27

Family

ID=54556574

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020150071073A KR102379334B1 (ko) 2014-05-22 2015-05-21 후면 증착 장치 및 애플리케이션들
KR1020220035739A KR102548630B1 (ko) 2014-05-22 2022-03-23 후면 증착 장치 및 애플리케이션들
KR1020220088448A KR20220106093A (ko) 2014-05-22 2022-07-18 후면 증착 장치 및 애플리케이션들
KR1020230160107A KR20230162912A (ko) 2014-05-22 2023-11-20 후면 증착 장치 및 애플리케이션들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150071073A KR102379334B1 (ko) 2014-05-22 2015-05-21 후면 증착 장치 및 애플리케이션들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220088448A KR20220106093A (ko) 2014-05-22 2022-07-18 후면 증착 장치 및 애플리케이션들
KR1020230160107A KR20230162912A (ko) 2014-05-22 2023-11-20 후면 증착 장치 및 애플리케이션들

Country Status (4)

Country Link
US (1) US9881788B2 (ko)
KR (4) KR102379334B1 (ko)
CN (1) CN105088177B (ko)
TW (1) TWI656234B (ko)

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160042968A1 (en) * 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10818611B2 (en) * 2015-07-01 2020-10-27 Ii-Vi Delaware, Inc. Stress relief in semiconductor wafers
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9870917B2 (en) * 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11908728B2 (en) 2017-07-28 2024-02-20 Tokyo Electron Limited System for backside deposition of a substrate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP7290634B2 (ja) * 2017-10-31 2023-06-13 ラム リサーチ コーポレーション リアクタの処理バッチサイズを増加させるための方法および装置
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019162041A1 (en) 2018-02-26 2019-08-29 Evatec Ag Stabilizing stress in a layer with respect to thermal loading
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102658921B1 (ko) * 2018-03-30 2024-04-18 삼성전자주식회사 반도체 기판의 비활성면 상에 박막을 형성하는 장치 및 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068254A1 (en) 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems
WO2020068139A1 (en) * 2018-09-28 2020-04-02 Lam Research Corporation Asymmetric wafer bow compensation
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
KR20200080460A (ko) * 2018-12-26 2020-07-07 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210111354A (ko) * 2019-01-31 2021-09-10 램 리써치 코포레이션 설정가능한 (configurable) 가스 유출구들을 갖는 샤워헤드
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP7316379B2 (ja) * 2019-05-03 2023-07-27 アプライド マテリアルズ インコーポレイテッド 裏側物理的気相堆積の方法及び装置
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR102185623B1 (ko) * 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11572618B2 (en) 2019-08-27 2023-02-07 Applied Materials, Inc. Method and chamber for backside physical vapor deposition
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7233348B2 (ja) 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
JP2023504033A (ja) * 2019-11-27 2023-02-01 ラム リサーチ コーポレーション レジストによるめっきのためのエッジ除去
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2023509451A (ja) * 2020-01-03 2023-03-08 ラム リサーチ コーポレーション 裏面反り補償堆積のステーション間制御
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2023524023A (ja) * 2020-04-28 2023-06-08 ラム リサーチ コーポレーション ウエハのベベル/縁部上の堆積を制御するためのシャワーヘッド設計
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
HUE060077T2 (hu) 2020-05-08 2023-01-28 Procter & Gamble Mosószertermék tartály zárral
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR102494202B1 (ko) * 2020-06-25 2023-02-01 램 리써치 코포레이션 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3936450A1 (en) 2020-07-09 2022-01-12 The Procter & Gamble Company Cardboard support element
EP3936451A1 (en) 2020-07-09 2022-01-12 The Procter & Gamble Company Detergent product container
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11830778B2 (en) 2020-11-12 2023-11-28 International Business Machines Corporation Back-side wafer modification
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114686858B (zh) * 2020-12-30 2024-03-12 中微半导体设备(上海)股份有限公司 一种薄膜生长***以及基片托盘和载环组件
US11935746B2 (en) * 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures
CN113066755B (zh) * 2021-03-23 2023-06-13 西安微电子技术研究所 一种芯片背面金属化夹具及芯片背面金属化方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
EP4238876A1 (en) 2022-01-14 2023-09-06 The Procter & Gamble Company Process for forming a hood for a tray
WO2024030382A1 (en) * 2022-08-05 2024-02-08 Lam Research Corporation Reducing thermal bow shift
WO2024072609A1 (en) * 2022-09-28 2024-04-04 Applied Materials, Inc. Correction of global curvature during stress management

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002208590A (ja) * 2001-01-10 2002-07-26 Mitsubishi Electric Corp 半導体製造装置及び半導体装置の製造方法
JP2003027242A (ja) 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
DE19622402C1 (de) * 1996-06-04 1997-10-16 Siemens Ag Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung
US6051501A (en) 1996-10-09 2000-04-18 Micron Technology, Inc. Method of reducing overetch during the formation of a semiconductor device
JP3612158B2 (ja) 1996-11-18 2005-01-19 スピードファム株式会社 プラズマエッチング方法及びその装置
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6046097A (en) 1999-03-23 2000-04-04 United Microelectronics Corp. Deposition method with improved step coverage
US20020179247A1 (en) 2001-06-04 2002-12-05 Davis Matthew F. Nozzle for introduction of reactive species in remote plasma cleaning applications
MY148924A (en) 2001-09-29 2013-06-14 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
JP2003115483A (ja) * 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
JP2003168645A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体薄膜装置、その製造方法及び画像表示装置
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040137745A1 (en) 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
JP4413084B2 (ja) * 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US6838355B1 (en) 2003-08-04 2005-01-04 International Business Machines Corporation Damascene interconnect structures including etchback for low-k dielectric materials
US20070110895A1 (en) * 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
CN101389415A (zh) 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
KR101432561B1 (ko) * 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
CN101358337B (zh) * 2008-09-25 2010-08-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
DE102009020436A1 (de) * 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
EP2251897B1 (en) * 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
JP4969607B2 (ja) * 2009-05-25 2012-07-04 シャープ株式会社 半導体積層構造体の製造方法
WO2011035157A2 (en) * 2009-09-18 2011-03-24 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002208590A (ja) * 2001-01-10 2002-07-26 Mitsubishi Electric Corp 半導体製造装置及び半導体装置の製造方法
JP2003027242A (ja) 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法

Also Published As

Publication number Publication date
CN105088177A (zh) 2015-11-25
US20150340225A1 (en) 2015-11-26
US9881788B2 (en) 2018-01-30
TWI656234B (zh) 2019-04-11
KR20220106093A (ko) 2022-07-28
KR20220041810A (ko) 2022-04-01
KR20230162912A (ko) 2023-11-29
TW201608053A (zh) 2016-03-01
KR20150139774A (ko) 2015-12-14
KR102379334B1 (ko) 2022-03-25
CN105088177B (zh) 2018-09-21

Similar Documents

Publication Publication Date Title
KR102548630B1 (ko) 후면 증착 장치 및 애플리케이션들
US20200152446A1 (en) Ultrathin atomic layer deposition film accuracy thickness control
JP7303796B2 (ja) 基板の選択した側に堆積させるためのpecvd堆積システム
KR102366249B1 (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US20180061650A1 (en) High dry etch rate materials for semiconductor patterning applications
US20160329206A1 (en) Methods of modulating residual stress in thin films
EP2618365A2 (en) Method for depositing a chlorine-free conformal SiN film
WO2011130397A2 (en) Improved silicon nitride films and methods
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
KR20220104300A (ko) 방사상으로 가변된 플라즈마 임피던스를 갖는 캐리어 링들
KR102494202B1 (ko) 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들
WO2023205284A1 (en) Lateral gap fill
TW202346626A (zh) 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
WO2024091844A1 (en) Fluorine reduction is silicon-containing films
JP2023516077A (ja) 集積回路処理におけるウエハ反りの制御

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant