KR102540183B1 - 수소 활성화된 원자 층 에칭 - Google Patents

수소 활성화된 원자 층 에칭 Download PDF

Info

Publication number
KR102540183B1
KR102540183B1 KR1020197026055A KR20197026055A KR102540183B1 KR 102540183 B1 KR102540183 B1 KR 102540183B1 KR 1020197026055 A KR1020197026055 A KR 1020197026055A KR 20197026055 A KR20197026055 A KR 20197026055A KR 102540183 B1 KR102540183 B1 KR 102540183B1
Authority
KR
South Korea
Prior art keywords
gas
sin
sio
fluorinated polymer
sige
Prior art date
Application number
KR1020197026055A
Other languages
English (en)
Other versions
KR20190107158A (ko
Inventor
신 장
알란 젠슨
제라도 델가디노
다니엘 레
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190107158A publication Critical patent/KR20190107158A/ko
Application granted granted Critical
Publication of KR102540183B1 publication Critical patent/KR102540183B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

스트럭쳐의 SiGe 또는 Si에 대해 SiO 및 SiN을 선택적으로 에칭하는 방법이 제공된다. 원자 층 에칭의 복수의 사이클들이 제공되고, 여기서, 사이클 각각은 플루오르화된 폴리머 증착 페이즈 및 활성화 페이즈를 포함한다. 플루오르화된 폴리머 증착 페이즈는 플루오르화탄소 가스를 포함하는 플루오르화된 폴리머 증착 가스를 흘리는 단계, 플루오르화된 폴리머 증착 가스를 스트럭쳐 상에 플루오르화탄소 폴리머 층을 증착하는 플라즈마로 형성하는 단계, 및 플루오르화된 폴리머 증착 가스의 흐름을 중단하는 단계를 포함한다. 활성화 페이즈는 H2 및 불활성 충격 가스를 포함하는 활성화 가스를 흘리는 단계, 활성화 가스를 플라즈마로 형성하는 단계로서, 불활성 충격 가스는 H2로부터 플라즈마 컴포넌트들과 함께 SiGe 및 Si에 대해 SiO 및 SiN이 선택적으로 에칭되게 하는 플루오르화된 폴리머 내 플루오르를 활성화하는, 활성화 가스를 플라즈마로 형성하는 단계, 및 활성화 가스의 흐름을 중단하는 단계를 포함한다.

Description

수소 활성화된 원자 층 에칭
관련 출원의 교차 참조
본 출원은 2017년 2월 6일자로 출원된 미국 출원 제 15/425,899 호의 우선권을 주장하며, 그리고 이 미국 출원은 모든 목적을 위해 본 명세서에 참조로서 인용된다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법과 관련된다. 보다 구체적으로, 본 개시는 반도체 디바이스들을 형성함에 있어서 실리콘 산화물 및 실리콘 질화물을 에칭하는 것과 관련된다.
반도체 디바이스들을 형성함에 있어서 피처들은 실리콘 산화물 및 실리콘 질화물이 에칭되는 곳에서 에칭될 수 있다.
전술한 것을 달성하고, 본 개시의 목적에 따라 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법이 제공된다. 원자 층 에칭의 복수의 사이클들이 제공되고, 여기서, 사이클 각각은 플루오르화된 폴리머 증착 페이즈 및 활성화 페이즈를 포함한다. 플루오르화된 폴리머 증착 페이즈는 플라즈마 프로세싱 챔버 내로 플루오르화탄소 가스를 포함하는 플루오르화된 폴리머 증착 가스를 흘리는 단계, 플루오르화된 폴리머 증착 가스를 스트럭쳐 상에 플루오르화탄소 폴리머 층을 증착하는 플라즈마로 형성하는 단계, 및 플라즈마 프로세싱 챔버 내로 플루오르화된 폴리머 증착 가스의 흐름을 중단하는 단계를 포함한다. 활성화 페이즈는 플라즈마 프로세싱 챔버 내로 H2 및 불활성 충격 가스를 포함하는 활성화 가스를 흘리는 단계, 활성화 가스를 플라즈마로 형성하는 단계로서, 불활성 충격 가스는 H2로부터 플라즈마 컴포넌트들과 함께 SiGe 및 Si에 대해 SiO 및 SiN이 선택적으로 에칭되게 하는 플루오르화된 폴리머 내 플루오르를 활성화하는, 활성화 가스를 플라즈마로 형성하는 단계, 및 플라즈마 프로세싱 챔버 내로 활성화 가스의 흐름을 중단하는 단계를 포함한다. 증착 및 활성화 페이즈는 조건들이 수정된 몇몇의 단계들을 포함할 수도 있다. 유사한 결과들이 예를 들어 H2를 NH3와 같은 수소를 포함하는 가스들로 대체함으로써 얻어질 수 있다.
본 개시의 이들 및 다른 특징들은 아래의 상세한 기술에서 그리고 다음의 도면들과 함께 보다 상세하게 기술될 것이다.
본 개시는 한정으로서가 아니라, 예로서 유사한 참조 번호들이 유사한 엘리먼트들을 지칭하는 첨부된 도면들의 도면들에 예시된다.
도 1은 일 실시예의 고레벨 (high level) 흐름도이다.
도 2는 플루오르화된 폴리머 증착 페이즈의 보다 상세한 흐름도이다.
도 3은 활성화 페이즈의 보다 상세한 흐름도이다.
도 4a 내지 도 4d는 일 실시예에 따라 프로세싱된 스트럭쳐의 개략적인 단면도들이다.
도 5는 일 실시예에서 이용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 6은 일 실시예를 실시하는데 이용될 수도 있는 컴퓨터 시스템의 개략도이다.
이제, 본 개시는 첨부된 도면들에서 예시되는 것과 같은 몇몇의 바람직한 실시예들을 참조하여 상세하게 기술될 것이다. 후술에서, 본 개시의 철저한 이해를 제공하기 위해 복수의 특정 세부 사항들이 제시된다. 그러나, 통상의 기술자에게, 본 개시는 이러한 구체적인 세부사항들의 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예에서, 본 개시를 불필요하게 모호하게 하지 않도록 하기 위해 주지된 프로세스 단계들 및/또는 스트럭쳐들은 상세하게 기술되지 않았다.
도 1은 일 실시예의 고레벨 흐름도이다. 이 실시예에서, 스택과 같은 스트럭쳐를 가진 기판이 플라즈마 프로세싱 챔버에 배치된다 (단계 116). 순환적인 원자 층 에칭이 제공된다 (단계 108). 원자 층 에칭 프로세스의 사이클 각각은 플루오르화된 폴리머 증착 페이즈 (단계 112) 및 활성화 페이즈 (단계 116) 를 포함한다. 스트럭쳐를 가진 기판은 플라즈마 프로세싱 챔버로부터 제거된다 (단계 120). 도 2는 플루오르화된 폴리머 증착 페이즈 (단계 112) 의 보다 상세한 흐름도이다. 플루오르화된 폴리머 증착 가스는 플라즈마 프로세싱 챔버 내로 흐른다 (단계 204). 플루오르화된 폴리머 증착 가스는 스트럭쳐 상에 플루오르화탄소 폴리머를 증착하는 플라즈마로 형성된다 (단계 208). 플루오르화된 폴리머 증착 가스의 흐름은 중단된다 (단계 212). 도 3은 활성화 페이즈 (단계 116) 의 보다 상세한 흐름도이다. 활성화 가스는 플라즈마 프로세싱 챔버 내로 흐르고, 활성화 가스는 불활성 충격 가스 및 H2를 포함한다 (단계 304). 활성화 가스는 플라즈마로 형성되고, H2로부터 컴포넌트와 함께 SiGe 및 Si에 대해 SiO 및 SiN이 선택적으로 에칭되게 하는 플루오르화된 폴리머 내 플루오르를 활성화한다 (단계 308). 명세서 및 청구범위에서, SiGe 및 Si는 도핑된 (doped) SiGe 및 Si 그리고 도핑되지 않은 (undoped) SiGe 및 Si를 포함한다. 활성화 가스의 흐름은 중단된다 (단계 312).
예시
일 예에서, 스트럭쳐를 가진 기판은 플라즈마 프로세싱 챔버 내에 배치된다 (단계 104). 도 4a는 게이트 스트럭쳐들 (418), 및 (412) 와, 실리콘 층 (408) 아래 실리콘 기판 (404) 을 가진 스택과 같은 스트럭쳐 (400) 의 개략적인 단면도이다. 게이트 스트럭쳐들 (418) 은 금속성 게이트 스트럭쳐들이다. SiN의 CESL (coated etch stop layer) (420) 은 게이트 스트럭쳐들 (418) 및 콘택트 스트럭쳐들 (412) 위에 컨포멀하게 증착되었다. SiO의 유전체 층 (424) 은 CESL (420) 위에 있다. 하드 마스크 (428) 는 유전체 층 (424) 위에 형성된다.
도 5는 일 실시예에서 이용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다. 하나 이상의 실시예들에서, 플라즈마 프로세싱 챔버 (500) 는 챔버 벽 (550) 에 의해 둘러싸인, 프로세싱 챔버 (549) 내의, 가스 유입구 및 ESC (electrostatic chuck) (508) 를 제공하는 가스 분배 플레이트 (506) 를 포함한다. 프로세싱 챔버 (549) 내에서, 기판 (404) 은 ESC (508) 의 상부에 위치된다. ESC (508) 는 ESC 소스 (548) 로부터 바이어스를 제공할 수도 있다. 가스 소스 (510) 는 분배 플레이트 (506) 을 통해 플라즈마 프로세싱 챔버 (549) 에 연결된다. 이러한 실시예에서, 가스 소스 (510) 는 H2 가스 소스 (512), 불활성 충격 가스 소스 (516), 및 증착 가스 소스 (518) 를 포함한다. 가스 소스 (510) 는 추가적인 가스 소스들을 가질 수도 있다. 가스 소스 각각은 복수의 가스 소스들을 포함할 수도 있다. ESC 온도 제어기 (551) 는 ESC (508) 에 연결되고, ESC (508) 의 온도 제어를 제공한다. 이러한 예에서, 제 1 연결부 (513) 는 ESC (508) 의 내부 영역을 가열하기 위해 내부 가열기 (511) 에 전력을 제공하고, 제 2 연결부 (514) 는 ESC (508) 의 외부 영역을 가열하기 위해 외부 가열기 (512) 에 전력을 제공한다. RF 소스 (530) 는 이 실시예에서 가스 분배 플레이트 (506) 인 상부 전극 및 하부 전극 (534) 에 RF 전력을 제공한다. 바람직한 실시예에서, 2 MHz, 60 MHz, 및 선택 가능하게, 27 MHz 전력 소스들은 RF 소스 (530) 및 ESC 소스 (548) 를 구성한다. 이러한 실시예에서, 하나의 생성기가 각각의 주파수를 위해 제공된다. 다른 실시예들에서, 생성기들은 별도의 RF 소스들 내에 있을 수도 있고, 또는 별도의 RF 생성기들이 상이한 전극들에 연결될 수도 있다. 예를 들어, 상부 전극은 상이한 RF 소스들에 연결된 내부 및 외부 전극들을 가질 수도 있다. RF 소스들 및 전극들의 다른 배열들은 상부 전극들이 접지될 수도 있는 또 다른 실시예들에서와 같은, 다른 실시예들에서 이용될 수도 있다. 제어기 (535) 는 RF 소스 (530), ESC 소스 (548), 배기 펌프 (520), 및 에칭 가스 소스 (510) 에 제어 가능하게 연결된다. 이러한 플라즈마 프로세싱 챔버의 예는 캘리포니아 (CA), 프리몬트의 램 리서치 코퍼레이션 (Lam Research Corporation) 에 의해 제조된 Exelan FlexTM 에칭 시스템이다. 프로세스 챔버는 CCP (capacitive coupled plasma) 반응기 또는 ICP (inductive coupled plasma) 반응기일 수 있다.
도 6은 실시예들에서 이용되는 제어기 (535) 를 구현하기 위해 적합한 컴퓨터 시스템 (600) 을 도시하는 고레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판 (printed circuit board), 및 소형 핸드 헬드 디바이스 (small handheld device) 로부터 대형 슈퍼 컴퓨터까지 이르는 다양한 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (600) 은 하나 이상의 프로세서들 (602) 을 포함하며, (그래픽스, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (604), 메인 메모리 (606) (예를 들어, RAM (random access memory)), 저장 디바이스 (608) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (610) (예를 들어, 광 디스크 드라이브), 사용자 인터페이스 디바이스들 (612) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스 등) 및 통신 인터페이스 (614) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (614) 는 소프트웨어 및 데이터가 링크를 통해 컴퓨터 시스템 (600) 과 외부 디바이스들 사이에서 전송되게 한다. 시스템은 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라 스트럭쳐 (616) (예를 들어, 통신 버스, 크로스 오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (614) 를 통해 전송되는 정보는 신호들을 반송하고 유선 또는 케이블, 광 섬유들, 전화선, 셀룰러 폰 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 이용하여 구현될 수도 있는 통신 링크를 통해 통신 인터페이스 (614) 에 의해 수신될 수 있는 전자, 전자기, 광학 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 전술한 방법 단계들을 수행하는 과정에서 하나 이상의 프로세서들 (602) 은 네트워크로부터 정보를 수신할 수도 있거나, 네트워크로 정보를 출력할 수도 있다는 것이 이해된다. 나아가, 방법 실시예들은 프로세서들 상에서 단독으로 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
"비-일시적 컴퓨터 판독 가능 매체 (non-transient computer readable medium)"라는 용어는 메인 메모리, 보조 메모리, 이동식 저장 디바이스, 및 저장 디바이스들, 예컨대 하드디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM, 및 다른 형태의 영구적인 메모리 (persistent memory) 를 지칭하는데 일반적으로 이용되고, 반송파들 또는 신호들과 같은 일시적인 대상을 포함하도록 해석되어서는 아니된다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 기계적 코드 (machine code), 해석기를 이용하여 컴퓨터에 의해 실행되는 고레벨 코드 (higher level code) 를 포함하는 파일들을 포함한다. 컴퓨터 판독 가능 매체는 또한 반송파에 포함되고 프로세서에 의해 실행 가능한 일련의 인스트럭션들을 나타내는 컴퓨터 데이터 신호에 의해 전송되는 컴퓨터 코드일 수도 있다.
기판 (404) 을 가진 스트럭쳐 (400) 가 플라즈마 프로세싱 챔버 (549) 내로 배치된 후, 순환적인 원자 층 에칭이 제공된다 (단계 108). 원자 층 에칭 프로세스의 사이클 각각은 플루오르화된 폴리머 증착 페이즈 (단계 112) 및 활성화 페이즈 (단계 116) 를 포함한다. 도 2는 플루오르화된 폴리머 증착 페이즈 (단계 112) 의 보다 상세한 흐름도이다. 플루오르화된 폴리머 증착 가스는 플라즈마 프로세싱 챔버 내로 흐른다 (단계 204). 이러한 실시예에서, 플루오르화된 폴리머 증착 가스를 흘리는 단계는 2 내지 20 sccm의 C4F6, 2 내지 20 sccm의 O2, 10 내지 200 sccm의 CO, 및 100 내지 1000 sccm의 Ar를 흘린다. 플루오르화된 폴리머 증착 가스는 스트럭쳐 상에 플루오르화탄소 폴리머를 증착하는 플라즈마로 형성된다 (단계 208). 이러한 실시예에서, 30 내지 200 와트 (Watts) 의 RF 전력은 27 MHz에서 제공되고, 30 내지 200 와트 (Watts) 의 RF 전력은 60 MHz에서 제공된다. - 30 V 내지 - 200 V의 볼트 (volts) 범위의 바이어스는 증착을 제공하도록 플라즈마 컴포넌트들을 스트럭쳐 (400) 로 가속시키기 위해 제공된다. 플루오르화된 폴리머 증착 가스의 흐름은 2 내지 20 초 (seconds) 후에 중단된다 (단계 212).
도 4b는 플루오르화된 폴리머 증착 페이즈가 완료된 후 스트럭쳐 (400) 의 개략적인 단면도이다. 플루오르화된 폴리머 (432) 의 박형 (thin) 컨포멀한 층 또는 코팅이 스트럭쳐 (400) 상에 증착된다. 플루오르화된 폴리머 (432) 의 코팅은 스케일에 맞게 도시되지 않고, 이해를 보다 용이하게 하도록 두껍게 도시된다. 이러한 실시예에서, 이러한 페이즈의 주요 목적은 에칭하는 것이 아니라, 플루오르화된 폴리머 코팅 (432) 을 증착하는 것이고, 경우에 따라서는, 기판의 에칭이 증착 페이즈 동안에 관찰될 수 있다.
도 3은 활성화 페이즈 (단계 116) 의 보다 상세한 흐름도이다. 활성화 가스는 플라즈마 프로세싱 챔버 내로 흐르고, 활성화 가스는 하나 이상의 불활성 충격 가스들 및 H2를 포함한다 (단계 304). 이러한 예에서, 활성화 가스는 100 내지 800 sccm의 Ar 및 10 내지 200 sccm의 H2이다. 활성화 가스는 H2로부터 컴포넌트들과 함께 SiGe 또는 Si 또는 도핑된 Si에 대해 SiO 및 SiN이 선택적으로 에칭되게 하는 플루오르화된 폴리머 내 플루오르를 활성화하는 플라즈마로 형성된다 (단계 308). 이러한 실시예에서, 50 내지 200 와트 (Watts) 의 RF 전력이 27 MHz에서 제공되고, 60 내지 200 와트 (Watts) 의 RF 전력이 60 MHz에서 제공된다. -200 볼트 (volts) 의 바이어스는 활성화를 초래하도록 플라즈마 컴포넌트들을 스트럭쳐 (400) 로 가속시키기 위해 제공된다. 활성화 가스의 흐름은 3 내지 8 초 (seconds) 후에 중단된다 (단계 312).
도 4c는 활성화 페이즈가 완료된 후 스트럭쳐 (400) 의 개략적인 단면도이다. 불활성 충격 가스로부터 생성된 플라즈마는 플루오르화된 폴리머 내 플루오르를 활성화하고, H2로부터 플라즈마 컴포넌트들과 함께 유전체 층 (420) 의 일부가 에칭되게 한다. 유전체 층 (424) 의 층이 에칭된 것 (etch away) 으로 도시된다.
순환적인 프로세스는 여러 번 반복된다. 이러한 예에서, 프로세스는 10 내지 20 번 반복된다. 도 4d는 원자 층 에칭이 10 사이클 넘게 수행된 후 스트럭쳐 (400) 의 개략적인 단면도이다. 원자 층 에칭은 게이트 스트럭쳐들 (418), 실리콘 층 (408), 및 SiGe 또는 Si 콘택트 스트럭쳐들 (412) 에 대해 SiO 및 SiN 층들을 선택적으로 에칭했다. 이러한 예에서, 중심 게이트 스트럭쳐 (418) 위에 형성된 SiN (420) 의 층이 남는다. 이는 SiN의 원자 층 에칭이 종횡비에 좌우되기 때문이며, 보다 낮은 높이 대 폭 종횡비를 갖는 SiN의 영역이 SiN을 보다 느리게 에칭하고, 플루오르화된 폴리머가 금속성 게이트 스트럭쳐들 (418) 상에 보다 두껍게 증착되기 때문이다. 이는 보다 높은 종횡비를 가진 콘택트 스트럭쳐들 (412) 위에서 SiN의 보다 빠른 에칭을 발생시킨다.
다른 실시예들에서, 플루오르화된 폴리머 증착 가스는 C4F8, CF4, C5F8, 또는 CH3F일 수도 있다. 플루오르화탄소에 더하여, 플루오르화된 폴리머 증착 가스는 CO, O2, CO2, 및/또는 Ar과 같은 불활성 가스들을 더 포함할 수도 있다. CO는 높은 종횡비들을 갖는 영역들보다 낮은 종횡비들을 갖는 영역들의 상부 상에 보다 많은 탄소 증착을 제공하고, 이는 낮은 종횡비 영역들 내 SiN의 에칭을 더 느리게 한다. 다른 실시예들에서, 불활성 충격 가스는 Ar 대신 He와 같은 또 다른 비활성 기체 (noble gas) 일 수도 있다. 그러나, 불활성 충격 가스는 비활성 기체들에만 한정된다. 바람직하게는, 불활성 충격 가스의 부피 별 흐름 대 H2의 부피 별 흐름 사이의 비는 2:1 내지 20:1의 범위 내이다. 더욱 바람직하게는, 불활성 충격 가스의 부피 별 흐름 대 H2의 부피 별 흐름 사이의 비는 8:1 내지 15:1의 범위 내이다. 바람직하게는, 활성화 가스는 플루오르 프리다. 바람직하게는, 플루오르화된 폴리머 증착 페이즈 및 활성화 페이즈 동안 바이어스 전압은 30 내지 500 볼트 (volts) 사이의 크기를 가진다. 더욱 바람직하게는, 플루오르화된 폴리머 증착 페이즈 및 활성화 페이즈 동안 바이어스 전압은 30 내지 500 볼트 (volts) 의 크기를 가진다. 이는 바이어스 전압의 절대값이 30 내지 500 볼트 (volts) 그리고 더욱 바람직하게는 100 내지 250 볼트 (volts) 인 것을 의미한다. 다양한 실시예들은 종횡비에 좌우되는 SiN의 에칭을 제공하며, 그리고 이는 보다 낮은 종횡비들에서의 SiN보다 보다 높은 깊이 대 폭 종횡비들에서의 SiN을 선택적으로 에칭한다.
플루오르화된 폴리머 내 플루오르는 SiO2를 에칭하도록 활성화된다. H2의 존재는 또한 SiN을 에칭하도록 활성화 동안 필요로 된다. H2 없이, SiN은 느리게 에칭하거나 에칭되지 않을 것이다. 다른 실시예들에서, H2가 선호되지만, NH3가 H2 대신에 이용될 수도 있다. 플루오르화된 폴리머의 양 또는 두께는 활성화 페이즈 동안 에칭의 양의 자기 제한 (self-limitation) 을 제공한다. 명세서 및 청구범위에서 원자 층 에칭은 플루오르화된 폴리머의 제한된 존재로 인해 활성화 프로세스의 자기 제한과 같은 자기 제한적 에칭 프로세스로 정의된다.
다양한 실시예가 스트럭쳐 주변을 에칭할 수 있게 하고 이때 스트럭쳐를 지나가는 작은 임계 치수 에칭 랩 어라운드 (small Critical Dimension etch wrap around) 를 제공할 수 있다는 것이 예상치 못하게 발견되었다. 바람직하게는, SiO는 SiGe 및 Si에 대해 적어도 5:1의 비로 선택적으로 에칭된다. 더욱 바람직하게는, SiO는 SiGe 및 Si에 대해 적어도 10:1의 비로 선택적으로 에칭된다. 바람직하게는, SiN은 SiGe 및 Si에 대해 적어도 5:1의 비로 선택적으로 에칭된다. 더욱 바람직하게는, SiN은 SiGe 및 Si에 대해 적어도 10:1의 비로 선택적으로 에칭된다. 다양한 실시예들에서, 도핑된 Si는 탄소로 도핑된 실리콘 또는 P-도핑된 Si일 수도 있고, 도핑된 SiGe는 붕소로 도핑될 수도 있다.
본 개시가 몇몇의 바람직한 실시예들의 관점에서 기술되었지만, 본 개시의 범위 내에 속하는 변경들, 수정들, 치환들, 및 다양한 대체 균등물들이 있다. 본 개시의 방법들 및 디바이스들을 구현하는 것의 많은 대안적인 방법이 있다는 것을 주의해야 한다. 그러므로 다음의 첨부된 청구범위는 본 개시의 진정한 사상 및 범위 내에 속하는 변경들, 수정들, 치환들, 및 다양한 대체 균등물들을 모두 포함하는 것으로 해석되도록 의도된다.

Claims (18)

  1. 플라즈마 프로세싱 챔버 내 기판 상에 SiGe 또는 Si 중 하나, SiO, 및 SiN를 가지는 스트럭쳐 (structure) 의 SiGe 또는 Si에 대한 SiO 및 SiN을 선택적으로 에칭하는 방법에 있어서,
    원자 층 에칭의 복수의 사이클들을 제공하는 단계를 포함하고,
    상기 사이클 각각은
    상기 플라즈마 프로세싱 챔버 내로 플루오르화탄소 (fluorocarbon) 가스를 포함하는 플루오르화된 폴리머 (fluorinated polymer) 증착 가스를 흘리는 단계;
    상기 플루오르화된 폴리머 증착 가스를 상기 스트럭쳐 상에 플루오르화탄소 폴리머 층을 증착하는 플라즈마로 형성하는 단계; 및
    상기 플라즈마 프로세싱 챔버 내로 상기 플루오르화된 폴리머 증착 가스의 흐름을 중단하는 단계를 포함하는, 플루오르화된 폴리머 증착 페이즈; 및
    상기 플라즈마 프로세싱 챔버 내로 NH3 또는 H2 및 불활성 충격 가스 (inert bombardment gas) 를 포함하는 활성화 가스를 흘리는 단계;
    상기 활성화 가스를 플라즈마로 형성하는 단계로서, 상기 불활성 충격 가스는 NH3 또는 H2로부터 플라즈마 컴포넌트들과 함께 SiGe 또는 Si에 대해 SiO 및 SiN이 선택적으로 에칭되게 하는 상기 플루오르화된 폴리머 내 플루오르를 활성화하는, 상기 활성화 가스를 상기 플라즈마로 형성하는 단계; 및
    상기 플라즈마 프로세싱 챔버 내로 상기 활성화 가스의 상기 흐름을 중단하는 단계를 포함하는, 활성화 페이즈를 포함하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  2. 제 1 항에 있어서,
    상기 활성화 가스는 불활성 충격 가스 및 H2를 포함하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  3. 제 2 항에 있어서,
    상기 활성화 가스는 플로오르 프리 (fluorine free) 인, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  4. 제 3 항에 있어서,
    상기 플루오르화된 폴리머 증착 페이즈는 30 볼트에서 500 볼트 사이의 크기를 갖는 바이어스 전압을 제공하고, 그리고 상기 활성화 페이즈는 30 볼트에서 500 볼트 사이의 크기를 갖는 바이어스 전압을 제공하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  5. 제 4 항에 있어서,
    상기 플루오르화된 플리머 증착 가스는 CO를 더 포함하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  6. 제 5 항에 있어서,
    상기 플루오르화탄소 가스는 C4F6 가스인, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  7. 제 6 항에 있어서,
    상기 불활성 충격 가스는 Ar인, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  8. 제 7 항에 있어서,
    상기 활성화 페이즈는 상기 활성화 페이즈 동안 상기 플루오르화된 폴리머로부터 플루오르가 에칭을 위해 사용되기 때문에, 증착된 플루오르화된 폴리머의 두께에 의존하여 자기 제한 (self limiting) 하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  9. 제 8 항에 있어서,
    상기 스트럭쳐는 게이트 스트럭쳐들을 더 포함하고, 상기 원자 층 에칭은 상기 게이트 스트럭쳐들에 대해 SiO 및 SiN을 선택적으로 에칭하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  10. 제 9 항에 있어서,
    상기 게이트 스트럭쳐들은 금속을 포함하고, 상기 플루오르화된 폴리머는 SiO 및 SiN에 대해 상기 게이트 스트럭쳐들 상에 우선적으로 증착하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  11. 제 10 항에 있어서,
    상기 플루오르화된 폴리머는 보다 높은 높이 대 깊이 종횡비 (higher height to depth aspect ratio) 피처들에 대해 보다 낮은 높이-대-폭 종횡비 (lower height-to-width aspect ratio) 피처들 상에 우선적으로 증착하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  12. 제 1 항에 있어서,
    상기 플루오르화된 폴리머 증착 페이즈는 30 볼트에서 500 볼트 사이의 크기를 갖는 바이어스 전압을 제공하고, 그리고 상기 활성화 페이즈는 30 볼트에서 500 볼트 사이의 크기를 갖는 바이어스 전압을 제공하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  13. 제 1 항에 있어서,
    상기 플루오르화된 폴리머 증착 가스는 CO를 더 포함하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  14. 제 1 항에 있어서,
    상기 플루오르화탄소 가스는 C4F6 가스인, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  15. 제 1 항에 있어서,
    상기 불활성 충격 가스는 Ar인, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  16. 제 1 항에 있어서,
    상기 활성화 페이즈는 상기 활성화 페이즈 동안 상기 플루오르화된 폴리머로부터 플루오르가 에칭을 위해 사용되기 때문에, 증착된 플루오르화된 폴리머의 두께에 의존하여 자기 제한하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  17. 제 1 항에 있어서,
    상기 스트럭쳐는 게이트 스트럭쳐들을 더 포함하고, 상기 게이트 스트럭쳐들은 금속을 포함하고, 상기 플루오르화된 폴리머는 SiO 및 SiN에 대해 상기 게이트 스트럭쳐들 상에 우선적으로 증착하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
  18. 제 1 항에 있어서,
    상기 플루오르화된 폴리머는 보다 높은 높이 대 깊이 종횡비 (higher height to depth aspect ratio) 피처들에 대해 보다 낮은 높이 대 폭 종횡비 (lower height to width aspect ratio) 피처 상에 우선적으로 증착하는, 플라즈마 프로세싱 챔버 내 스트럭쳐의 SiGe 또는 Si에 대한 SiO 및 SiN의 선택적 에칭 방법.
KR1020197026055A 2017-02-06 2018-01-09 수소 활성화된 원자 층 에칭 KR102540183B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/425,899 2017-02-06
US15/425,899 US9779956B1 (en) 2017-02-06 2017-02-06 Hydrogen activated atomic layer etching
PCT/US2018/012986 WO2018144191A1 (en) 2017-02-06 2018-01-09 Hydrogen activated atomic layer etching

Publications (2)

Publication Number Publication Date
KR20190107158A KR20190107158A (ko) 2019-09-18
KR102540183B1 true KR102540183B1 (ko) 2023-06-02

Family

ID=59929341

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197026055A KR102540183B1 (ko) 2017-02-06 2018-01-09 수소 활성화된 원자 층 에칭

Country Status (5)

Country Link
US (1) US9779956B1 (ko)
KR (1) KR102540183B1 (ko)
CN (1) CN110268507A (ko)
TW (1) TWI758404B (ko)
WO (1) WO2018144191A1 (ko)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11177177B2 (en) * 2018-11-30 2021-11-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110379712A (zh) * 2019-08-05 2019-10-25 德淮半导体有限公司 一种刻蚀方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160196984A1 (en) 2015-01-05 2016-07-07 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
US9257300B2 (en) * 2013-07-09 2016-02-09 Lam Research Corporation Fluorocarbon based aspect-ratio independent etching
US9105700B2 (en) * 2013-12-12 2015-08-11 Lam Research Corporation Method for forming self-aligned contacts/vias with high corner selectivity
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9881807B2 (en) * 2015-03-30 2018-01-30 Tokyo Electron Limited Method for atomic layer etching
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160196984A1 (en) 2015-01-05 2016-07-07 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides

Also Published As

Publication number Publication date
WO2018144191A1 (en) 2018-08-09
KR20190107158A (ko) 2019-09-18
TW201841256A (zh) 2018-11-16
TWI758404B (zh) 2022-03-21
CN110268507A (zh) 2019-09-20
US9779956B1 (en) 2017-10-03

Similar Documents

Publication Publication Date Title
KR102540183B1 (ko) 수소 활성화된 원자 층 에칭
US10079154B1 (en) Atomic layer etching of silicon nitride
US10847374B2 (en) Method for etching features in a stack
US20190189447A1 (en) Method for forming square spacers
KR102547487B1 (ko) 유전체 컨택트 에칭
US9673058B1 (en) Method for etching features in dielectric layers
US9257300B2 (en) Fluorocarbon based aspect-ratio independent etching
KR102403856B1 (ko) 에칭 층을 에칭하기 위한 방법
US11521860B2 (en) Selectively etching for nanowires
US10658194B2 (en) Silicon-based deposition for semiconductor processing
CN107919264B (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
KR20210087544A (ko) 원자 층 증착 또는 화학 기상 증착을 위한 방법 및 장치
KR20200003941A (ko) 다공성 로우-k (low-k) 유전체 에칭
US20150037979A1 (en) Conformal sidewall passivation
US8906248B2 (en) Silicon on insulator etch
US10847375B2 (en) Selective atomic layer etching
US10600648B2 (en) Silicon-based deposition for semiconductor processing
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant