KR102501835B1 - Bake apparatus and method - Google Patents

Bake apparatus and method Download PDF

Info

Publication number
KR102501835B1
KR102501835B1 KR1020160079984A KR20160079984A KR102501835B1 KR 102501835 B1 KR102501835 B1 KR 102501835B1 KR 1020160079984 A KR1020160079984 A KR 1020160079984A KR 20160079984 A KR20160079984 A KR 20160079984A KR 102501835 B1 KR102501835 B1 KR 102501835B1
Authority
KR
South Korea
Prior art keywords
cylinder
substrate
lift pins
unit
push rod
Prior art date
Application number
KR1020160079984A
Other languages
Korean (ko)
Other versions
KR20180001688A (en
Inventor
김기훈
박상욱
이종구
조민우
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020160079984A priority Critical patent/KR102501835B1/en
Publication of KR20180001688A publication Critical patent/KR20180001688A/en
Application granted granted Critical
Publication of KR102501835B1 publication Critical patent/KR102501835B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명의 베이크 유닛은 챔버; 상기 챔버 내에 설치되고, 복수개의 핀 홀을 갖는 가열 플레이트; 상기 가열 플레이트로/로부터 기판을 로딩/언로딩시키기 위한 리프트 핀 어셈블리를 포함하되; 상기 리프트 핀 어셈블리는 상기 핀 홀에 승하강 가능하도록 설치되는 리프트핀들; 기판의 반입/반출을 위한 탑 포지션, 기판이 상기 가열 플레이트에 안착되는 다운 포지션 그리고 상기 탑 포지션과 상기 다운 포지션 사이의 미들 포지션으로 각각 상기 리프트핀들을 승하강시키는 실린더 유닛; 및 상기 실린더 유닛의 승하강을 제어하는 실린더 제어부를 포함할 수 있다. The bake unit of the present invention includes a chamber; a heating plate installed in the chamber and having a plurality of pin holes; a lift pin assembly for loading/unloading substrates to/from the heating plate; The lift pin assembly includes lift pins installed in the pin hole to be able to move up and down; a cylinder unit that raises and lowers the lift pins to a top position for loading/unloading of substrates, a down position for placing substrates on the heating plate, and a middle position between the top position and the down position; And it may include a cylinder control unit for controlling the elevation of the cylinder unit.

Description

베이크 유닛 및 방법{BAKE APPARATUS AND METHOD}Bake unit and method {BAKE APPARATUS AND METHOD}

본 발명은 기판을 가열하는 장치 및 방법에 관한 것이다. The present invention relates to an apparatus and method for heating a substrate.

반도체 제조 공정 중 사진 공정(photo-lithography process)은 웨이퍼 상에 원하는 패턴을 형성시키는 공정이다. 사진 공정은 노광설비가 연결되어 도포공정, 노광공정, 그리고 현상공정을 연속적으로 처리하는 스피너(spinner local) 설비에서 진행된다. 이러한 스피너 설비는 도포공정(coating process), 베이크 공정(bake process), 현상 공정(develop process)을 순차적 또는 선택적으로 수행한다. Among semiconductor manufacturing processes, a photo-lithography process is a process of forming a desired pattern on a wafer. The photographic process is performed in a spinner local facility that is connected to an exposure facility and continuously processes a coating process, an exposure process, and a developing process. This spinner equipment sequentially or selectively performs a coating process, a bake process, and a develop process.

여기서, 베이크 공정은 웨이퍼 상에 형성된 감광액막을 강화시키기 위해, 또는 웨이퍼의 온도를 기설정된 공정 온도로 조절하기 위해 웨이퍼를 가열 및 냉각시키는 공정이다. Here, the bake process is a process of heating and cooling a wafer to strengthen a photoresist film formed on the wafer or to adjust the temperature of the wafer to a predetermined process temperature.

상술한 베이크 공정을 처리하는 장치는 웨이퍼를 안착시켜 가열시키는 가열플레이트를 가진다. 가열 프레이트의 상부에는 웨이퍼가 안착되는 안착면이 제공된다. 가열 플레이트의 내부에는 상기 안착면에 안착된 웨이퍼를 공정 온도로 가열하는 적어도 하나의 가열기(Heater)가 제공된다. The apparatus for processing the above-described bake process has a heating plate for seating and heating a wafer. A seating surface on which a wafer is placed is provided on the top of the heating plate. At least one heater for heating the wafer seated on the seating surface to a process temperature is provided inside the heating plate.

그러나, 일반적인 베이크 공정장치는 웨이퍼 가열시 열적 스트레스로 인해 웨이퍼가 손상되거나 웨이퍼 표면에 형성된 막질이 파손되는 현상이 발생된다. 즉, 사진 공정이 수행될 때에는 웨이퍼 표면에는 다양한 종류의 막질이 형성되게 되며, 이러한 막질은 베이크 공정에서 웨이퍼의 가열시, 급격한 온도 변화에 의해 그리고 웨이퍼 표면과 막질의 상이한 열팽창율로 인해 웨이퍼 또는 막질의 손상이 발생된다. However, in a general bake process apparatus, a phenomenon in which a wafer is damaged or a film formed on a wafer surface is damaged due to thermal stress when heating a wafer occurs. That is, when the photo process is performed, various types of film quality are formed on the surface of the wafer, and such film quality is caused by rapid temperature change during heating of the wafer in the baking process and due to different thermal expansion rates between the wafer surface and the film quality. of damage occurs.

특히, 종래에는 대략 130℃ 내지 200℃로 웨이퍼를 가열하였으나, 최근의 베이크 공정에서는 웨이퍼를 400℃이상으로 가열시키는 과정이 요구되고 있어 상술한 문제점이 더욱 커지고 있다. 따라서, 웨이퍼 및 웨이퍼 표면에 형성된 막질의 손상 없이 웨이퍼를 가열할 수 있는 베이크 공정장치가 요구된다.In particular, in the prior art, the wafer was heated to about 130° C. to 200° C., but in the recent baking process, a process of heating the wafer to 400° C. or more is required, and the above-mentioned problem is getting bigger. Accordingly, a bake processing apparatus capable of heating a wafer without damaging the wafer and the quality of a film formed on the wafer surface is required.

본 발명의 목적은 베이크 공정시 기판의 열적 스트레스를 최소화할 수 있는 기판 베이크 처리 장치 및 방법을 제공하는데 있다.An object of the present invention is to provide a substrate bake treatment apparatus and method capable of minimizing thermal stress on a substrate during a bake process.

본 발명의 목적은 베이크 공정시 기판의 예열 시간을 단축시킬 수 있는 기판 베이크 처리 장치 및 방법을 제공하는데 있다. An object of the present invention is to provide a substrate bake treatment apparatus and method capable of reducing a preheating time of a substrate during a bake process.

본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The object of the present invention is not limited thereto, and other objects not mentioned will be clearly understood by those skilled in the art from the following description.

본 발명의 일 측면에 따르면, 챔버; 상기 챔버 내에 설치되고, 복수개의 핀 홀을 갖는 가열 플레이트; 상기 가열 플레이트로/로부터 기판을 로딩/언로딩시키기 위한 리프트 핀 어셈블리를 포함하되; 상기 리프트 핀 어셈블리는 상기 핀 홀에 승하강 가능하도록 설치되는 리프트핀들; 기판의 반입/반출을 위한 탑 포지션, 기판이 상기 가열 플레이트에 안착되는 다운 포지션 그리고 상기 탑 포지션과 상기 다운 포지션 사이의 미들 포지션으로 각각 상기 리프트핀들을 승하강시키는 실린더 유닛; 및 상기 실린더 유닛의 승하강을 제어하는 실린더 제어부를 포함하는 베이크 유닛이 제공될 수 있다. According to one aspect of the invention, the chamber; a heating plate installed in the chamber and having a plurality of pin holes; a lift pin assembly for loading/unloading substrates to/from the heating plate; The lift pin assembly includes lift pins installed in the pin hole to be able to move up and down; a cylinder unit that raises and lowers the lift pins to a top position for loading/unloading of substrates, a down position for placing substrates on the heating plate, and a middle position between the top position and the down position; and a cylinder control unit controlling elevation of the cylinder unit.

또한, 상기 실린더 유닛은 상기 탑 포지션으로 상기 리프트핀들을 승강시키는 제1실린더; 및 상기 미들 포지션으로 상기 리프트핀들을 승강시키는 제2실린더를 포함하고, 상기 실린더 제어부는 상기 리프트핀들이 상기 탑 포지션과 상기 미들 포지션 그리고 상기 다운 포지션으로 순차적으로 승강되도록 상기 제1실린더와 상기 제2실린더를 제어할 수 있다.In addition, the cylinder unit may include a first cylinder for elevating the lift pins to the top position; and a second cylinder that lifts the lift pins to the middle position, wherein the cylinder controller moves the first cylinder and the second cylinder to sequentially lift the lift pins to the top position, the middle position, and the down position. Cylinders can be controlled.

또한, 상기 리프트핀들은 핀 지지부재에 의해 지지되고, 상기 핀 지지부재는 LM가이드에 의해 상하 이동이 안내되며, 상기 지지부재는 상기 제1실린더의 전진 동작 및 상기 제2실린더의 전진 동작에 의해 상승 이동되고, 상기 제1실린더의 후진 동작 및 상기 제2실린더의 후진 동작 후 자중에 의해 하강 이동될 수 있다.In addition, the lift pins are supported by a pin support member, and the vertical movement of the pin support member is guided by an LM guide, and the support member is moved by the forward movement of the first cylinder and the forward movement of the second cylinder. It is moved upward, and after the backward movement of the first cylinder and the backward movement of the second cylinder, it may be moved downward by its own weight.

또한, 상기 제어부는 상기 제1실린더와 상기 제2실린더 모두 전진 동작을 통해 상기 리프트핀들을 상기 탑 포지션으로 이동시키고, 이 상태에서 상기 제1실린더의 후진 동작을 통해 상기 리프트핀들이 상기 미들 포지션으로 하강 이동되며, 상기 제2실린더의 후진 동작을 통해 상기 리프트핀들이 상기 다운 포지션으로 하강 이동될 수 있다.In addition, the control unit moves the lift pins to the top position through a forward motion of both the first cylinder and the second cylinder, and in this state moves the lift pins to the middle position through a backward motion of the first cylinder. It moves downward, and the lift pins can be moved downward to the down position through the backward movement of the second cylinder.

본 발명의 일측면에 따르면, 가열 플레이트를 기준으로 기판을 탑 포지션, 미듈 포지션 그리고 다운 포지션으로 이루어지는 3단 동작을 통해 기판을 단계적으로 가열하되; 상기 기판의 3단 동작은 리프트핀 어셈블리의 제1실린더와 제2실린더 제어를 통해 제공되는 기판 베이크 방법이 제공될 수 있다.According to one aspect of the present invention, the substrate is heated step by step through a three-step operation consisting of a top position, a mid module position, and a down position with respect to the heating plate; A substrate baking method in which the three-stage operation of the substrate is provided by controlling the first cylinder and the second cylinder of the lift pin assembly may be provided.

또한, 상기 기판의 탑 포지션 이동은 상기 제1실린더와 상기 제2실린더 모두 전진 동작을 통해 제공되고, 상기 기판의 미들 포지션 이동은 상기 제2실린더가 전진 동작 상태에서 상기 제1실린더의 후진 동작을 통해 제공되며, 상기 기판의 다운 포지션 이동은 상기 제1실린더의 후진 동작 상태에서 상기 제2실린더의 후진 동작을 통해 제공될 수 있다.In addition, the movement of the top position of the board is provided through forward motion of both the first cylinder and the second cylinder, and the movement of the middle position of the board is the backward motion of the first cylinder while the second cylinder is in a forward motion. The down position movement of the substrate may be provided through a backward operation of the second cylinder in a backward operation state of the first cylinder.

또한, 상기 기판은 상기 미들 포지션에서 일정시간 예열하는 시간을 가질 수 있다.In addition, the substrate may have time to preheat for a predetermined time in the middle position.

또한, 상기 기판의 미들 포지션 이동 및 상기 기판의 다운 포지션 이동은 자중에 의해 이루어질 수 있다. In addition, the middle position of the substrate and the down position of the substrate may be moved by its own weight.

본 발명에 의하면, 기판이 탑 포지션, 미들 포지션 그리고 다운 포지션으로 단계적 하강을 통해 가열됨으로써 열적 스트레스에 의한 기판 및 기판 표면에 형성된 막질의 손상 없이 기판을 가열할 수 있는 각별한 효과를 갖는다. According to the present invention, the substrate is heated through stepwise descent to the top position, middle position, and down position, thereby having a special effect of heating the substrate without damaging the substrate and the film quality formed on the substrate surface due to thermal stress.

본 발명에 의하면, 기판이 미들 포지션에서 예열됨으로써 기판 예열 시간을 단축시킬 수 있는 각별한 효과를 갖는다. According to the present invention, since the substrate is preheated in the middle position, it has a special effect of shortening the preheating time of the substrate.

도 1은 기판 처리 장치를 상부에서 바라본 도면이다.
도 2는 도 1의 장치를 A-A 방향에서 바라본 도면이다.
도 3은 도 1의 기판 처리 장치를 B-B 방향에서 바라본 도면이다.
도 4는 베이크 유닛의 구성을 설명하기 위한 도면이다.
도 5는 본 발명에 따른 베이크 공정방법을 보여주는 순서도이다.
도 6 내지 도 8은 본 발명에 따른 베이크 공정시 기판을 가열하는 과정을 설명하기 위한 도면들이다.
도 9는 베이크 공정시 기판의 온도 변화를 보여주는 그래프이다.
1 is a view of a substrate processing apparatus viewed from above.
FIG. 2 is a view of the device of FIG. 1 viewed from an AA direction.
FIG. 3 is a view of the substrate processing apparatus of FIG. 1 viewed from the BB direction.
4 is a diagram for explaining the configuration of a bake unit.
5 is a flow chart showing a bake process method according to the present invention.
6 to 8 are diagrams for explaining a process of heating a substrate during a bake process according to the present invention.
9 is a graph showing a temperature change of a substrate during a baking process.

본 발명은 다양한 변환을 가할 수 있고 여러 가지 실시 예를 가질 수 있는 바, 특정 실시 예들을 도면에 예시하고 상세한 설명에서 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 실시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변환, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 본 발명을 설명함에 있어서 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다. Since the present invention can apply various transformations and have various embodiments, specific embodiments will be illustrated in the drawings and described in detail in the detailed description. However, it should be understood that this is not intended to limit the present invention to specific embodiments, and includes all transformations, equivalents, and substitutes included in the spirit and scope of the present invention. In describing the present invention, if it is determined that a detailed description of related known technologies may obscure the gist of the present invention, the detailed description will be omitted.

본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.Terms used in this application are only used to describe specific embodiments, and are not intended to limit the present invention. Singular expressions include plural expressions unless the context clearly dictates otherwise. In this application, the terms "include" or "have" are intended to designate that there is a feature, number, step, operation, component, part, or combination thereof described in the specification, but one or more other features It should be understood that the presence or addition of numbers, steps, operations, components, parts, or combinations thereof is not precluded.

제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다.Terms such as first and second may be used to describe various components, but the components should not be limited by the terms. These terms are only used for the purpose of distinguishing one component from another.

이하, 첨부한 도면들을 참조하여 본 발명에 따른 실시예들을 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어 도면 부호에 상관없이 동일하거나 대응하는 구성 요소는 동일한 참조번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다. Hereinafter, embodiments according to the present invention will be described in detail with reference to the accompanying drawings. description is omitted.

도 1 내지 도 3은 본 발명의 일 실시예에 따른 기판 처리 장치(1)를 개략적으로 보여주는 도면으로, 도 1은 기판 처리 장치(1)를 상부에서 바라본 도면이고, 도 2는 도 1의 장치(1)를 A-A 방향에서 바라본 도면이고, 도 3은 도 1의 기판 처리 장치(1)를 B-B 방향에서 바라본 도면이다.1 to 3 are views schematically showing a substrate processing apparatus 1 according to an embodiment of the present invention, FIG. 1 is a view of the substrate processing apparatus 1 viewed from the top, and FIG. 2 is the apparatus of FIG. 1 (1) is a view viewed from the A-A direction, and FIG. 3 is a view of the substrate processing apparatus 1 of FIG. 1 viewed from the B-B direction.

도 1 내지 도 3을 참조하면, 기판 처리 장치(1)는 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 그리고 퍼지 모듈(800)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400) 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다. 퍼지 모듈(800)은 인터페이스 모듈(700) 내에 제공될 수 있다. 이와 달리 퍼지 모듈(800)은 인터페이스 모듈(700) 후단의 노광 장치가 연결되는 위치 또는 인터페이스 모듈(700)의 측부 등 다양한 위치에 제공될 수 있다.1 to 3, the substrate processing apparatus 1 includes a load port 100, an index module 200, a buffer module 300, a coating and developing module 400, and a purge module 800. do. The load port 100, the index module 200, the buffer module 300, the coating and developing module 400, and the interface module 700 are sequentially arranged in a line in one direction. A purge module 800 may be provided within the interface module 700 . Unlike this, the purge module 800 may be provided in various positions, such as a position to which an exposure device at the rear end of the interface module 700 is connected or a side of the interface module 700 .

이하, 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 한다. 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 한다. Hereinafter, the direction in which the load port 100, the index module 200, the buffer module 300, the coating and developing module 400, and the interface module 700 are disposed is referred to as a first direction 12. When viewed from above, a direction perpendicular to the first direction 12 is referred to as a second direction 14, and a direction perpendicular to the first direction 12 and the second direction 14 is referred to as a third direction 16. It is called

웨이퍼(W)는 카세트(20) 내에 수납된 상태로 이동된다. 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 일 예로 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. The wafer W is moved while being accommodated in the cassette 20 . Cassette 20 has a structure that can be sealed from the outside. For example, a front open unified pod (FOUP) having a front door may be used as the cassette 20 .

이하에서는 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 인터페이스 모듈(700) 그리고 퍼지 모듈(800)에 대해 상세히 설명한다.Hereinafter, the load port 100, the index module 200, the buffer module 300, the coating and developing module 400, the interface module 700, and the purge module 800 will be described in detail.

로드 포트(100)는 웨이퍼들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(120)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 2에서는 4개의 재치대(120)가 제공된 예가 도시되었다. The load port 100 has a mounting table 120 on which a cassette 20 containing wafers W is placed. A plurality of mounting tables 120 are provided, and the mounting tables 120 are arranged in a line along the second direction 14 . 2 shows an example in which four mounting tables 120 are provided.

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 버퍼 모듈(300) 간에 웨이퍼(W)를 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 포함한다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 웨이퍼(W)를 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 제공된다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 포함한다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 200 transfers the wafer W between the buffer module 300 and the cassette 20 placed on the mounting table 120 of the load port 100 . The index module 200 includes a frame 210 , an index robot 220 , and a guide rail 230 . The frame 210 is generally provided in the shape of a hollow rectangular parallelepiped, and is disposed between the load port 100 and the buffer module 300. The frame 210 of the index module 200 may be provided at a height lower than that of the frame 310 of the buffer module 300 described later. The index robot 220 and the guide rail 230 are disposed within the frame 210 . The index robot 220 is provided so that the hand 221 that directly handles the wafer W can move and rotate in the first direction 12 , the second direction 14 , and the third direction 16 . The index robot 220 includes a hand 221, an arm 222, a support 223, and a stand 224. The hand 221 is fixedly installed to the arm 222 . The arm 222 is provided with a structure that can be stretched and rotated. The support 223 is disposed along the third direction 16 in its longitudinal direction. The arm 222 is coupled to the support 223 so as to be movable along the support 223 . The support 223 is fixedly coupled to the pedestal 224 . The guide rail 230 is provided so that its longitudinal direction is disposed along the second direction 14 . The pedestal 224 is coupled to the guide rail 230 so as to be linearly movable along the guide rail 230 . Also, although not shown, a door opener for opening and closing the door of the cassette 20 is further provided on the frame 210 .

버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 포함한다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 제공된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The buffer module 300 includes a frame 310 , a first buffer 320 , a second buffer 330 , a cooling chamber 350 , and a first buffer robot 360 . The frame 310 is provided in the shape of a rectangular parallelepiped with an empty inside, and is disposed between the index module 200 and the coating and developing module 400 . The first buffer 320 , the second buffer 330 , the cooling chamber 350 , and the first buffer robot 360 are positioned within the frame 310 . The cooling chamber 350 , the second buffer 330 , and the first buffer 320 are sequentially disposed along the third direction 16 from below. The first buffer 320 is located at a height corresponding to the coating module 401 of the coating and developing module 400 described later, and the second buffer 330 and the cooling chamber 350 are the coating and developing module ( It is provided at a height corresponding to that of the developing module 402 of 400). The first buffer robot 360 is spaced apart from the second buffer 330, the cooling chamber 350, and the first buffer 320 by a predetermined distance in the second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 웨이퍼들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 웨이퍼(W)가 놓인다. 하우징(331)은 인덱스 로봇(220)과 제 1 버퍼 로봇(360)이 하우징(331) 내 지지대(332)에 웨이퍼(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향과 제 1 버퍼 로봇(360)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. Each of the first buffer 320 and the second buffer 330 stores a plurality of wafers W temporarily. The second buffer 330 has a housing 331 and a plurality of supports 332 . The supports 332 are disposed within the housing 331 and are spaced apart from each other along the third direction 16 . One wafer W is placed on each support 332 . The housing 331 is set in a direction in which the index robot 220 is provided so that the index robot 220 and the first buffer robot 360 can carry in or take out the wafer W from the support 332 in the housing 331. One buffer robot 360 has an opening (not shown) in a given direction. The first buffer 320 has a structure substantially similar to that of the second buffer 330 . However, the housing 321 of the first buffer 320 has an opening in the direction in which the first buffer robot 360 is provided and in the direction in which the application robot 432 located in the application module 401 is provided. The number of supports 322 provided in the first buffer 320 and the number of supports 332 provided in the second buffer 330 may be the same or different. According to one example, the number of supports 332 provided in the second buffer 330 may be greater than the number of supports 322 provided in the first buffer 320 .

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 웨이퍼(W)를 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 포함한다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 상부 또는 하부 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the wafer W between the first buffer 320 and the second buffer 330 . The first buffer robot 360 includes a hand 361, an arm 362, and a support 363. The hand 361 is fixedly installed to the arm 362 . The arm 362 is provided in a stretchable structure so that the hand 361 can move along the second direction 14 . The arm 362 is coupled to the support 363 so as to be linearly movable in the third direction 16 along the support 363 . The support 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320 . The support 363 may be provided longer in an upper or lower direction than this. The first buffer robot 360 may be provided such that the hand 361 is only driven in two axes in the second direction 14 and the third direction 16 .

냉각 챔버(350)는 각각 웨이퍼(W)를 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 포함한다. 냉각 플레이트(352)는 웨이퍼(W)가 놓이는 상면 및 웨이퍼(W)를 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 웨이퍼(W)를 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 현상 모듈(402)에 제공된 현상부 로봇이 냉각 플레이트(352)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇이 제공된 방향에 개구를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들이 제공될 수 있다. The cooling chamber 350 cools each wafer (W). The cooling chamber 350 includes a housing 351 and a cooling plate 352 . The cooling plate 352 has an upper surface on which the wafer W is placed and a cooling means 353 for cooling the wafer W. As the cooling means 353, various methods such as cooling by cooling water or cooling using a thermoelectric element may be used. In addition, a lift pin assembly for positioning the wafer W on the cooling plate 352 may be provided in the cooling chamber 350 . The housing 351 is a direction provided with the index robot 220 and a developing section so that the developing robot provided in the index robot 220 and the developing module 402 can carry in or take out the wafer W from the cooling plate 352 . The robot has an opening in a given direction. In addition, the cooling chamber 350 may be provided with doors that open and close the aforementioned opening.

도포 모듈(401)은 웨이퍼(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 웨이퍼(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 도포 유닛(410), 열처리 유닛(500), 베이크 유닛(420), 그리고 반송 유닛(430)를 가진다. 도포 유닛(410), 열처리 유닛(500), 베이크 유닛(420), 그리고 반송 유닛(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 도포 유닛(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 베이크 유닛(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. The application module 401 includes a process of applying a photoresist such as photoresist to the wafer W and a heat treatment process such as heating and cooling the wafer W before and after the resist application process. The application module 401 includes an application unit 410 , a heat treatment unit 500 , a bake unit 420 , and a transfer unit 430 . The application unit 410 , the heat treatment unit 500 , the bake unit 420 , and the transfer unit 430 are sequentially disposed along the second direction 14 . A plurality of application units 410 are provided, and a plurality of each are provided in the first direction 12 and the third direction 16 respectively. A plurality of bake units 420 are provided in each of the first direction 12 and the third direction 16 .

반송 유닛(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 유닛(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 유닛(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 유닛들(420), 도포 유닛들(410), 그리고 제 1 버퍼 모듈(300)의 제 1 버퍼(320)간에 웨이퍼(W)를 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다.The transfer unit 430 is positioned parallel to the first buffer 320 of the first buffer module 300 in the first direction 12 . An applicator robot 432 and a guide rail 433 are positioned in the transfer unit 430 . The transfer unit 430 has a substantially rectangular shape. The coating unit robot 432 transfers the wafer W between the bake units 420 , the coating units 410 , and the first buffer 320 of the first buffer module 300 . The guide rail 433 is disposed such that its longitudinal direction is parallel to the first direction 12 . The guide rail 433 guides the applicator robot 432 to linearly move in the first direction 12 . The applicator robot 432 has a hand 434 , an arm 435 , a support 436 , and a pedestal 437 . The hand 434 is fixed to the arm 435. The arm 435 is provided in an elastic structure so that the hand 434 can move in the horizontal direction. The support 436 is provided so that its longitudinal direction is disposed along the third direction 16 . The arm 435 is coupled to the support 436 so as to be linearly movable in the third direction 16 along the support 436 . The support 436 is fixedly coupled to the pedestal 437 , and the pedestal 437 is coupled to the guide rail 433 so as to be movable along the guide rail 433 .

도포 유닛들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 도포 유닛(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 도포 유닛(410)는 웨이퍼(W) 상에 포토 레지스트를 도포한다. 도포 유닛(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 웨이퍼(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 도포 유닛(410)에는 포토 레지스트가 도포된 웨이퍼(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. All of the application units 410 have the same structure. However, the type of photoresist used in each coating unit 410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The coating unit 410 applies photoresist on the wafer (W). The application unit 410 has a housing 411 , a support plate 412 , and a nozzle 413 . The housing 411 has a cup shape with an open top. The support plate 412 is positioned within the housing 411 and supports the wafer (W). The support plate 412 is rotatably provided. The nozzle 413 supplies photoresist onto the wafer W placed on the support plate 412 . The nozzle 413 may have a circular tubular shape and supply photoresist to the center of the wafer (W). Optionally, the nozzle 413 may have a length corresponding to the diameter of the wafer W, and an outlet of the nozzle 413 may be provided as a slit. In addition, a nozzle 414 for supplying a cleaning solution such as deionized water to clean the surface of the wafer W on which the photoresist is applied may be additionally provided in the coating unit 410 .

계속해서, 본 발명에 따른 베이크 공정을 위한 베이크 유닛을 구체적으로 설명한다. 도 4는 베이크 유닛의 구성을 설명하기 위한 도면이다.Subsequently, the bake unit for the bake process according to the present invention will be described in detail. 4 is a diagram for explaining the configuration of a bake unit.

도 4를 참조하면, 베이크 유닛(1000)은 하우징(housing)(1110), 가열부재(heating member)(1120), 그리고 리프트핀 어셈블리(1130)를 포함할 수 있다.Referring to FIG. 4 , the bake unit 1000 may include a housing 1110, a heating member 1120, and a lift pin assembly 1130.

하우징(1110)은 내부에 베이크 공정을 수행하는 공간을 제공한다. 하우징(1110)의 일측에는 기판(W)의 출입이 이루어지는 기판 출입구(1112)가 제공될 수 있다. 도시하지 않았지만, 기판 출입구(1112)는 개폐부재(door)(미도시됨)에 의해 개폐가 이루어질 수 있다. 하우징(1110)의 내부는 소정의 공정 압력을 만족하며, 이를 위해 하우징(1110)에는 하우징(1110) 내 공기를 외부로 배출시키는 감압 라인(미도시됨)이 제공될 수 있다.The housing 1110 provides a space in which a baking process is performed. At one side of the housing 1110, a substrate entrance 1112 through which the substrate W enters and exits may be provided. Although not shown, the substrate entrance 1112 may be opened and closed by a door (not shown). The inside of the housing 1110 satisfies a predetermined process pressure, and for this purpose, a decompression line (not shown) for discharging air inside the housing 1110 to the outside may be provided.

가열부재(1120)는 공정시 기판(W)을 기설정된 공정 온도로 가열하기 위한 것이다. 가열부재(1120)는 하우징(1110) 내부 하측에 설치될 수 있다. 가열부재(1120)는 가열 플레이트(1122) 및 발열체(1124)를 포함할 수 있다.The heating member 1120 is for heating the substrate (W) to a predetermined process temperature during the process. The heating member 1120 may be installed inside the lower side of the housing 1110 . The heating member 1120 may include a heating plate 1122 and a heating element 1124 .

가열 플레이트(1122)는 공정시 기판(W)을 지지한다. 가열 플레이트(1112)는 대체로 플레이트 형상을 가진다. 가열 플레이트(1112)의 상부에는 공정시 기판(W)이 안착되는 지지면(1122a)이 제공될 수 있. 발열체(1124)은 가열 플레이트(1112) 내에 구비되며, 공정시 기판(W)을 가열한다. 발열체(1124)은 적어도 하나가 구비되며, 각각의 발열체(1124)은 기설정된 공정 온도를 유지한다. The heating plate 1122 supports the substrate W during processing. The heating plate 1112 has a generally plate shape. A support surface 1122a on which the substrate W is seated during a process may be provided on an upper portion of the heating plate 1112 . The heating element 1124 is provided in the heating plate 1112 and heats the substrate W during a process. At least one heating element 1124 is provided, and each heating element 1124 maintains a preset process temperature.

리프트핀 어셈블리(1130)는 공정시 기판(W)을 가열 플레이트(1122)의 상부에서 탐 포지션(T), 미들 포지션(M) 그리고 다운 포지션(D)으로 각각 승강 및 하강시킨다. 리프트핀 어셈블리(1130)는 가열 플레이트(1122)에 형성된 핀 홀(1123)에 승하강 가능하도록 위치되는 리프트 핀들(lift pin;1312)을 갖는 리프트핀부(1310), 리프트핀부(1310)를 승강시는 실린더 유닛, 실린더 유닛의 제1실린더(1440)와 제2실린더(1450) 각각으로 작동유체를 공급하여 리프트핀부(1310)가 승강하도록 구동력을 제공하는 유압공급부(1370), 그리고 제1실린더(1312)와 제2실린더(1314)로 공급되는 작동유체를 제어하는 제어부(1360)를 포함할 수 있다.The lift pin assembly 1130 raises and lowers the substrate W from the top of the heating plate 1122 to the tom position (T), middle position (M), and down position (D) during the process, respectively. The lift pin assembly 1130 has lift pins 1312 positioned in pin holes 1123 formed in the heating plate 1122 to be able to move up and down, and when the lift pins 1310 are moved up and down, Is a hydraulic supply unit 1370 that supplies working fluid to the cylinder unit, the first cylinder 1440 and the second cylinder 1450 of the cylinder unit to provide driving force so that the lift pin unit 1310 moves up and down, and the first cylinder ( 1312) and a controller 1360 for controlling the working fluid supplied to the second cylinder 1314.

리프트핀부(1310)는 리프트 핀(1312)들과, 이들을 지지하는 핀 지지부재(1314)를 포함할 수 있다. 리프트 핀(1312)들은 기판의 크기와 형상에 따라 복수개가 구비될 수 있다. 리프트 핀(1312)들의 배치 간격과 배치 형태는 가변 될 수 있다. 리프트 핀들(1312)은 상하로 수직하게 설치된다. 각각의 리프트 핀들(1312)은 가열 플레이트(1122) 내부에서 상하로 이동가능하도록 설치된다. 리프트 핀들(1312)의 상부 끝단은 기판(W)의 저면과 접촉되고, 하부 끝단은 핀 지지부재(1314)와 결합된다. 핀 지지부재(1314)는 각각의 리프트 핀들(1312)을 지지한다. 핀 지지부재(1314)는 각각의 리프트 핀들(1312)이 일정한 높이로 이동되도록 지지한다. 한편, 핀 지지부재는 LM가이드(1330)에 의해 상하 이동이 안내된다. The lift pin unit 1310 may include lift pins 1312 and a pin support member 1314 supporting them. A plurality of lift pins 1312 may be provided according to the size and shape of the substrate. The arrangement interval and arrangement form of the lift pins 1312 may be varied. The lift pins 1312 are vertically installed up and down. Each of the lift pins 1312 is installed to be movable up and down inside the heating plate 1122 . Upper ends of the lift pins 1312 contact the lower surface of the substrate W, and lower ends are coupled to the pin support member 1314. The pin support member 1314 supports each of the lift pins 1312 . The pin support member 1314 supports each of the lift pins 1312 to be moved to a certain height. Meanwhile, the vertical movement of the pin support member is guided by the LM guide 1330.

실린더 유닛은 제1실린더(1340)와 제2실린더(1350)를 포함할 수 있다. 제1실린더(1340)와 제2실린더(1350)는 나란히 위치될 수 있다. 즉, 리프트 핀부(1310)는 제1실린더(1340)와 제2실린더(1350)에 의해 단계적으로 하강 이동될 수 있다. 일 예로, 리프트 핀부(1310)는 기판의 반입/반출을 위한 탑 포지션(T), 기판을 가열 플레이트에 안착시켜 기판을 공정온도를 가열시키는 다운 포지션(D) 그리고 탑 포지션(T)과 다운 포지션(D) 사이로 기판을 예열시키는 미들 포지션(M)으로 각각 승하강될 수 있다. The cylinder unit may include a first cylinder 1340 and a second cylinder 1350. The first cylinder 1340 and the second cylinder 1350 may be located side by side. That is, the lift pin unit 1310 may be moved downward in stages by the first cylinder 1340 and the second cylinder 1350 . For example, the lift pin unit 1310 has a top position (T) for carrying in/out of the substrate, a down position (D) for seating the substrate on a heating plate to heat the substrate to a process temperature, and a top position (T) and a down position. (D) can be raised and lowered respectively to the middle position (M) for preheating the substrate.

제1실린더(1340)는 작동 유체에 의해 승강하는 제1푸시로드(1342)를 포함할 수 있다. 제1실린더(1340)는 작동유체 공급으로 제1푸시로드(1342)가 전진 동작시 리프트핀부(1310)를 밀어 올려 리프트핀부(1310)를 탑 포지션(T)으로 이동시킨다, The first cylinder 1340 may include a first push rod 1342 that moves up and down by a working fluid. When the first push rod 1342 moves forward by supplying working fluid, the first cylinder 1340 pushes up the lift pin part 1310 and moves the lift pin part 1310 to the top position (T).

제2실린더(1350)는 작동 유체에 의해 승강하는 제2푸시로드(1352)를 포함할 수 있다. 제2실린더(1350)는 작동유체 공급으로 제2푸시로드(1352)가 전진 동작시 리프트핀부(1310)을 기판의 예열을 위한 미들 포지션(M)으로 이동시킬 수 있다. The second cylinder 1350 may include a second push rod 1352 that moves up and down by the working fluid. The second cylinder 1350 may move the lift pin unit 1310 to the middle position M for preheating the substrate when the second push rod 1352 moves forward by supplying working fluid.

여기서, 제2실린더(1350)의 제2푸시로드(1352)의 전진 높이는 제1실린더(1340)의 제1푸시로드(1342)의 전진 높이보다 낮다. Here, the advancing height of the second push rod 1352 of the second cylinder 1350 is lower than the advancing height of the first push rod 1342 of the first cylinder 1340.

제어부(1360)는 실린더 유닛의 승하강을 제어한다. 좀 더 구체적으로 설명하면, 제어부(1360)는 공정 진행시 기판이 탑 포지션, 미들 포지션 그리고 다운 포지션에 위치되도록 제1실린더(1340)와 제2실린더(1350)로 작동 유체의 공급을 제어한다. The controller 1360 controls elevation of the cylinder unit. More specifically, the control unit 1360 controls the supply of working fluid to the first cylinder 1340 and the second cylinder 1350 so that the substrate is positioned in the top position, middle position, and down position during the process.

일 예로, 제어부(1360)는 제1실린더(1340)와 제2실린더(1350) 모두 전진 동작을 통해 리프트핀부(1310)를 탑 포지션으로 이동시키고, 이 상태에서 제1실린더(1340)의 후진 동작을 통해 리프트핀부(1310)이 미들 포지션으로 하강 이동되며, 제2실린더(1350)의 후진 동작을 통해 리프트핀부(1310)이 다운 포지션으로 하강 이동된다. For example, the controller 1360 moves the lift pin unit 1310 to the top position through forward motion of both the first cylinder 1340 and the second cylinder 1350, and in this state, the first cylinder 1340 moves backward. Through this, the lift pin part 1310 is moved down to the middle position, and through the reverse operation of the second cylinder 1350, the lift pin part 1310 is moved down to the down position.

이하, 상술한 구성을 가지는 베이크 유닛의 공정 과정을 상세히 설명한다. 여기서, 상술한 구성과 동일한 구성에 대한 참조 번호는 동일하게 병기하고, 그 구성들에 대한 상세한 설명은 생략한다. Hereinafter, a process of the bake unit having the above configuration will be described in detail. Here, the reference numerals for the same components as the above-mentioned configurations are written together, and detailed descriptions of the configurations are omitted.

도 5는 본 발명에 따른 베이크 공정방법을 보여주는 순서도이고, 도 6 내지 도 8은 본 발명에 따른 베이크 공정시 기판을 가열하는 과정을 설명하기 위한 도면들이다. 5 is a flow chart showing a bake process method according to the present invention, and FIGS. 6 to 8 are diagrams for explaining a process of heating a substrate during a bake process according to the present invention.

도 5를 참조하면, 베이크 공정은 기판(W)이 리프트핀부(1310)의 탑 포지션(T)에서 리프트핀(1312)에 안착되는 단계(S110), 리프트핀부(1310)가 미들 포지션(M)으로 이동하여 기판을 예열하는 단계(S120), 기판 예열이 끝나면 리프트핀부(1310)가 다운 포지션(D)으로 이동하여 기판을 가열 플레이트(1122)에 안착시킨 후 공정온도로 기판을 가열하는 단계(S130), 리프트핀부(1310)를 탑 포지션(T)으로 상승 이동시킨 후 기판을 반출하는 단계(S140)를 포함할 수 있다. Referring to FIG. 5 , in the bake process, the substrate W is seated on the lift pins 1312 at the top position (T) of the lift pins 1310 (S110), and the lift pins 1310 are placed in the middle position (M). and preheating the substrate (S120). When the substrate preheating is finished, the lift pin unit 1310 moves to the down position (D) to place the substrate on the heating plate 1122 and then heats the substrate to the process temperature (S120). (S130), lifting the lift pin unit 1310 to the top position (T), and then carrying out the substrate (S140).

일 실시예로서, 도 5 및 도 6을 참조하면, 리프트핀부(1310)는 제1실린더(1340)와 제2실린더(1350) 모두 전진 동작을 통해 탑 포지션(T)으로 이동된 상태에서 기판 이송 장치(미도시됨)에 의해 이송된 기판(W)이 리프트 핀(1312)들 상에 놓여진다. As one embodiment, referring to FIGS. 5 and 6, the lift pin unit 1310 transfers the substrate in a state in which both the first cylinder 1340 and the second cylinder 1350 are moved to the top position (T) through forward motion. A substrate W transported by a device (not shown) is placed on the lift pins 1312 .

기판(W)이 탑 포지션(T) 상의 리프트핀(1312)상에 위치되면, 제어부(1360)에 의해 제1실린더(1340)는 후진 동작되고 이에 따라 리프트핀부(1310)는 미들 포지션(M)으로 하강이동된다(도 7 참조). 즉, 제1실린더(1340)가 후진 동작하면 리프트핀부(1310)는 자중에 의해 하강하면서 제2실린더(1350)의 제2푸시로드(1352)와 맞닿게 되면서 미들 포지션(M)에서 정지된다. 기판(W)이 미들 포지션(M)에 위치되면, 발열체(1124)로부터 발생되는 열에 의해 일차적으로 예열된다. 일 실시예로서, 미들 포지션(M)은 기판(W)이 발열체(124)에 의해 기설정된 타겟 온도(일 예로 110℃ 내지 150℃)로 가열되는 높이이다. 기판은 미들 포지션에서 소정 시간동안 예열된다. When the board (W) is placed on the lift pin 1312 in the top position (T), the first cylinder 1340 is moved backward by the controller 1360, and accordingly the lift pin part 1310 moves to the middle position (M). It is moved down to (see FIG. 7). That is, when the first cylinder 1340 moves backward, the lift pin part 1310 descends due to its own weight, comes into contact with the second push rod 1352 of the second cylinder 1350, and stops at the middle position (M). When the substrate W is positioned in the middle position M, it is primarily preheated by heat generated from the heating element 1124 . As an example, the middle position M is a height at which the substrate W is heated by the heating element 124 to a predetermined target temperature (eg, 110° C. to 150° C.). The substrate is preheated for a predetermined time in the middle position.

도 8을 참조하면, 제어부(1360)에 의해 제2실린더(1350)는 후진 동작되면 리프트핀부는 다운 포지션으로 하강이동되고, 이에 기판은 가열 플레이트에 안착되며, 발열체에 의해 공정 온도로 가열된다. 이때, 상기 기설정된 공정 온도는 400℃이상의 온도일 수 있다. Referring to FIG. 8 , when the second cylinder 1350 is moved backward by the control unit 1360, the lift pin unit moves down to a down position, whereby the substrate is seated on a heating plate and heated to a process temperature by a heating element. In this case, the preset process temperature may be a temperature of 400 °C or higher.

기판(W)의 가열이 완료되면, 리프트핀부(1310)는 제1실린더(1340)와 제2실린더(1350) 모두 전진 동작을 통해 탑 포지션(T)으로 이동되고, 그 상태에서 기판은 베이크 유닛으로부터 반출되어 후속 공정을 수행하게 된다. When the heating of the substrate (W) is completed, the lift pin unit 1310 is moved to the top position (T) through forward motion of both the first cylinder 1340 and the second cylinder 1350, and in that state, the substrate is moved to the bake unit. It is taken out from and subjected to subsequent processes.

상술한 바와 같이, 베이크 유닛 및 그 처리 방법은 기판을 단계적으로 하강시켜 기판을 가열 플레이트와 보다 가까운 위치에서 예열시킴으로써 기판 예열에 필요한 시간을 단축시킬 수 있다. 도 9를 살펴보면, 기판이 탑 포지션에서 기설정된 예열온도 까지 도달하기에는 30초가 소요되지만, 본 발명에서와 같이 기판이 미들 포지션으로 이동된 상태에는 기설정된 예열온도까지 도달하는데 8초만 소요됨으로 앞선 방식에 비해 22초를 단축시킬 수 있다.As described above, the bake unit and its processing method can shorten the time required for preheating the substrate by lowering the substrate step by step to preheat the substrate at a position closer to the heating plate. Referring to FIG. 9, although it takes 30 seconds for the substrate to reach the preset preheating temperature from the top position, it takes only 8 seconds to reach the preset preheating temperature when the substrate is moved to the middle position as in the present invention. 22 seconds can be shortened.

이상 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.Although described with reference to the above embodiments, those skilled in the art will understand that the present invention can be variously modified and changed without departing from the spirit and scope of the present invention described in the claims below. You will be able to.

1000 : 베이크 유닛 1110 : 하우징
1120 : 가열부재 1130 : 리프트핀 어셈블리
1000: bake unit 1110: housing
1120: heating member 1130: lift pin assembly

Claims (8)

챔버;
상기 챔버 내에 설치되고, 복수개의 핀 홀을 갖는 가열 플레이트;
상기 가열 플레이트로부터 기판을 로딩/언로딩시키기 위한 리프트 핀 어셈블리를 포함하되;
상기 리프트 핀 어셈블리는:
상기 핀 홀에 승하강 가능하도록 설치되는 리프트핀들;
상기 리프트핀들을 지지하는 핀 지지 부재;
상기 핀 지지 부재의 상하 이동을 안내하는 LM 가이드;
기판의 반입/반출을 위한 탑 포지션, 기판이 상기 가열 플레이트에 안착되는 다운 포지션 그리고 상기 탑 포지션과 상기 다운 포지션 사이의 미들 포지션으로 각각 상기 리프트핀들을 승하강시키는 실린더 유닛; 및
상기 실린더 유닛의 승하강을 제어하는 실린더 제어부를 포함하고,
상기 실린더 유닛은:
상기 탑 포지션으로 상기 리프트핀들을 승강시키는 제1실린더; 및
상기 미들 포지션으로 상기 리프트핀들을 승강시키는 제2실린더를 포함하고,
상기 제1실린더의 제1푸쉬로드와, 상기 제2실린더의 제2푸쉬로드의 전진 높이는 서로 상이하고,
상기 실린더 제어부는 상기 리프트핀들이 상기 탑 포지션과 상기 미들 포지션 그리고 상기 다운 포지션으로 순차적으로 승강되도록 상기 제1실린더와 상기 제2실린더를 제어하고,
상기 핀 지지 부재는 상기 제1실린더의 전진 동작 및 상기 제2실린더의 전진 동작에 의해 상승 이동되고, 상기 제1실린더의 후진 동작 및 상기 제2실린더의 후진 동작 후 자중에 의해 하강 이동되고,
상기 제어부는:
상기 제1실린더와 상기 제2실린더 모두 전진 동작을 통해 상기 리프트핀들을 상기 탑 포지션으로 이동시키고, 이 상태에서 상기 제1실린더의 후진 동작을 통해 상기 리프트핀들이 상기 미들 포지션으로 하강 이동되며, 상기 제2실린더의 후진 동작을 통해 상기 리프트핀들이 상기 다운 포지션으로 하강 이동되는 베이크 유닛.
chamber;
a heating plate installed in the chamber and having a plurality of pin holes;
a lift pin assembly for loading/unloading a substrate from the heating plate;
The lift pin assembly:
Lift pins installed in the pin hole to be able to go up and down;
a pin support member supporting the lift pins;
an LM guide for guiding vertical movement of the pin support member;
a cylinder unit that raises and lowers the lift pins to a top position for loading/unloading of substrates, a down position for placing substrates on the heating plate, and a middle position between the top position and the down position; and
And a cylinder control unit for controlling the lifting and lowering of the cylinder unit,
The cylinder unit is:
a first cylinder for elevating the lift pins to the top position; and
And a second cylinder for lifting the lift pins to the middle position,
The advancing heights of the first push rod of the first cylinder and the second push rod of the second cylinder are different from each other,
The cylinder control unit controls the first cylinder and the second cylinder so that the lift pins are sequentially raised to the top position, the middle position, and the down position,
The pin support member is moved upward by the forward motion of the first cylinder and the forward motion of the second cylinder, and is moved downward by its own weight after the backward motion of the first cylinder and the backward motion of the second cylinder,
The control unit:
Both the first cylinder and the second cylinder move the lift pins to the top position through a forward motion, and in this state, the lift pins are moved down to the middle position through a backward motion of the first cylinder. A bake unit in which the lift pins are moved down to the down position by the backward movement of the second cylinder.
제1항에 있어서,
상기 제2푸쉬로드의 전진 높이는 상기 제1푸쉬로드의 전진 높이보다 낮은 베이크 유닛.
According to claim 1,
The bake unit according to claim 1 , wherein an advancing height of the second push rod is lower than an advancing height of the first push rod.
삭제delete 삭제delete 가열 플레이트를 기준으로 기판을 탑 포지션, 미들 포지션 그리고 다운 포지션으로 이루어지는 3단 동작을 통해 기판을 단계적으로 가열하되;
상기 기판의 3단 동작은 리프트핀 어셈블리의 제1실린더와 제2실린더 제어를 통해 제공되고,
상기 제1실린더의 제1푸쉬로드와, 상기 제2실린더의 제2푸쉬로드의 전진 높이는 서로 상이하고,
상기 기판의 탑 포지션 이동은:
상기 제1실린더와 상기 제2실린더 모두 전진 동작을 통해 제공되고,
상기 기판의 미들 포지션 이동은:
상기 제2실린더가 전진 동작 상태에서 상기 제1실린더의 후진 동작을 통해 제공되며,
상기 기판의 다운 포지션 이동은:
상기 제1실린더의 후진 동작 상태에서 상기 제2실린더의 후진 동작을 통해 제공되고,
상기 기판의 미들 포지션 이동 및 상기 기판의 다운 포지션 이동은 자중에 의해 이루어지는 기판 베이크 방법.
Heating the substrate step by step through a three-stage operation consisting of a top position, a middle position, and a down position based on the heating plate;
The three-stage operation of the substrate is provided by controlling the first and second cylinders of the lift pin assembly,
The advancing heights of the first push rod of the first cylinder and the second push rod of the second cylinder are different from each other,
The top position movement of the substrate is:
Both the first cylinder and the second cylinder are provided through forward motion,
The middle position movement of the substrate is:
Provided through the backward operation of the first cylinder in the forward operation state of the second cylinder,
The down position movement of the substrate is:
Provided through the backward operation of the second cylinder in the reverse operation state of the first cylinder,
A substrate baking method in which the movement of the substrate to the middle position and the movement of the substrate to the down position are performed by its own weight.
삭제delete 제 5 항에 있어서,
상기 기판은 상기 미들 포지션에서 일정시간 예열하는 시간을 갖는 기판 베이크 방법.
According to claim 5,
The substrate bake method having a time to preheat the substrate for a predetermined time in the middle position.
제 5 항에 있어서,
상기 제2푸쉬로드의 전진 높이는 상기 제1푸쉬로드의 전진 높이보다 낮은 기판 베이크 방법.
According to claim 5,
The substrate baking method of claim 1 , wherein an advancing height of the second push rod is lower than an advancing height of the first push rod.
KR1020160079984A 2016-06-27 2016-06-27 Bake apparatus and method KR102501835B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160079984A KR102501835B1 (en) 2016-06-27 2016-06-27 Bake apparatus and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160079984A KR102501835B1 (en) 2016-06-27 2016-06-27 Bake apparatus and method

Publications (2)

Publication Number Publication Date
KR20180001688A KR20180001688A (en) 2018-01-05
KR102501835B1 true KR102501835B1 (en) 2023-02-23

Family

ID=61001730

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160079984A KR102501835B1 (en) 2016-06-27 2016-06-27 Bake apparatus and method

Country Status (1)

Country Link
KR (1) KR102501835B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102258027B1 (en) * 2019-07-01 2021-05-27 세메스 주식회사 Substrate treatment apparatus
CN112349626A (en) * 2020-09-28 2021-02-09 芯米(厦门)半导体设备有限公司 Wafer baking device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0792490B2 (en) * 1992-09-09 1995-10-09 桑野電機株式会社 IC positioning device
KR20050112731A (en) * 2004-05-28 2005-12-01 삼성전자주식회사 Lift pin assemable of semiconductor production device
KR100822372B1 (en) * 2006-08-24 2008-04-17 세메스 주식회사 Bake process apparatus and method

Also Published As

Publication number Publication date
KR20180001688A (en) 2018-01-05

Similar Documents

Publication Publication Date Title
KR20160017699A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR102514452B1 (en) Cooling unit, substrate treating apparatus including the same, and substrate treating method using the same
KR102501835B1 (en) Bake apparatus and method
CN109671649B (en) Substrate processing method and apparatus
KR102188354B1 (en) Apparatus and Method for treating substrate
KR102315662B1 (en) Substrate treating apparatus and method
KR102516725B1 (en) bake apparatus a having the unit and method processing substrate by using thereof
KR102324405B1 (en) Apparatus and Method for treating substrate
KR102378334B1 (en) Container and substrate treating apparatus including the same
KR20190012965A (en) Apparatus and Method for treating substrate
KR102046869B1 (en) Member for suppliyng a substrate, Buffer unit, and Apparatus for treating a substrate
KR102378336B1 (en) Bake apparatus and bake method
KR102450335B1 (en) Bake chamber
KR101776018B1 (en) Method for heating a substrate and Apparatus for treating a substrate
KR101914482B1 (en) Substrate treating apparatus and substrate treating method
KR102403200B1 (en) Unit for supporting substrate, Apparatus for treating substrate, and Method for treating substrate
KR20160081010A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR102037915B1 (en) Apparatus for treating substrate
KR102245560B1 (en) Substrate baking apparatus
KR102582058B1 (en) Substrate processing equipment and substrate transfer method
US11725272B2 (en) Method, system and apparatus for cooling a substrate
KR20210135390A (en) Bake unit, substrate treating method
KR20220028472A (en) lift pin assembly and treating substrate Apparatus with the assembly
KR101623011B1 (en) Heating device and heating method
JPH1197431A (en) Heat processing device for substrate

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right