KR20160017699A - Bake unit, substrate treating apparatus including the unit, and substrate treating method - Google Patents

Bake unit, substrate treating apparatus including the unit, and substrate treating method Download PDF

Info

Publication number
KR20160017699A
KR20160017699A KR1020140098533A KR20140098533A KR20160017699A KR 20160017699 A KR20160017699 A KR 20160017699A KR 1020140098533 A KR1020140098533 A KR 1020140098533A KR 20140098533 A KR20140098533 A KR 20140098533A KR 20160017699 A KR20160017699 A KR 20160017699A
Authority
KR
South Korea
Prior art keywords
substrate
plate
heating
unit
cooling
Prior art date
Application number
KR1020140098533A
Other languages
Korean (ko)
Inventor
엄기상
서종석
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020140098533A priority Critical patent/KR20160017699A/en
Priority to US14/805,743 priority patent/US20160035601A1/en
Priority to CN201510454490.7A priority patent/CN105321853A/en
Publication of KR20160017699A publication Critical patent/KR20160017699A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Abstract

The present invention relates to a bake unit and a substrate treating apparatus including the same. A bake unit according to an embodiment of the present invention includes a housing; a heating unit which is located in the housing, and has a heating plate for heating a substrate; a transfer unit which is located in the housing, and transfers the substrate; and a cooling unit which cools the heating plate or the heated substrate. The transfer unit includes a transfer plate on which the substrate is placed. The cooling unit is provided to the transfer plate.

Description

베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법{BAKE UNIT, SUBSTRATE TREATING APPARATUS INCLUDING THE UNIT, AND SUBSTRATE TREATING METHOD}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a bake unit, a substrate processing apparatus including the bake unit,

본 발명은 기판 처리 장치 및 방법에 관한 것으로, 보다 구체적으로 기판을 가열하는 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate processing apparatus and method, and more particularly, to a bake unit for heating a substrate, and an apparatus and a method for processing the substrate including the same.

일반적으로 반도체 소자를 제조하기 위해서는 세정, 증착, 포토 리소그래피, 에칭, 그리고 이온주입 등과 같은 다양한 공정이 수행된다. 패턴을 형성하기 위해 수행되는 포토 리소그래피 공정은 반도체 소자의 고집적화를 이루는데 중요한 역할을 수행한다.In general, various processes such as cleaning, deposition, photolithography, etching, and ion implantation are performed to manufacture semiconductor devices. The photolithography process performed to form the pattern plays an important role in achieving the high integration of the semiconductor device.

포토리소그래피 공정은 반도체 기판 상에 포토레지스트 패턴을 형성하기 위해 수행된다. 포토리소그래피 공정은 기판 상에 포토레지스트 막을 형성하는 도포 공정, 포토레지스트 막으로부터 포토레지스트 패턴을 형성하는 노광 공정, 노광 공정에서 광이 조사된 영역 또는 그 반대 영역을 제거하는 현상 공정을 포함하고, 각각의 공정의 전후에는 기판을 가열 및 냉각하는 베이크 공정이 수행된다.The photolithography process is performed to form a photoresist pattern on the semiconductor substrate. The photolithography process includes a coating process for forming a photoresist film on a substrate, an exposure process for forming a photoresist pattern from the photoresist film, and a developing process for removing a region irradiated with light or an opposite region thereof in the exposing process, A baking step for heating and cooling the substrate is performed.

베이크 공정은 가열 유닛을 통해서 기판을 가열한다. 가열 유닛은 웨이퍼가 놓여지는 가열 플레이트를 가진다. 하나의 그룹에 속하는 웨이퍼들에 대해 공정이 완료되고 다음 그룹에 속하는 웨이퍼들에 공정을 진행하기 전, 가열 플레이트의 온도는 상술한 다음 그룹에 속하는 웨이퍼들의 공정 조건(예컨대, 가열 온도)에 적합하도록 조절되어야 한다. 가열 플레이트의 온도 상승은 가열 플레이트에 제공되는 열에너지를 증가하여 신속하게 수행할 수 있다. 그러나 가열 플레이트의 온도 하강은 자연 냉각 방식에 의해 이루어지므로 많은 시간이 소요된다. 자연 냉각 방식에 의해 소요되는 시간은 대기 시간에 해당하여 설비의 가동률이 크게 저하된다.The bake process heats the substrate through the heating unit. The heating unit has a heating plate on which the wafer is placed. Before the process is completed for the wafers belonging to one group and the wafers belonging to the next group, the temperature of the heating plate is adjusted to suit the process conditions (e.g. heating temperature) of the wafers belonging to the following group Should be adjusted. The temperature rise of the heating plate can be performed quickly by increasing the heat energy supplied to the heating plate. However, since the temperature lowering of the heating plate is performed by the natural cooling method, it takes much time. The time required by the natural cooling method corresponds to the waiting time, and the operation rate of the facility is greatly lowered.

본 발명은 베이크 공정의 효율을 높이기 위한 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법을 제공하기 위한 것이다.The present invention provides a bake unit for increasing the efficiency of a bake process, and a substrate processing apparatus and method including the bake unit.

또한, 본 발명은 베이크 플레이트의 냉각 속도를 향상시킬 수 있는 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법을 제공하기 위한 것이다.The present invention also provides a bake unit capable of improving the cooling rate of the bake plate, an apparatus and a method for processing the substrate including the bake unit.

본 발명은 베이크 유닛을 제공한다. The present invention provides a bake unit.

본 발명의 일 실시예에 따르면, 상기 베이크 유닛은 하우징과 상기 하우징 내에 위치하며 기판을 가열하는 가열 플레이트를 가지는 가열 유닛과 상기 하우징 내에 위치하며 기판을 반송하는 반송 유닛과 그리고 상기 가열 플레이트 또는 가열된 기판을 냉각할 수 있는 냉각 유닛;을 포함하되 상기 반송 유닛은 기판이 놓이는 반송 플레이트를 포함하고 상기 냉각 유닛은 상기 반송 플레이트에 제공될 수 있다.According to an embodiment of the present invention, the bake unit may include a heating unit having a housing and a heating plate for heating the substrate, a conveying unit disposed in the housing for conveying the substrate, And a cooling unit capable of cooling the substrate, wherein the transport unit includes a transport plate on which the substrate is placed, and the cooling unit can be provided on the transport plate.

일 실시예에 따르면, 상기 냉각 유닛은 상기 반송 플레이트의 내부에 제공된 냉각 유로를 가질 수 있다.According to one embodiment, the cooling unit may have a cooling flow passage provided inside the conveying plate.

일 실시예에 따르면, 상기 하우징은 기판이 출입하는 출입구가 형성된 제1측벽과 상기 제1측벽의 마주보는 면에 형성된 제2측벽을 포함하며 상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 더 인접하게 위치할 수 있다. According to one embodiment, the housing includes a first sidewall formed with an entrance and exit through which the substrate enters and exits, and a second sidewall formed on a surface facing the first sidewall, and the heating unit includes a first sidewall More closely positioned.

일 실시예에 따르면, 상기 가열 유닛은 상기 가열 플레이트의 상단에 위치하며 상기 가열 플레이트를 포함하는 가열공간을 제공하는 커버와 상기 커버를 상하로 구동하는 구동기를 포함할 수 있다.According to one embodiment, the heating unit may include a cover which is located at the upper end of the heating plate and provides a heating space including the heating plate, and a driver which drives the cover up and down.

일 실시예에 따르면, 상기 가열 유닛은 상기 가열 플레이트에 형성된 핀 홀 내에 상하로 이동가능하게 제공되며 상기 반송 유닛에 기판을 이송하는 리프트 핀을 더 포함할 수 있다.According to one embodiment, the heating unit may further include a lift pin provided in the pin hole formed in the heating plate so as to be movable up and down, and to transfer the substrate to the transfer unit.

일 실시예에 따르면, 상기 반송 유닛은 상기 반송 플레이트를 상기 1측벽에 인접한 제1위치와, 상기 제2측벽에 근접하며 상기 가열 플레이트의 상부인 제2위치로 이동시키는 구동 부재를 더 포함할 수 있다.According to one embodiment, the transport unit may further comprise a driving member for moving the transporting plate to a first position adjacent to the one sidewall and a second position adjacent to the second sidewall and above the heating plate have.

일 실시예에 따르면, 상기 반송 플레이트에는 상기 제1위치에서 상기 제2위치로 이동할 때 상기 리프트 핀과 간섭 또는 충돌이 일어나지 않도록 상기 리프트 핀이 삽입되는 가이드 홀이 형성되며 상기 가이드 홀은 상기 반송 플레이트의 외측면으로부터 그 내측으로 연장되어 제공될 수 있다. According to an embodiment of the present invention, a guide hole is formed in the transport plate so that the lift pin is inserted to prevent interference or collision with the lift pin when the transport plate is moved from the first position to the second position, As shown in Fig.

일 실시예에 따르면, 상기 베이크 유닛은 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되 상기 제어기는 상기 가열 플레이트의 온도를 낮출 때, 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키도록 제어할 수 있다.According to one embodiment, the bake unit further includes a controller for controlling the conveying unit and the cooling unit, wherein when the temperature of the heating plate is lowered, the conveying plate is brought into contact with or adjacent to the heating plate And then cooling the heating plate with the cooling unit.

일 실시예에 따르면, 상기 베이크 유닛은 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되 상기 제어기는 상기 가열 플레이트에서 가열된 기판을 냉각 시 상기 가열 플레이트에서 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키도록 제어할 수 있다.According to one embodiment, the bake unit further includes a controller for controlling the transport unit and the cooling unit, wherein when the substrate heated by the heating plate is cooled, And then cooling the substrate by using the cooling unit after positioning the substrate on the substrate.

본 발명은 기판 처리 장치를 제공한다.The present invention provides a substrate processing apparatus.

본 발명의 일 실시예에 따르면, 상기 기판 처리 장치는 기판에 베이크 공정을 수행하는 베이크 유닛과 기판에 액을 공급하여 공정을 수행하는 액처리 챔버와 그리고 상기 베이크 유닛과 상기 액처리 챔버간에 기판을 반송하는 반송챔버를 포함하되 상기 베이크 유닛은 하우징과 상기 하우징 내에 위치하며 기판을 가열하는 가열 플레이트를 가지는 가열 유닛과 상기 하우징 내에 위치하며 기판을 반송하는 반송 유닛과 그리고 상기 가열 플레이트 또는 가열된 기판을 냉각할 수 있는 냉각 유닛을 포함하되 상기 반송 유닛은 기판이 놓이는 반송 플레이트를 포함하고 상기 냉각 유닛은 상기 반송 플레이트에 제공될 수 있다.According to one embodiment of the present invention, the substrate processing apparatus includes a bake unit for performing a bake process on a substrate, a liquid processing chamber for performing a process by supplying a liquid to the substrate, and a liquid processing chamber for performing a process between the bake unit and the liquid processing chamber Wherein the bake unit comprises a heating unit having a housing and a heating plate for heating the substrate, the heating unit being located in the housing and a transport unit for transporting the substrate, the heating unit being located in the housing, The transfer unit includes a transfer plate on which the substrate is placed, and the cooling unit can be provided on the transfer plate.

일 실시예에 따르면, 상기 냉각 유닛은 상기 반송 플레이트의 내부에 제공된 냉각 유로를 가질 수 있다.According to one embodiment, the cooling unit may have a cooling flow passage provided inside the conveying plate.

일 실시예에 따르면, 상기 하우징은 기판이 출입하는 출입구가 형성된 제1측벽과 상기 제1측벽의 마주보는 면에 형성된 제2측벽을 포함하며 상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 더 인접하게 위치할 수 있다.According to one embodiment, the housing includes a first sidewall formed with an entrance and exit through which the substrate enters and exits, and a second sidewall formed on a surface facing the first sidewall, and the heating unit includes a first sidewall More closely positioned.

일 실시예에 따르면, 상기 가열 유닛은 상기 가열 플레이트의 상단에 위치하며 상기 가열 플레이트를 포함하는 가열공간을 제공하는 커버와 상기 커버를 상하로 구동하는 구동기를 포함할 수 있다.According to one embodiment, the heating unit may include a cover which is located at the upper end of the heating plate and provides a heating space including the heating plate, and a driver which drives the cover up and down.

일 실시예에 따르면, 상기 가열 유닛은 상기 가열 플레이트에 형성된 핀 홀 내에 상하로 이동가능하게 제공되며, 상기 반송 유닛에 기판을 이송하는 리프트 핀을 더 포함할 수 있다.According to one embodiment, the heating unit may further include a lift pin which is provided so as to be movable up and down in a pin hole formed in the heating plate, and which transfers the substrate to the transfer unit.

일 실시예에 따르면, 상기 반송 유닛은 상기 반송 플레이트를 상기 1측벽에 인접한 제1위치와, 상기 제2측벽에 근접하며 상기 가열 플레이트의 상부인 제2위치로 이동시키는 구동 부재를 더 포함할 수 있다.According to one embodiment, the transport unit may further comprise a driving member for moving the transporting plate to a first position adjacent to the one sidewall and a second position adjacent to the second sidewall and above the heating plate have.

일 실시예에 따르면, 상기 반송 플레이트에는 상기 제1위치에서 상기 제2위치로 이동할 때 상기 리프트 핀과 간섭 또는 충돌이 일어나지 않도록 상기 리프트 핀이 삽입되는 가이드 홀이 형성되며 상기 가이드 홀은 상기 반송 플레이트의 외측면으로부터 그 내측으로 연장되어 제공될 수 있다.According to an embodiment of the present invention, a guide hole is formed in the transport plate so that the lift pin is inserted to prevent interference or collision with the lift pin when the transport plate is moved from the first position to the second position, As shown in Fig.

일 실시예에 따르면, 상기 기판 처리 장치는 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되 상기 제어기는 상기 가열 플레이트의 온도를 낮출 때, 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키도록 제어할 수 있다.According to one embodiment, the substrate processing apparatus further includes a controller for controlling the transport unit and the cooling unit, wherein when the temperature of the heating plate is lowered, the transport plate is brought into contact with or adjacent to the heating plate And then the cooling plate is cooled by the cooling unit.

일 실시예에 따르면, 상기 기판 처리 장치는 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되 상기 제어기는 상기 가열 플레이트에서 가열된 기판을 냉각 시 상기 가열 플레이트에서 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키도록 제어할 수 있다. According to one embodiment, the substrate processing apparatus further includes a controller for controlling the transport unit and the cooling unit, wherein when the substrate heated by the heating plate is cooled, After positioning the substrate on the plate, it can be controlled to cool the substrate using the cooling unit.

본 발명은 기판을 처리하는 방법을 제공한다.The present invention provides a method of treating a substrate.

본 발명의 일 실시예에 따르면, 상기 기판 처리 방법은, 제1그룹에 속하는 기판을 가열 플레이트를 이용해 제1온도로 가열하는 제1 기판 가열 단계와 상기 가열 플레이트로 기판을 반송하는 반송 플레이트에 제공된 냉각 유닛을 이용해 상기 가열 플레이트를 냉각하는 플레이트 냉각 단계와 제2그룹에 속하는 기판을 상기 가열 플레이트를 이용해 상기 제1온도보다 낮은 제2온도로 가열하는 제2 기판 가열 단계를 포함할 수 있다.According to an embodiment of the present invention, there is provided a substrate processing method including a first substrate heating step of heating a substrate belonging to a first group to a first temperature by using a heating plate, and a second substrate heating step of supplying a substrate to the heating plate A plate cooling step of cooling the heating plate using a cooling unit and a second substrate heating step of heating the substrate belonging to the second group to a second temperature lower than the first temperature by using the heating plate.

일 실시예에 따르면, 상기 플레이트 냉각 단계는 상기 가열 플레이트의 온도를 상기 제2온도로 낮출 때, 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키는 것을 포함할 수 있다.According to one embodiment, the plate cooling step includes cooling the heating plate with the cooling unit after bringing the conveyance plate into contact with or adjacent to the heating plate when lowering the temperature of the heating plate to the second temperature ≪ / RTI >

일 실시예에 따르면, 상기 플레이트 냉각 단계는 상기 반송 플레이트에서 기판이 제거된 상태에서 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키는 것을 포함할 수 있다.According to one embodiment, the plate cooling step may include cooling the heating plate with the cooling unit after placing the conveying plate in contact with or adjacent to the heating plate with the substrate removed from the conveying plate have.

일 실시예에 따르면, 상기 플레이트 냉각 단계는 상기 냉각 유닛으로 상기 가열 플레이트를 냉각하면서 동시에 상기 가열 플레이트의 저면에 공급되는 냉각 가스로 상기 가열 플레이트를 냉각시키는 것을 포함할 수 있다.According to one embodiment, the plate cooling step may include cooling the heating plate with the cooling unit while cooling the heating plate with cooling gas supplied to the bottom surface of the heating plate.

일 실시예에 따르면, 상기 제1 기판 가열 단계와 상기 플레이트 냉각 단계의 사이에서 상기 가열 플레이트에서 상기 제1온도로 기판을 가열한 후 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키는 제1기판 냉각 단계를 더 포함할 수 있다.According to one embodiment, after the substrate is heated to the first temperature in the heating plate between the first substrate heating step and the plate cooling step, the substrate having been processed is placed on the transport plate, And a first substrate cooling step of cooling the substrate using a cooling unit.

일 실시예에 따르면, 상기 제2 기판 가열 단계 이후에 상기 가열 플레이트에서 상기 제2온도로 기판을 가열한 후 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키는 제2기판 냉각 단계를 더 포함할 수 있다.According to one embodiment, after the substrate is heated to the second temperature from the heating plate after the second substrate heating step, the substrate on which the processing is completed is positioned on the transport plate, And a second substrate cooling step for cooling the substrate.

일 실시예에 따르면, 상기 냉각 유닛은 상기 반송 플레이트의 내부에 냉각 유로를 제공할 수 있다.According to one embodiment, the cooling unit may provide a cooling channel inside the conveying plate.

본 발명의 일 실시 예에 의하면, 반송 플레이트에 냉각 유닛을 제공하여 베이크 공정에 효율을 향상시키는 효과가 있다. According to an embodiment of the present invention, there is an effect that the cooling unit is provided on the transport plate to improve the efficiency in the baking process.

또한, 본 발명의 일 실시 예에 의하면, 반송 플레이트에 냉각 유닛을 제공하여 기판을 가열하는 가열 유닛을 단시간에 냉각시키는 효과가 있다.According to the embodiment of the present invention, there is an effect that the heating unit for heating the substrate is cooled in a short time by providing the cooling unit on the conveying plate.

또한, 본 발명의 일 실시 예에 의하면, 반송 플레이트에 냉각 유닛을 제공하여 냉각 유닛을 이용한 냉각과 자연 냉각을 동시에 제공하여 냉각 시간을 최소화하는 효과가 있다.In addition, according to an embodiment of the present invention, a cooling unit is provided on a conveyance plate, cooling and natural cooling using a cooling unit are simultaneously provided, thereby minimizing cooling time.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다.
도 2는 도 1의 기판 처리 장치(1)를 A-A 방향에서 바라본 도면이이다.
도 3은 도 1의 기판 처리 장치(1)를 B-B 방향에서 바라본 도면이다.
도 4는 본 발명의 일 실시예에 따른 베이크 유닛의 사시도이다.
도 5는 도 4의 베이크 유닛의 평면도이다.
도 6은 도 4의 베이크 유닛의 단면도이다.
도 7은 본 발명의 일 실시예에 베이크 유닛에서 기판을 처리하는 방법을 순차적으로 보여주는 플로우 차트이다.
도 8 내지 도 16은 본 발명의 일 실시예에 따른 기판 처리 방법을 순차적으로 보여주는 도면들이다.
1 is a schematic view of a substrate processing apparatus according to an embodiment of the present invention.
Fig. 2 is a view of the substrate processing apparatus 1 of Fig. 1 viewed from the direction AA.
FIG. 3 is a view of the substrate processing apparatus 1 of FIG. 1 viewed from the BB direction.
4 is a perspective view of a bake unit according to an embodiment of the present invention.
5 is a plan view of the bake unit of Fig.
6 is a cross-sectional view of the bake unit of Fig.
7 is a flowchart sequentially showing a method of processing a substrate in a bake unit according to an embodiment of the present invention.
8 to 16 are views sequentially illustrating a substrate processing method according to an embodiment of the present invention.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments of the present invention can be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Thus, the shape of the elements in the figures has been exaggerated to emphasize a clearer description.

본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용된다. 특히 본 실시예의 설비는 기판에 대해 도포 공정, 현상 공정을 수행하는 데 사용된다. The facility of this embodiment is used to perform a photolithography process on a substrate such as a semiconductor wafer or a flat panel display panel. In particular, the facilities of this embodiment are used to perform a coating process and a developing process on a substrate.

도 1 내지 도 3은 본 발명의 일 실시예에 따른 기판 처리 장치(1)를 개략적으로 보여주는 도면이다. 도 1은 기판 처리 장치(1)를 상부에서 바라본 도면이고, 도 2는 도 1의 기판 처리 장치(1)를 A-A 방향에서 바라본 도면이고, 도 3은 도 1의 기판 처리 장치(1)를 B-B 방향에서 바라본 도면이다.1 to 3 are views schematically showing a substrate processing apparatus 1 according to an embodiment of the present invention. 1 is a view showing the substrate processing apparatus 1 of FIG. 1 viewed from the direction AA, FIG. 3 is a view showing the substrate processing apparatus 1 of FIG. 1 as BB Fig.

도 1 내지 도 3을 참조하면, 기판 처리 장치(1)는 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 인터페이스 모듈(700), 그리고 퍼지 모듈(800)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400) 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다. 퍼지 모듈(800)은 인터페이스 모듈(700) 내에 제공될 수 있다. 이와 달리 퍼지 모듈(800)은 인터페이스 모듈(700) 후단의 노광 장치가 연결되는 위치 또는 인터페이스 모듈(700)의 측부 등 다양한 위치에 제공될 수 있다.1 to 3, the substrate processing apparatus 1 includes a load port 100, an index module 200, a buffer module 300, a coating and developing module 400, an interface module 700, Module 800. < / RTI > The load port 100, the index module 200, the buffer module 300, the application and development module 400, and the interface module 700 are sequentially arranged in one direction in one direction. The purge module 800 may be provided in the interface module 700. The fuzzy module 800 may be provided at various positions such as a position where the exposure device at the rear end of the interface module 700 is connected or a side of the interface module 700. [

이하, 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 한다. 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 한다. Hereinafter, the direction in which the load port 100, the index module 200, the buffer module 300, the application and development module 400, and the interface module 700 are arranged is referred to as a first direction 12. A direction perpendicular to the first direction 12 is referred to as a second direction 14 and a direction perpendicular to the first direction 12 and the second direction 14 is referred to as a third direction 16, Quot;

기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 일 예로 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. The substrate W is moved in a state accommodated in the cassette 20. The cassette 20 has a structure that can be sealed from the outside. For example, as the cassette 20, a front open unified pod (FOUP) having a door at the front can be used.

이하에서는 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 인터페이스 모듈(700), 그리고 퍼지 모듈(800)에 대해 설명한다.Hereinafter, the load port 100, the index module 200, the buffer module 300, the application and development module 400, the interface module 700, and the fuzzy module 800 will be described.

로드 포트(100)는 기판들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(120)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 1에서는 4개의 재치대(120)가 제공된다. The load port 100 has a mounting table 120 on which the cassette 20 accommodating the substrates W is placed. A plurality of mounts 120 are provided, and the mounts 120 are arranged in a line along the second direction 14. In Fig. 1, four placement tables 120 are provided.

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 포함한다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공된다. 프레임(210)은 로드 포트(100)와 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 기판(W)을 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조이다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 포함한다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 200 transfers the substrate W between the cassette 20 and the buffer module 300 placed on the table 120 of the load port 100. The index module 200 includes a frame 210, an index robot 220, and a guide rail 230. The frame 210 is provided in the form of a substantially rectangular parallelepiped. The frame 210 is disposed between the load port 100 and the buffer module 300. The frame 210 of the index module 200 may be provided at a lower height than the frame 310 of the buffer module 300 described later. The index robot 220 and the guide rail 230 are disposed within the frame 210. The index robot 220 is moved in the first direction 12, the second direction 14 and the third direction 16 so that the hand 221 that directly handles the substrate W can be moved and rotated in the first direction 12, the second direction 14, This is a possible structure. The index robot 220 includes a hand 221, an arm 222, a support 223, and a pedestal 224. The hand 221 is fixed to the arm 222. The arm 222 is provided with a stretchable structure and a rotatable structure. The support base 223 is disposed along the third direction 16 in the longitudinal direction. The arm 222 is coupled to the support 223 to be movable along the support 223. The support 223 is fixedly coupled to the pedestal 224. The guide rails 230 are provided so that their longitudinal direction is arranged along the second direction 14. The pedestal 224 is coupled to the guide rail 230 so as to be linearly movable along the guide rail 230. Further, although not shown, the frame 210 is further provided with a door opener for opening and closing the door of the cassette 20.

버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 포함한다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 제공된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The buffer module 300 includes a frame 310, a first buffer 320, a second buffer 330, a cooling chamber 350, and a first buffer robot 360. The frame 310 is provided in the shape of an inner rectangular parallelepiped and is disposed between the index module 200 and the application and development module 400. The first buffer 320, the second buffer 330, the cooling chamber 350, and the first buffer robot 360 are located within the frame 310. The cooling chamber 350, the second buffer 330, and the first buffer 320 are sequentially disposed in the third direction 16 from below. The second buffer 330 and the cooling chamber 350 are located at a height corresponding to the coating module 401 of the coating and developing module 400 described later and the coating and developing module 400 at a height corresponding to the developing module 402. [ The first buffer robot 360 is spaced apart from the second buffer 330, the cooling chamber 350 and the first buffer 320 by a predetermined distance in the second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 기판들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 기판(W)이 놓인다. 하우징(331)은 인덱스 로봇(220)과 제 1 버퍼 로봇(360)이 하우징(331) 내 지지대(332)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향과 제 1 버퍼 로봇(360)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. The first buffer 320 and the second buffer 330 temporarily store a plurality of substrates W, respectively. The second buffer 330 has a housing 331 and a plurality of supports 332. The supports 332 are disposed within the housing 331 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 332. The housing 331 includes a housing 331 and a first buffer robot 360. The housing 331 supports the index robot 220 and the first buffer robot 360 in the direction in which the index robot 220 is provided, 1 buffer robot 360 has an opening (not shown) in the direction in which it is provided. The first buffer 320 has a structure substantially similar to that of the second buffer 330. The housing 321 of the first buffer 320 has an opening in a direction in which the first buffer robot 360 is provided and a direction in which the application unit robot 432 located in the application module 401 is provided. The number of supports 322 provided in the first buffer 320 and the number of supports 332 provided in the second buffer 330 may be the same or different. According to one example, the number of supports 332 provided in the second buffer 330 may be greater than the number of supports 322 provided in the first buffer 320.

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 포함한다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 상부 또는 하부 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the substrate W between the first buffer 320 and the second buffer 330. The first buffer robot 360 includes a hand 361, an arm 362, and a support 363. The hand 361 is fixed to the arm 362. The arm 362 is provided in a stretchable configuration so that the hand 361 is movable along the second direction 14. The arm 362 is coupled to the support 363 so as to be linearly movable along the support 363 in the third direction 16. The support base 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320. The support 363 may be provided longer in the upper or lower direction. The first buffer robot 360 may be provided such that the hand 361 is driven only in two directions along the second direction 14 and the third direction 16.

냉각 챔버(350)는 각각 기판(W)을 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 포함한다. 냉각 플레이트(352)는 기판(W)이 놓이는 상면 및 기판(W)을 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 기판(W)을 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 현상 모듈(402)에 제공된 현상부 로봇이 냉각 플레이트(352)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇이 제공된 방향에 개구를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들이 제공될 수 있다. The cooling chamber 350 cools the substrate W, respectively. The cooling chamber 350 includes a housing 351 and a cooling plate 352. The cooling plate 352 has an upper surface on which the substrate W is placed and a cooling means 353 for cooling the substrate W. [ As the cooling means 353, various methods such as cooling with cooling water and cooling using a thermoelectric element can be used. In addition, the cooling chamber 350 may be provided with a lift pin assembly for positioning the substrate W on the cooling plate 352. The housing 351 is provided with the index robot 220 and the development module 402 so that the development robot can carry the substrate W to or from the cooling plate 352 in the direction in which the index robot 220 is provided, The robot has an opening in the direction provided. Further, the cooling chamber 350 may be provided with doors for opening and closing the above-described opening.

도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 액처리 챔버(410), 베이크 유닛(500), 그리고 반송 챔버(430)를 가진다. 액처리 챔버(410), 베이크 유닛(500), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 액처리 챔버(410)는 기판(W)DP 레지스트 도포 공정을 수행하는 레지스트 도포 챔버(410)로 제공될 수 있다. 레지스트 도포 챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 베이크 유닛(500)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. The application module 401 includes a process of applying a photosensitive liquid such as a photoresist to the substrate W and a heat treatment process such as heating and cooling for the substrate W before and after the resist application process. The application module 401 has a liquid processing chamber 410, a bake unit 500, and a transfer chamber 430. The liquid processing chamber 410, the bake unit 500, and the transfer chamber 430 are sequentially disposed along the second direction 14. The liquid processing chamber 410 may be provided with a resist application chamber 410 for performing the substrate W deposition process. A plurality of resist coating chambers 410 are provided, and a plurality of resist coating chambers 410 are provided in the first direction 12 and the third direction 16, respectively. A plurality of bake units 500 are provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 유닛들(420), 레지스트 도포 챔버들(410), 그리고 제 1 버퍼 모듈(300)의 제 1 버퍼(320)간에 기판(W)을 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다.The transfer chamber 430 is positioned in parallel with the first buffer 320 of the first buffer module 300 in the first direction 12. In the transfer chamber 430, a dispenser robot 432 and a guide rail 433 are positioned. The transfer chamber 430 has a generally rectangular shape. The applicator robot 432 transfers the substrate W between the bake units 420, the resist application chambers 410 and the first buffer 320 of the first buffer module 300. The guide rails 433 are arranged so that their longitudinal directions are parallel to the first direction 12. The guide rails 433 guide the applying robot 432 to move linearly in the first direction 12. The applicator robot 432 has a hand 434, an arm 435, a support 436, and a pedestal 437. The hand 434 is fixed to the arm 435. The arm 435 is provided in a stretchable configuration so that the hand 434 is movable in the horizontal direction. The support 436 is provided so that its longitudinal direction is disposed along the third direction 16. The arm 435 is coupled to the support 436 so as to be linearly movable in the third direction 16 along the support 436. The support 436 is fixedly coupled to the pedestal 437 and the pedestal 437 is coupled to the guide rail 433 so as to be movable along the guide rail 433.

레지스트 도포 챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 기판(W)를 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 기판(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 레지스트 도포 챔버(410)에는 포토 레지스트가 도포된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. The resist coating chambers 410 all have the same structure. However, the types of the photoresist used in each of the resist coating chambers 410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The resist coating chamber 410 applies a photoresist on the substrate W. [ The resist coating chamber 410 has a housing 411, a support plate 412, and a nozzle 413. The housing 411 has a cup shape with an open top. The support plate 412 is placed in the housing 411 and supports the substrate W. [ The support plate 412 is rotatably provided. The nozzle 413 supplies the photoresist onto the substrate W placed on the support plate 412. The nozzle 413 has a circular tube shape and can supply photoresist to the center of the substrate W. [ Alternatively, the nozzle 413 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 413 may be provided as a slit. In addition, the resist coating chamber 410 may further be provided with a nozzle 414 for supplying a cleaning liquid such as deionized water to clean the surface of the substrate W to which the photoresist is applied.

도 4 내지 도 6은 베이크 유닛을 보여주는 도면이다. 도 4 내지 도 6을 참조하면, 베이크 유닛(500)는 기판(W)을 열처리한다. 예컨대, 베이크 유닛들(500)은 포토 레지스트를 도포하기 전에 기판(W)을 소정의 온도로 가열하여 기판(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 기판(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등과 같은 가열 공정을 수행하고, 각각의 가열 공정 이후에 기판(W)을 냉각하는 냉각 공정 등을 수행한다. 4 to 6 are views showing a bake unit. 4 to 6, the bake unit 500 heat-treats the substrate W. For example, the bake units 500 may include a prebake step of heating the substrate W to a predetermined temperature to remove organic matter and moisture on the surface of the substrate W before the photoresist is applied, A soft bake process is performed after coating the substrate W on a substrate W, and a cooling process for cooling the substrate W after each heating process is performed.

베이크 유닛(500)은 하우징(510), 반송 유닛(530), 가열 유닛(550), 냉각 유닛(570) 그리고 제어기(590)를 포함한다.The bake unit 500 includes a housing 510, a transfer unit 530, a heating unit 550, a cooling unit 570 and a controller 590.

하우징(510)은 내부에 베이크 공정이 이루어지는 공간을 제공한다. 하우징(510)은 직육면체 형상으로 제공된다. 하우징(510)은 제1측벽(511), 제2측벽(513) 그리고 출입구(512)를 포함한다. The housing 510 provides a space in which a bake process is performed. The housing 510 is provided in a rectangular parallelepiped shape. The housing 510 includes a first sidewall 511, a second sidewall 513, and an entrance 512.

제1측벽(511)은 하우징(510)의 일측면에 제공된다. 제2측벽(512)은 제1측벽(511)과 맞은편에 제공된다. 하우징(510)의 측벽에는 기판(W)이 출입되는 출입구(512)가 형성된다. 일 예로 출입구(512)는 제1측벽(511)에 형성될 수 있다. 출입구(512)는 기판(W)이 이동하는 통로를 제공한다.The first side wall 511 is provided on one side of the housing 510. A second sidewall 512 is provided opposite the first sidewall 511. On the side wall of the housing 510, an entrance port 512 through which the substrate W enters and exits is formed. For example, the entrance 512 may be formed in the first sidewall 511. The entry port 512 provides a passage through which the substrate W travels.

반송 유닛(530)은 하우징(510) 내에서 기판(W)을 반송한다. 반송 유닛(530은 반송 플레이트(531), 아암(532), 지지링(533), 그리고 구동 부재(537)를 포함한다.The transport unit 530 transports the substrate W in the housing 510. The transfer unit 530 includes a transfer plate 531, an arm 532, a support ring 533, and a drive member 537. [

반송 플레이트(531)에는 기판(W)이 놓인다. 반송 플레이트(531)는 원형의 형상으로 제공된다. 반송 플레이트(531)는 기판(W)과 동일한 크기로 제공된다. 반송 플레이트(531)는 열전도도가 좋은 금속의 재질로 제공된다. 반송 플레이트(531)에는 가이드 홀(535)이 형성되어 있다. 가이드 홀(535)은 반송 플레이트(531)의 외측면으로부터 그 내측으로 연장되어 제공된다. 가이드 홀(535)은 반송 플레이트(531)의 이동 시 리프트 핀(553)과 간섭 또는 충돌이 일어나지 않도록 한다.The substrate W is placed on the transfer plate 531. The transport plate 531 is provided in a circular shape. The transfer plate 531 is provided in the same size as the substrate W. [ The transfer plate 531 is made of a metal material having good thermal conductivity. A guide hole 535 is formed in the transport plate 531. The guide hole 535 is provided extending from the outer side of the conveying plate 531 to the inside thereof. The guide hole 535 prevents interference or collision with the lift pin 553 when the transport plate 531 is moved.

아암(532)은 반송 플레이트(531)와 고정결합된다. 아암(532)은 반송 플레이트(531)와 구동 부재(537) 사이에 제공된다. And the arm 532 is fixedly coupled to the transfer plate 531. [ The arm 532 is provided between the conveying plate 531 and the driving member 537.

지지링(533)은 반송 플레이트(531) 주위를 감싸며 제공된다. 지지링(533)은 반송 플레이트(531)의 가장 자리를 지지한다. 지지링(533)은 기판(W)이 반송 플레이트(531)에 놓여진 후 기판(W)이 정위치에 놓이도록 기판(W)을 지지하는 역할을 한다. The supporting ring 533 is provided so as to surround the conveying plate 531. The support ring 533 supports the edge of the transfer plate 531. The support ring 533 serves to support the substrate W so that the substrate W is placed in the correct position after the substrate W is placed on the transfer plate 531. [

구동 부재(537)는 반송 플레이트(531)를 구동시킨다. 구동 부재(537)는 반송 플레이트(531)를 수평 운동 또는 상하 이동시킨다. 구동 부재(537)는 반송 플레이트(531)를 제1위치(501)와 제2위치(502)로 이동시킬 수 있다. 제1위치(501)는 반송플레이트(531)가 제1측벽(511)에 인접한 위치이다. 제2위치(502)는 제2측벽(513)에 근접하며 가열 플레이트(551)의 상부 위치이다. The driving member 537 drives the conveying plate 531. The driving member 537 horizontally moves or vertically moves the transport plate 531. The driving member 537 can move the transfer plate 531 to the first position 501 and the second position 502. [ The first position 501 is a position where the transport plate 531 is adjacent to the first side wall 511. The second position 502 is close to the second side wall 513 and is the upper position of the heating plate 551.

가열 유닛(550)은 기판(W)을 설정 온도로 가열한다. 가열 유닛(550)은 가열 플레이트(551), 리프트 핀(553), 커버(555) 그리고 구동기(557)를 포함한다. The heating unit 550 heats the substrate W to a set temperature. The heating unit 550 includes a heating plate 551, a lift pin 553, a cover 555 and a driver 557.

가열 플레이트(551)의 내부에는 기판(W)을 가열하는 가열 수단이 제공된다. 예컨대, 가열 수단은 히팅 코일로 제공될 수 있다. 이와는 달리 가열 플레이트(551)에는 발열 패턴들이 제공될 수 있다. 가열 플레이트(551)는 원통형의 형상으로 제공된다. 가열 플레이트(551)의 내에는 리프트 핀(553)을 수용하는 핀 홀(554)이 형성된다.Inside the heating plate 551, a heating means for heating the substrate W is provided. For example, the heating means may be provided as a heating coil. Alternatively, the heating plate 551 may be provided with heating patterns. The heating plate 551 is provided in a cylindrical shape. A pin hole 554 is formed in the heating plate 551 to receive the lift pin 553.

핀 홀(554)은 리프트 핀(553)이 기판(W)을 상하로 이동시킬 때 리프트 핀(553)의 이동하는 경로를 위해 제공된다. 핀 홀(554)은 가열 플레이트(551)를 상하방향으로 관통하도록 제공되며, 복수개가 제공될 수 있다. The pin hole 554 is provided for the moving path of the lift pin 553 when the lift pin 553 moves the substrate W up and down. The pin holes 554 are provided to vertically penetrate the heating plate 551, and a plurality of pins can be provided.

리프트 핀(554)은 승강 기구(미도시)에 의해 상하로 이동된다. 리프트 핀(554)은 기판(W)을 가열 플레이트(551) 상에 안착시킬 수 있다. 리프트 핀(554)은 기판(W)을 가열 플레이트(551)로부터 일정거리 이격된 위치로 기판(W)을 승강시킬 수 있다. The lift pins 554 are moved up and down by a lifting mechanism (not shown). The lift pins 554 can seat the substrate W on the heating plate 551. [ The lift pins 554 can raise and lower the substrate W to a position spaced apart from the heating plate 551 by a predetermined distance.

커버(555)는 가열 플레이트(551)의 상부에 위치한다. 커버(555)는 원통형의 형상으로 제공된다. 커버(555)는 내부에 가열 공간을 제공한다. 커버(555)는 기판(W)이 가열 플레이트(551)로 이동시 구동기(557)에 의해 가열 플레이트(551)의 상부로 이동한다. 커버(555)는 기판(W)이 가열 플레이트(551)에 의해 가열 시 구동기(557)에 의해 하부로 이동하여 기판(W)이 가열되는 가열 공간을 형성한다. The cover 555 is located above the heating plate 551. The cover 555 is provided in a cylindrical shape. The cover 555 provides a heating space therein. The cover 555 moves to the upper portion of the heating plate 551 by the actuator 557 when the substrate W is moved to the heating plate 551. The cover 555 moves downward by the actuator 557 when the substrate W is heated by the heating plate 551 to form a heating space in which the substrate W is heated.

구동기(557)는 지지부(558)에 의해 커버(555)와 고정 결합된다. 구동기(557)는 기판(W)이 가열 플레이트(551)로 이송 또는 반송되는 경우 커버(555)를 상하로 승하강시킨다. 일 예로 구동기(557)는 실린더로 제공될 수 있다. The driver 557 is fixedly coupled to the cover 555 by a support 558. [ The actuator 557 moves up and down the cover 555 when the substrate W is conveyed or conveyed to the heating plate 551. In one example, the actuator 557 may be provided as a cylinder.

냉각 유닛(570)은 가열 플레이트(551) 또는 처리가 끝난 기판(W)을 냉각시킨다. 냉각 유닛(570)은 반송 플레이트(531)의 내부에 제공된다. 일 예로 냉각 유닛(570)은 냉각 유로로 제공될 수 있다. 냉각 유로에는 냉각수가 공급되어 기판(W) 또는 가열 플레이트(551)를 냉각할 수 있다. The cooling unit 570 cools the heating plate 551 or the processed substrate W. The cooling unit 570 is provided inside the transfer plate 531. For example, the cooling unit 570 may be provided as a cooling flow path. Cooling water is supplied to the cooling channel to cool the substrate W or the heating plate 551.

제어기(590)는 반송 유닛(530)과 냉각 유닛(570)을 제어한다. The controller 590 controls the transfer unit 530 and the cooling unit 570.

도 7은 본 발명의 일 실시예에 베이크 유닛에서 기판을 처리하는 방법을 순차적으로 보여주는 플로우 차트이고 도 8 내지 도 16은 본 발명의 일 실시예에 따른 기판 처리 방법을 순차적으로 보여주는 도면들이다. 이하 도 7 내지 도 16을 참조하면, 베이크 공정은 순차적으로 제1그룹에 속하는 기판(W1)들은 제1온도(T1)로, 제2그룹에 속하는 기판(W2)들은 제2온도(T2)로 가열한다. 제1그룹과 제2그룹에 속하는 기판은 하나 또는 복수의 매수로 제공될 수 있다. 각 그룹에 속하는 기판들은 하나의 카세트 내에 제공되는 기판들일 수 있다. FIG. 7 is a flowchart sequentially illustrating a method of processing a substrate in a bake unit according to an embodiment of the present invention. FIGS. 8 to 16 are views sequentially illustrating a method of processing a substrate according to an embodiment of the present invention. Referring to FIGS. 7 to 16, the baking process sequentially sets the substrates W1 belonging to the first group to the first temperature T1 and the substrates W2 belonging to the second group to the second temperature T2 Heat it. The substrates belonging to the first group and the second group may be provided in one or a plurality of the number. Substrates belonging to each group may be substrates provided in one cassette.

기판을 처리하는 방법은 제1 기판 가열 단계(S110), 제1기판 냉각 단계(S120), 플레이트 냉각 단계(S130), 제2 기판 가열 단계(S140) 그리고 제2기판 냉각 단계(S150)를 포함한다.The substrate processing method includes a first substrate heating step S110, a first substrate cooling step S120, a plate cooling step S130, a second substrate heating step S140, and a second substrate cooling step S150 do.

제1 기판 가열 단계(S110)는 제1그룹에 속하는 기판(W1)을 제1온도(T1)로 가열한다. 도 8 내지 도 10은 제1 기판 가열 단계를 순차적으로 보여주는 도면으로, 기판(W1)은 하우징(510) 내부에 반송 플레이트(531)로 이송된다. 반송 플레이트(531)로 이송된 기판(W1)은 커버(555)를 상부로 이동시킨 상태에서 구동 부재(537)에 의해 제1위치(501)에서 제2위치(502)로 이동한다. 반송 플레이트(531)는 기판(W1)을 가열 플레이트(551)에 내려놓는다. 구동기(557)는 커버(555)를 하부로 이동시켜 가열 공간을 제공한다. 가열 플레이트(551)는 기판(W1)을 제1온도(T1)로 가열한다. The first substrate heating step S110 heats the substrate W1 belonging to the first group to the first temperature T1. FIGS. 8 to 10 sequentially illustrate the first substrate heating step. The substrate W1 is transferred to the transfer plate 531 inside the housing 510. FIG. The substrate W1 transferred to the transfer plate 531 moves from the first position 501 to the second position 502 by the driving member 537 while the cover 555 is moved upward. The transfer plate 531 places the substrate W1 on the heating plate 551. [ The actuator 557 moves the cover 555 downward to provide a heating space. The heating plate 551 heats the substrate W1 to the first temperature T1.

제1 기판 가열 단계(S110) 후에 기판(W1)은 제1기판 냉각 단계(S120)를 수행한다. 도 11은 제1기판 냉각 단계를 보여주는 도면으로, 기판(W1)은 가열 플레이트(551)에서 반송 플레이트(531)로 인계된다. 반송 플레이트(531)에 놓인 기판(W1)은 제2위치(502)에서 제1위치(501)로 이동한다. 기판(W1)은 제1위치(501)로 이동되는 과정 중 또는 제1위치(501)로 이동 후 냉각 유닛(570)에 의해 냉각된다. After the first substrate heating step (S110), the substrate W1 performs the first substrate cooling step (S120). Fig. 11 is a view showing the first substrate cooling step, in which the substrate W1 is transferred to the transfer plate 531 at the heating plate 551. Fig. The substrate W1 placed on the transfer plate 531 moves from the second position 502 to the first position 501. [ The substrate W1 is cooled by the cooling unit 570 during the movement to the first position 501 or after the movement to the first position 501. [

제1기판 냉각 단계(S120) 이후에 플레이트 냉각 단계(S130)를 수행한다. 도 12는 플레이트 냉각 단계를 보여주는 도면으로, 반송 플레이트(531)는 기판이 놓여지지 않은 상태에서 구동 부재(537)를 통해서 제1위치(501)에서 제2위치(502)로 이동한다. 반송 플레이트(531)는 가열 플레이트(551)와 접촉 또는 인접한 위치에 배치된다. 냉각 유닛(570)은 가열 플레이트(551)의 온도를 제1온도(T1)에서 제1온도(T1)보다 낮은 온도인 제2온도(T2)로 낮춘다. 가열 플레이트(551)가 냉각된 후 반송 플레이트(531)는 제2위치(502)에서 제1위치(501)로 이동된다.The plate cooling step (S130) is performed after the first substrate cooling step (S120). 12 is a view showing a plate cooling step in which the transport plate 531 moves from the first position 501 to the second position 502 through the driving member 537 without the substrate being laid. The transfer plate 531 is disposed in contact with or adjacent to the heating plate 551. The cooling unit 570 lowers the temperature of the heating plate 551 from the first temperature T1 to the second temperature T2 which is lower than the first temperature T1. After the heating plate 551 is cooled, the conveying plate 531 is moved from the second position 502 to the first position 501.

플레이트 냉각 단계(S130) 이후에 제2 기판 가열 단계(S140)를 수행한다. 제2 기판 가열 단계(S140)는 제2그룹에 속하는 기판(W2)을 제2온도(T2)로 가열한다. 도 13 내지 도 15는 제2 기판 가열 단계를 순차적으로 보여주는 도면으로, 기판(W2)은 하우징(510) 내부에 반송 플레이트(531)로 이송된다. 반송 플레이트(531)로 이송된 기판(W2)은 커버(555)를 상부로 이동시킨 상태에서 구동 부재(537)에 의해 제1위치(501)에서 제2위치(502)로 이동한다. 반송 플레이트(531)는 기판(W2)을 가열 플레이트(551)에 내려놓는다. 구동기(557)는 커버(555)를 하부로 이동시켜 가열 공간을 제공한다. 가열 플레이트(551)는 기판(W2)을 제2온도(T2)로 가열한다. The second substrate heating step (S140) is performed after the plate cooling step (S130). The second substrate heating step S140 heats the substrate W2 belonging to the second group to the second temperature T2. 13 to 15 sequentially illustrate the second substrate heating step, and the substrate W2 is transferred to the transfer plate 531 inside the housing 510. As shown in FIG. The substrate W2 transferred to the transfer plate 531 moves from the first position 501 to the second position 502 by the driving member 537 while the cover 555 is moved upward. The transfer plate 531 places the substrate W2 on the heating plate 551. [ The actuator 557 moves the cover 555 downward to provide a heating space. The heating plate 551 heats the substrate W2 to the second temperature T2.

제2 기판 가열 단계(S140) 후에 기판(W2)은 제2기판 냉각 단계(S150)를 수행한다. 도 16은 제2기판 냉각 단계를 보여주는 도면으로, 기판(W2)은 가열 플레이트(551)에서 반송 플레이트(531)로 인계된다. 반송 플레이트(531)에 놓인 기판(W2)은 제2위치(502)에서 제1위치(501)로 이동한다. 기판(W2)은 제1위치(501)로 이동되는 과정 중 또는 제1위치(501)로 이동 후 냉각 유닛(570)에 의해 냉각된다. After the second substrate heating step S140, the substrate W2 performs the second substrate cooling step S150. Fig. 16 is a view showing a second substrate cooling step, in which the substrate W2 is transferred to the transfer plate 531 at the heating plate 551. Fig. The substrate W2 placed on the transfer plate 531 moves from the second position 502 to the first position 501. [ The substrate W2 is cooled by the cooling unit 570 either during the movement to the first position 501 or after the movement to the first position 501. [

이하 도 1 내지 도 3을 참조하면, 현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 액처리 챔버(460), 베이크 유닛(470), 그리고 반송 챔버(480)를 가진다. 액처리 챔버(460), 베이크 유닛(500), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 액처리 챔버(460)는 현상 챔버로 제공될 수 있다. 현상 챔버(460)와 베이크 유닛(500)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 1 to 3, the developing module 402 includes a developing process for supplying a developing solution to obtain a pattern on a substrate W to remove a part of the photoresist, And a heat treatment process such as heating and cooling performed on the substrate. The development module 402 has a liquid processing chamber 460, a bake unit 470, and a transfer chamber 480. [ The liquid processing chamber 460, the bake unit 500, and the transfer chamber 480 are sequentially disposed along the second direction 14. The liquid processing chamber 460 may be provided as a developing chamber. The development chamber 460 and the bake unit 500 are positioned apart from each other in the second direction 14 with the transfer chamber 480 therebetween. A plurality of developing chambers 460 are provided, and a plurality of developing chambers 460 are provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 유닛들(470), 현상 챔버들(460), 그리고 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350) 간에 기판(W)를 이송한다. 가이드 레일(483)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.The transfer chamber 480 is positioned in parallel with the second buffer 330 of the first buffer module 300 in the first direction 12. In the transfer chamber 480, the developing robot 482 and the guide rail 483 are positioned. The delivery chamber 480 has a generally rectangular shape. The developing robot 482 transfers the substrate W between the bake units 470, the developing chambers 460 and the second buffer 330 of the first buffer module 300 and the cooling chamber 350 . The guide rail 483 is arranged such that its longitudinal direction is parallel to the first direction 12. The guide rail 483 guides the developing robot 482 to linearly move in the first direction 12. The developing sub-robot 482 has a hand 484, an arm 485, a supporting stand 486, and a pedestal 487. The hand 484 is fixed to the arm 485. The arm 485 is provided in a stretchable configuration to allow the hand 484 to move in a horizontal direction. The support 486 is provided so that its longitudinal direction is disposed along the third direction 16. The arm 485 is coupled to the support 486 such that it is linearly movable along the support 486 in the third direction 16. The support table 486 is fixedly coupled to the pedestal 487. The pedestal 487 is coupled to the guide rail 483 so as to be movable along the guide rail 483.

현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. The development chambers 460 all have the same structure. However, the types of developers used in the respective developing chambers 460 may be different from each other. The development chamber 460 removes a region of the photoresist on the substrate W where light is irradiated. At this time, the area of the protective film irradiated with the light is also removed. Depending on the type of selectively used photoresist, only the areas of the photoresist and protective film that are not irradiated with light can be removed.

현상 챔버(460)는 하우징(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 하우징(461)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 하우징(461) 내에 위치되며, 기판(W)를 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 기판(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다. The development chamber 460 has a housing 461, a support plate 462, and a nozzle 463. The housing 461 has a cup shape with an open top. The support plate 462 is located in the housing 461 and supports the substrate W. [ The support plate 462 is rotatably provided. The nozzle 463 supplies the developer onto the substrate W placed on the support plate 462. The nozzle 463 has a circular tube shape and can supply developer to the center of the substrate W. [ Alternatively, the nozzle 463 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 463 may be provided with a slit. Further, the developing chamber 460 may further be provided with a nozzle 464 for supplying a cleaning liquid such as deionized water to clean the surface of the substrate W to which the developer is supplied.

현상 모듈(402)에 제공되는 베이크 유닛(500)은 전술한 베이크 유닛(500)과 대체로 동일하게 제공된다. The bake unit 500 provided in the development module 402 is provided substantially the same as the bake unit 500 described above.

상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다. As described above, in the application and development module 400, the application module 401 and the development module 402 are provided to be separated from each other. In addition, the application module 401 and the development module 402 may have the same chamber arrangement as viewed from above.

인터페이스 모듈(700)은 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 포함한다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되게 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. The interface module 700 transfers the substrate W. The interface module 700 includes a frame 710, a first buffer 720, a second buffer 730, and an interface robot 740. The first buffer 720, the second buffer 730, and the interface robot 740 are located within the frame 710. The first buffer 720 and the second buffer 730 are spaced apart from each other by a predetermined distance and are stacked on each other. The first buffer 720 is disposed higher than the second buffer 730.

인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 기판(W)을 운반한다. The interface robot 740 is spaced apart from the first buffer 720 and the second buffer 730 in the second direction 14. The interface robot 740 carries the substrate W between the first buffer 720, the second buffer 730 and the exposure apparatus 900.

제 1 버퍼(720)는 공정이 수행된 기판(W)들이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 기판(W)들이 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 기판(W)이 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 기판(W)를 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 유사한 구조를 가진다. 인터페이스 모듈에는 웨이퍼에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.The first buffer 720 temporarily stores the processed substrates W before they are transferred to the exposure apparatus 900. The second buffer 730 temporarily stores the processed substrates W in the exposure apparatus 900 before they are moved. The first buffer 720 has a housing 721 and a plurality of supports 722. The supports 722 are disposed within the housing 721 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 722. The housing 721 is movable in the direction in which the interface robot 740 is provided and in the direction in which the interface robot 740 and preprocessing robot 632 transfer the substrate W to and from the support table 722, 632 are provided with openings in the direction in which they are provided. The second buffer 730 has a structure similar to that of the first buffer 720. The interface module may be provided with only buffers and robots as described above without providing a chamber to perform a predetermined process on the wafer.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The foregoing detailed description is illustrative of the present invention. In addition, the foregoing is intended to illustrate and explain the preferred embodiments of the present invention, and the present invention may be used in various other combinations, modifications, and environments. That is, it is possible to make changes or modifications within the scope of the concept of the invention disclosed in this specification, within the scope of the disclosure, and / or within the skill and knowledge of the art. The embodiments described herein are intended to illustrate the best mode for implementing the technical idea of the present invention and various modifications required for specific applications and uses of the present invention are also possible. Accordingly, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. It is also to be understood that the appended claims are intended to cover such other embodiments.

100 : 로드 포트 200 : 인덱스 모듈
300 : 버퍼 모듈 400 : 도포 및 현상 모듈
500 : 베이크 유닛 510 : 하우징
530 : 반송 유닛 531 : 반송 플레이트
535 : 가이드 홀 537 : 구동 부재
550 : 가열 유닛 551 : 가열 플레이트
553 : 리프트 핀 555 : 커버
557 : 구동기 570 : 냉각 유닛
700 : 인터페이스 모듈 800 : 퍼지 모듈
100: Load port 200: Index module
300: buffer module 400: dispensing and developing module
500: bake unit 510: housing
530: conveying unit 531: conveying plate
535: guide hole 537: driving member
550: heating unit 551: heating plate
553: lift pin 555: cover
557: Actuator 570: Cooling unit
700: interface module 800: purge module

Claims (25)

베이크 유닛에 있어서,
하우징과;
상기 하우징 내에 위치하며 기판을 가열하는 가열 플레이트를 가지는 가열 유닛;과
상기 하우징 내에 위치하며 기판을 반송하는 반송 유닛;과 그리고
상기 가열 플레이트 또는 가열된 기판을 냉각할 수 있는 냉각 유닛;을 포함하되,
상기 반송 유닛은 기판이 놓이는 반송 플레이트를 포함하고,
상기 냉각 유닛은 상기 반송 플레이트에 제공되는 베이크 유닛.
In the bake unit,
A housing;
A heating unit located in the housing and having a heating plate for heating the substrate;
A transfer unit located in the housing and carrying a substrate;
And a cooling unit capable of cooling the heating plate or the heated substrate,
Wherein the transfer unit includes a transfer plate on which the substrate is placed,
Wherein the cooling unit is provided on the conveying plate.
제1항에 있어서,
상기 냉각 유닛은 상기 반송 플레이트의 내부에 제공된 냉각 유로를 가지는 베이크 유닛.
The method according to claim 1,
Wherein the cooling unit has a cooling flow path provided inside the conveying plate.
제2항에 있어서,
상기 하우징은,
기판이 출입하는 출입구가 형성된 제1측벽;과
상기 제1측벽의 마주보는 면에 형성된 제2측벽;을 포함하며,
상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 더 인접하게 위치하는 베이크 유닛.
3. The method of claim 2,
The housing includes:
A first sidewall having an entrance through which the substrate enters and exits;
And a second sidewall formed on a facing surface of the first sidewall,
Wherein the heating unit is located closer to the second sidewall than the first sidewall.
제3항에 있어서,
상기 가열 유닛은,
상기 가열 플레이트의 상단에 위치하며 상기 가열 플레이트를 포함하는 가열공간을 제공하는 커버;와,
상기 커버를 상하로 구동하는 구동기를 포함하는 베이크 유닛.
The method of claim 3,
The heating unit includes:
A cover disposed at an upper end of the heating plate and providing a heating space including the heating plate;
And a driver for driving the cover up and down.
제4항에 있어서,
상기 가열 유닛은,
상기 가열 플레이트에 형성된 핀 홀 내에 상하로 이동가능하게 제공되며, 상기 반송 유닛에 기판을 이송하는 리프트 핀을 더 포함하는 베이크 유닛.
5. The method of claim 4,
The heating unit includes:
And a lift pin provided in the pin hole formed in the heating plate so as to be movable up and down, for transferring the substrate to the transfer unit.
제5항에 있어서,
상기 반송 유닛은 상기 반송 플레이트를 상기 1측벽에 인접한 제1위치와, 상기 제2측벽에 근접하며 상기 가열 플레이트의 상부인 제2위치로 이동시키는 구동 부재를 더 포함하는 베이크 유닛.
6. The method of claim 5,
Wherein the conveying unit further comprises a driving member for moving the conveying plate to a first position adjacent to the one sidewall and to a second position adjacent to the second sidewall and above the heating plate.
제6항에 있어서,
상기 반송 플레이트에는 상기 제1위치에서 상기 제2위치로 이동할 때 상기 리프트 핀과 간섭 또는 충돌이 일어나지 않도록 상기 리프트 핀이 삽입되는 가이드 홀이 형성되며,
상기 가이드 홀은 상기 반송 플레이트의 외측면으로부터 그 내측으로 연장되어 제공되는 베이크 유닛.
The method according to claim 6,
A guide hole into which the lift pin is inserted is formed on the conveying plate so as to prevent interference or collision with the lift pin when the conveying plate is moved from the first position to the second position,
And the guide hole extends from an outer side surface of the conveyance plate to the inside thereof.
제1항에 있어서,
상기 베이크 유닛은 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되,
상기 제어기는 상기 가열 플레이트의 온도를 낮출 때, 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키도록 제어하는 베이크 유닛.
The method according to claim 1,
The bake unit further includes a controller for controlling the transport unit and the cooling unit,
Wherein the controller controls to cool the heating plate with the cooling unit after bringing the conveyance plate into contact with or adjacent to the heating plate when the temperature of the heating plate is lowered.
제1항에 있어서
상기 베이크 유닛은 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되,
상기 제어기는 상기 가열 플레이트에서 가열된 기판을 냉각 시
상기 가열 플레이트에서 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키도록 제어하는 베이크 유닛.
The method of claim 1, wherein
The bake unit further includes a controller for controlling the transport unit and the cooling unit,
The controller controls the temperature of the substrate
And the substrate is cooled by using the cooling unit after placing the substrate on the transfer plate on the substrate having been processed in the heating plate.
기판을 처리하는 장치에 있어서,
기판에 베이크 공정을 수행하는 베이크 유닛;과
기판에 액을 공급하여 공정을 수행하는 액처리 챔버;와 그리고
상기 베이크 유닛과 상기 액처리 챔버간에 기판을 반송하는 반송챔버;를 포함하되,
상기 베이크 유닛은,
하우징과;
상기 하우징 내에 위치하며 기판을 가열하는 가열 플레이트를 가지는 가열 유닛;과
상기 하우징 내에 위치하며 기판을 반송하는 반송 유닛;과 그리고
상기 가열 플레이트 또는 가열된 기판을 냉각할 수 있는 냉각 유닛;을 포함하되,
상기 반송 유닛은 기판이 놓이는 반송 플레이트를 포함하고,
상기 냉각 유닛은 상기 반송 플레이트에 제공되는 기판 처리 장치.
An apparatus for processing a substrate,
A bake unit for performing a bake process on the substrate;
A liquid processing chamber for supplying a liquid to the substrate to perform a process; and
And a transfer chamber for transferring the substrate between the bake unit and the liquid processing chamber,
The bake unit may include:
A housing;
A heating unit located in the housing and having a heating plate for heating the substrate;
A transfer unit located in the housing and carrying a substrate;
And a cooling unit capable of cooling the heating plate or the heated substrate,
Wherein the transfer unit includes a transfer plate on which the substrate is placed,
Wherein the cooling unit is provided on the transfer plate.
제10항에 있어서,
상기 냉각 유닛은 상기 반송 플레이트의 내부에 제공된 냉각 유로를 가지는 기판 처리 장치.
11. The method of claim 10,
Wherein the cooling unit has a cooling channel provided inside the conveying plate.
제11항에 있어서,
상기 하우징은,
기판이 출입하는 출입구가 형성된 제1측벽;과
상기 제1측벽의 마주보는 면에 형성된 제2측벽;을 포함하며,
상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 더 인접하게 위치하는 기판 처리 장치.
12. The method of claim 11,
The housing includes:
A first sidewall having an entrance through which the substrate enters and exits;
And a second sidewall formed on a facing surface of the first sidewall,
Wherein the heating unit is located closer to the second sidewall than the first sidewall.
제12항에 있어서,
상기 가열 유닛은,
상기 가열 플레이트의 상단에 위치하며 상기 가열 플레이트를 포함하는 가열공간을 제공하는 커버;와
상기 커버를 상하로 구동하는 구동기를 포함하는 기판 처리 장치.
13. The method of claim 12,
The heating unit includes:
A cover positioned at the top of the heating plate and providing a heating space including the heating plate;
And a driver for driving the cover up and down.
제13항에 있어서,
상기 가열 유닛은,
상기 가열 플레이트에 형성된 핀 홀 내에 상하로 이동가능하게 제공되며, 상기 반송 유닛에 기판을 이송하는 리프트 핀을 더 포함하는 기판 처리 장치.
14. The method of claim 13,
The heating unit includes:
Further comprising a lift pin provided in the pin hole formed in the heating plate so as to be movable up and down, for transferring the substrate to the transfer unit.
제14항에 있어서,
상기 반송 유닛은 상기 반송 플레이트를 상기 1측벽에 인접한 제1위치와, 상기 제2측벽에 근접하며 상기 가열 플레이트의 상부인 제2위치로 이동시키는 구동 부재를 더 포함하는 기판 처리 장치.
15. The method of claim 14,
Wherein the transfer unit further comprises a driving member for moving the transfer plate to a first position adjacent to the one sidewall and to a second position adjacent to the second sidewall and above the heating plate.
제15항에 있어서,
상기 반송 플레이트에는 상기 제1위치에서 상기 제2위치로 이동할 때 상기 리프트 핀과 간섭 또는 충돌이 일어나지 않도록 상기 리프트 핀이 삽입되는 가이드 홀이 형성되며,
상기 가이드 홀은 상기 반송 플레이트의 외측면으로부터 그 내측으로 연장되어 제공되는 기판 처리 장치.
16. The method of claim 15,
A guide hole into which the lift pin is inserted is formed on the conveying plate so as to prevent interference or collision with the lift pin when the conveying plate is moved from the first position to the second position,
And the guide hole is provided extending from the outer side surface of the conveyance plate to the inside thereof.
제10항에 있어서,
상기 기판 처리 장치는 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되,
상기 제어기는 상기 가열 플레이트의 온도를 낮출 때, 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키도록 제어하는 기판 처리 장치.
11. The method of claim 10,
The substrate processing apparatus further includes a controller for controlling the transport unit and the cooling unit,
Wherein the controller controls the cooling plate to cool the heating plate by bringing the conveyance plate into contact with or adjacent to the heating plate when the temperature of the heating plate is lowered.
제10항에 있어서
상기 기판 처리 장치는 상기 반송 유닛 및 상기 냉각 유닛을 제어하는 제어기를 더 포함하되,
상기 제어기는 상기 가열 플레이트에서 가열된 기판을 냉각 시
상기 가열 플레이트에서 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키도록 제어하는 기판 처리 장치.
The method of claim 10, wherein
The substrate processing apparatus further includes a controller for controlling the transport unit and the cooling unit,
The controller controls the temperature of the substrate
And controls the substrate on which the processing is completed in the heating plate to cool the substrate by using the cooling unit after positioning the substrate on the transfer plate.
기판을 처리하는 방법에 있어서,
제1그룹에 속하는 기판을 가열 플레이트를 이용해 제1온도로 가열하는 제1 기판 가열 단계;와
상기 가열 플레이트로 기판을 반송하는 반송 플레이트에 제공된 냉각 유닛을 이용해 상기 가열 플레이트를 냉각하는 플레이트 냉각 단계;와
제2그룹에 속하는 기판을 상기 가열 플레이트를 이용해 상기 제1온도보다 낮은 제2온도로 가열하는 제2 기판 가열 단계;를 포함하는 기판 처리 방법.
A method of processing a substrate,
A first substrate heating step of heating the substrate belonging to the first group to a first temperature using a heating plate;
A plate cooling step of cooling the heating plate using a cooling unit provided on a conveyance plate that conveys the substrate to the heating plate;
And a second substrate heating step of heating the substrate belonging to the second group to a second temperature lower than the first temperature by using the heating plate.
제19항에 있어서,
상기 플레이트 냉각 단계는,
상기 가열 플레이트의 온도를 상기 제2온도로 낮출 때, 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키는 것을 포함하는 기판 처리 방법.
20. The method of claim 19,
Wherein the plate cooling step comprises:
And cooling the heating plate with the cooling unit after bringing the transfer plate into contact with or adjacent to the heating plate when the temperature of the heating plate is lowered to the second temperature.
제19항에 있어서,
상기 플레이트 냉각 단계는,
상기 반송 플레이트에서 기판이 제거된 상태에서 상기 반송 플레이트를 상기 가열 플레이트에 접촉 또는 인접하게 위치시킨 후 상기 냉각 유닛으로 상기 가열 플레이트를 냉각시키는 것을 포함하는 기판 처리 방법.
20. The method of claim 19,
Wherein the plate cooling step comprises:
And cooling the heating plate with the cooling unit after bringing the conveyance plate into contact with or adjacent to the heating plate in a state where the substrate is removed from the conveyance plate.
제20항에 있어서,
상기 플레이트 냉각 단계는 상기 냉각 유닛으로 상기 가열 플레이트를 냉각하면서 동시에 상기 가열 플레이트의 저면에 공급되는 냉각 가스로 상기 가열 플레이트를 냉각시키는 것을 포함하는 기판 처리 방법.
21. The method of claim 20,
Wherein the plate cooling step includes cooling the heating plate with the cooling unit while simultaneously cooling the heating plate with cooling gas supplied to the bottom surface of the heating plate.
제20항에 있어서,
상기 제1 기판 가열 단계와 상기 플레이트 냉각 단계의 사이에서,
상기 가열 플레이트에서 상기 제1온도로 기판을 가열한 후 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키는 제1기판 냉각 단계를 더 포함하는 기판 처리 방법.
21. The method of claim 20,
Between the first substrate heating step and the plate cooling step,
Further comprising a first substrate cooling step of heating the substrate to the first temperature in the heating plate and then cooling the substrate using the cooling unit after placing the substrate on the transfer plate on the processed substrate, Way.
제19항 내지 제23항에 있어서,
상기 제2 기판 가열 단계 이후에,
상기 가열 플레이트에서 상기 제2온도로 기판을 가열한 후 처리가 완료된 기판을 상기 반송 플레이트 상에 기판을 위치시킨 후 상기 냉각 유닛을 이용해 상기 기판을 냉각시키는 제2기판 냉각 단계를 더 포함하는 기판 처리 방법.
24. The method according to any one of claims 19 to 23,
After the second substrate heating step,
Further comprising a second substrate cooling step of heating the substrate to the second temperature in the heating plate and then cooling the substrate using the cooling unit after positioning the substrate on the transfer plate, Way.
제19항 내지 제23항에 있어서,
상기 냉각 유닛은 상기 반송 플레이트의 내부에 냉각 유로를 제공하는 기판 처리 방법.
24. The method according to any one of claims 19 to 23,
Wherein the cooling unit provides a cooling channel inside the conveying plate.
KR1020140098533A 2014-07-31 2014-07-31 Bake unit, substrate treating apparatus including the unit, and substrate treating method KR20160017699A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020140098533A KR20160017699A (en) 2014-07-31 2014-07-31 Bake unit, substrate treating apparatus including the unit, and substrate treating method
US14/805,743 US20160035601A1 (en) 2014-07-31 2015-07-22 Bake unit, substrate treating apparatus including the unit, and substrate treating method
CN201510454490.7A CN105321853A (en) 2014-07-31 2015-07-29 Bake unit, substrate treating apparatus including the unit, and substrate treating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140098533A KR20160017699A (en) 2014-07-31 2014-07-31 Bake unit, substrate treating apparatus including the unit, and substrate treating method

Publications (1)

Publication Number Publication Date
KR20160017699A true KR20160017699A (en) 2016-02-17

Family

ID=55180788

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140098533A KR20160017699A (en) 2014-07-31 2014-07-31 Bake unit, substrate treating apparatus including the unit, and substrate treating method

Country Status (3)

Country Link
US (1) US20160035601A1 (en)
KR (1) KR20160017699A (en)
CN (1) CN105321853A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190081414A (en) 2017-12-29 2019-07-09 세메스 주식회사 substrate processing apparatus
KR20200043964A (en) 2020-04-20 2020-04-28 세메스 주식회사 substrate processing apparatus
KR20200062152A (en) * 2020-05-27 2020-06-03 세메스 주식회사 Hot plate and apparatus for heat-treating substrate with the hot plate, and fabricating method of the hot plate
KR20220044052A (en) * 2020-09-29 2022-04-06 세메스 주식회사 Cooling unit, substrate treating apparatus including the same, and substrate treating method using the same
US11735443B2 (en) 2018-08-21 2023-08-22 Semes Co., Ltd. Hot plate, substrate heat-treating apparatus including the hot plate, and method of fabricating the hot plate

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
CN105977189A (en) * 2016-06-20 2016-09-28 刘玉忠 Diode chip baking device
CN105977195B (en) * 2016-06-30 2018-12-18 上海华力微电子有限公司 A kind of method of quick reduction hot plate temperature
KR102282145B1 (en) * 2019-11-04 2021-07-29 세메스 주식회사 Apparatus and Method for treating substrate
KR102467529B1 (en) * 2019-11-07 2022-11-16 세메스 주식회사 Transfering unit, substrate treating apparatus including the unit and substrate treating method
KR102583261B1 (en) * 2020-10-28 2023-09-27 세메스 주식회사 Apparatus and method for treating substrates
KR20220158515A (en) * 2021-05-24 2022-12-01 에이디알씨 주식회사 Spray coater and thin film transistor fabricated using the same
US20230161260A1 (en) * 2021-11-24 2023-05-25 Applied Materials, Inc. Chamber and methods of cooling a substrate after baking

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6474986B2 (en) * 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
JP4271095B2 (en) * 2004-07-15 2009-06-03 東京エレクトロン株式会社 Substrate heating apparatus and substrate heating method
US7445446B2 (en) * 2006-09-29 2008-11-04 Tokyo Electron Limited Method for in-line monitoring and controlling in heat-treating of resist coated wafers
JP5179170B2 (en) * 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
JP2010045190A (en) * 2008-08-12 2010-02-25 Tokyo Electron Ltd Heating system, applicator, developer, method of application, method of development, and storage medium
JP4751460B2 (en) * 2009-02-18 2011-08-17 東京エレクトロン株式会社 Substrate transfer apparatus and substrate processing system
JP5293718B2 (en) * 2010-10-01 2013-09-18 東京エレクトロン株式会社 Heat treatment apparatus, heat treatment method and storage medium
JP2013062361A (en) * 2011-09-13 2013-04-04 Tokyo Electron Ltd Heat treatment apparatus, temperature control system, heat treatment method, temperature control method, and record medium recording program for executing heat treatment method or temperature control method
US9079304B2 (en) * 2012-10-31 2015-07-14 Semes Co., Ltd. Transfer unit, method for controlling the transfer unit, and apparatus and method for treating substrate using the transfer unit
JP6084479B2 (en) * 2013-02-18 2017-02-22 株式会社Screenホールディングス Heat treatment method, heat treatment apparatus and susceptor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190081414A (en) 2017-12-29 2019-07-09 세메스 주식회사 substrate processing apparatus
US11735443B2 (en) 2018-08-21 2023-08-22 Semes Co., Ltd. Hot plate, substrate heat-treating apparatus including the hot plate, and method of fabricating the hot plate
KR20200043964A (en) 2020-04-20 2020-04-28 세메스 주식회사 substrate processing apparatus
KR20200062152A (en) * 2020-05-27 2020-06-03 세메스 주식회사 Hot plate and apparatus for heat-treating substrate with the hot plate, and fabricating method of the hot plate
KR20220044052A (en) * 2020-09-29 2022-04-06 세메스 주식회사 Cooling unit, substrate treating apparatus including the same, and substrate treating method using the same

Also Published As

Publication number Publication date
CN105321853A (en) 2016-02-10
US20160035601A1 (en) 2016-02-04

Similar Documents

Publication Publication Date Title
KR20160017699A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR101605721B1 (en) Bake apparatus and Apparatus for treating substrate
KR102516725B1 (en) bake apparatus a having the unit and method processing substrate by using thereof
KR102315662B1 (en) Substrate treating apparatus and method
KR102324405B1 (en) Apparatus and Method for treating substrate
KR102000023B1 (en) Substrate treating apparatus
KR20170052333A (en) Cooling unit, Apparatus for treating substrate, and method for treating substrate
KR101681185B1 (en) Interface module, apparatus and method for treating substrate comprising the same
KR101776018B1 (en) Method for heating a substrate and Apparatus for treating a substrate
KR20180124204A (en) Member for suppliyng a substrate, Buffer unit, and Apparatus for treating a substrate
KR101914482B1 (en) Substrate treating apparatus and substrate treating method
KR20170056224A (en) Bake apparatus and bake method
KR20160081010A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR20220034304A (en) Bake unit and Apparatus for treating substrate
KR101842121B1 (en) Apparatus for treating substrate and method for controlling driving speed thereof
KR102582058B1 (en) Substrate processing equipment and substrate transfer method
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
KR20160134926A (en) Method for applying a liquid and apparatus for treating a substrate
KR101721148B1 (en) Nozzle, Apparatus for treating substrate and method for applying chemicals
KR20160017776A (en) Apparatus treating a subtrate
KR102223764B1 (en) Apparatus and Method for treating substrate
KR101935944B1 (en) Apparatus for treating substrate
KR101495284B1 (en) Transfer unit, method for controlling the unit, and apparatus and method for trating substrate with using the unit
KR20170071807A (en) Falility for treating substrates, method for combining and separating modules
KR20160054143A (en) Apparatus for treating substrate and method for removing static electricity

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application