KR102386744B1 - 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법 - Google Patents

작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법 Download PDF

Info

Publication number
KR102386744B1
KR102386744B1 KR1020220000825A KR20220000825A KR102386744B1 KR 102386744 B1 KR102386744 B1 KR 102386744B1 KR 1020220000825 A KR1020220000825 A KR 1020220000825A KR 20220000825 A KR20220000825 A KR 20220000825A KR 102386744 B1 KR102386744 B1 KR 102386744B1
Authority
KR
South Korea
Prior art keywords
tungsten
substrate
chamber
cvd
feature
Prior art date
Application number
KR1020220000825A
Other languages
English (en)
Other versions
KR20220005100A (ko
Inventor
첸 펭
쑹한 양
주웬 가오
마이클 다넥
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20220005100A publication Critical patent/KR20220005100A/ko
Application granted granted Critical
Publication of KR102386744B1 publication Critical patent/KR102386744B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

핵생성 층의 이용 없이 양호한 충진을 가지는 저-저항 텅스텐 층을 구비하는 피쳐를 충진하는 방법이 제공된다. 특정 실시예에서, 상기 방법은 높은 수소 분압 하에서 텅스텐을 화학 기상 증착하기 이전의 선택적인 처리 프로세스를 포함한다. 다양한 실시예에 따르면, 처리 프로세스는 침지 단계 또는 플라즈마 처리 단계를 포함할 수 있다. 종래의 텅스텐 핵생성 층을 제거함으로써, 개선된 텅스텐 기술로 산출된 텅스텐 층은 감소된 전체 컨택 저항을 가진다.

Description

작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법 {METHODS OF IMPROVING TUNGSTEN CONTACT RESISTANCE IN SMALL CRITICAL DIMENSION FEATURES}
화학 기상 증착 (CVD) 기술을 이용하여 텅스텐 필름을 증착하는 것은 수많은 반도체 제조 프로세스에서 필수적인 부분이다. 텅스텐 필름은 인접한 금속 층들 사이에 수평 상호 연결부 (interconnect), 비아 (vias) 의 형태로 낮은 저항의 전기 연결부를 형성하는데, 그리고 제 1 금속 층과 기판 상의 디바이스 사이에 컨택 (contacts) 을 형성하는데 이용될 수 있다. 반도체 웨이퍼 상에 텅스텐을 증착하는 종래의 프로세스에서, 웨이퍼가 진공 챔버 내에서 프로세스 온도로 가열되고, 이후에 시드 (seed) 또는 핵생성 (nucleation) 층으로 기능하는, 텅스텐 필름의 초박형 (very thin) 부분이 증착된다. 그 이후에, 텅스텐 필름의 나머지 부분 (벌크 층) 이 핵생성 층 상에 증착된다. 벌크 층은 일반적으로 핵생성 층에 비해 매우 빠르게 증착되나, 먼저 핵생성 층을 형성하지 않고는 쉽게 그리고 신뢰성 있게 산출될 수 없다.
박형 (thin) 텅스텐 전기 연결부가 매우 저저항 (low resistance) 을 가질수록, 보다 작은 임계 치수의 디바이스가 실현될 것이다. CVD와 다른 방법들이 핵생성 층을 증착할 수 있다고 하더라도, 작은 임계 치수의 피쳐 내에 저저항의 텅스텐을 증착하기 위해 핵생성 층을 제공하는 위와 같은 방법들의 역량은 제한되어 있다. 종래의 CVD 텅스텐 증착 기술을 이용하여, 능동적인 (aggressive) 피쳐 내에 보이드 프리 (void-free) 충진 (fill) 은 쉽지 아니하다.
양호한 피쳐 충진 및 균일성을 가지는 보이드-프리 텅스텐 층을 산출하기 위해 작은 임계 치수의 피쳐에 있어서, 피쳐를 충진하는 신규한 방법이 제공된다. 상기 방법은 핵생성 층 없이 피쳐에 벌크 텅스텐 층을 직접 증착시키는 단계를 포함한다. 다양한 실시예에 따르면, 상기 방법은, 벌크 층을 증착하기 이전에 피쳐 표면을 처리하는 단계, 및 벌크 층의 화학 기상 증착 (CVD) 동안 높은 분압의 수소 (H2) 또는 다른 환원제를 이용하는 단계 중 하나 또는 그 이상을 포함할 수 있다.
일 예에서, 기판 상의 리세스된 피쳐 (recessed feature) 를 충진하는 방법이 제공된다. 상기 방법은, 피쳐 내에 임의의 텅스텐을 증착하기 이전에, 충진되지 않은 피쳐의 표면을 처리하는 (treating) 단계, 및 CVD 프로세스에서 상기 피쳐를 텅스텐 필름으로 충진하는 단계를 포함한다. CVD 프로세스는 약 40 Torr 이상의 수소 분압에서 상기 처리된 표면을 텅스텐-함유 전구체 및 수소에 직접 노출시키는 단계를 포함한다.
이러한 프로세스를 이용하여, 피쳐에 있어서 고품질의 충진 및 균일성이 핵생성 층 없이 달성되며, 피쳐는 핵생성 층을 포함하는 피쳐보다 더 낮은 전체 저항을 가진다. 일부 실시예에서, 텅스텐 필름 증착 이전에 피쳐의 폭은 약 50 nm 미만 또는 약 30 nm 미만이다. 특정 실시예에서, 피쳐 표면은 텅스텐 질화물 또는 티타늄 질화물 같은 라이너 (liner) 층 또는 배리어 층을 포함한다. 다양한 실시예에 따르면, CVD 프로세스 동안 수소 분압은 약 60 Torr 이상, 또는 약 80 Torr 이상이다.
일부 실시예에서, 피쳐의 표면을 처리하는 단계는 환원제에 기판을 침지시키는 (soaking) 단계를 포함한다. 환원제의 예는 보란 (borane), 실란 (silane) 및 게르만 (germane) 을 포함한다. 일부 실시예에서, 약 2 내지 10초 동안 침지시킴으로써 표면이 처리된다. 침지 동작은 비-플라즈마 (non-plasma) 열적 동작일 수 있다.
일부 실시예에서, 피쳐의 표면을 처리하는 단계는 불활성 가스-함유 플라즈마에 표면을 노출시키는 단계를 포함한다. 일부 실시예에서, 불활성 가스-함유 플라즈마는 아르곤 및 수소 종들 (species) 을 포함한다. 또한, 일부 실시예에서, 피쳐의 표면을 처리하는 단계와 CVD 프로세스에서 피쳐를 텅스텐 필름으로 충진하는 단계 사이에 기판은 진공 하에서 유지된다.
또 다른 예는, 피쳐 내에 임의의 텅스텐을 증착하기 이전에, 피쳐의 표면을 불활성 가스-함유 플라즈마에 노출시키는 단계; 및 CVD 챔버 내에서 텅스텐-함유 전구체 및 환원제에 처리된 표면을 직접 노출시킴으로써, CVD 프로세스에서 상기 피쳐를 텅스텐으로 충진하는 단계를 포함하는, 기판 상의 리세스된 피쳐를 충진하는 방법과 관련된 것이다. 다양한 실시예에서 피쳐 표면은 텅스텐 질화물 배리어 층 또는 티타늄 질화물 배리어 층 같은 라이너 층 또는 배리어 층을 포함한다.
플라즈마 처리와 CVD 프로세스 사이에 기판은 진공 하에서 유지될 수 있다. 일부 실시예에 따르면, CVD 챔버와 분리된 처리 챔버 내에서 피쳐의 표면을 불활성 가스-함유 플라즈마에 노출시킴으로써, 상기 방법이 실시된다.
또한, 다양한 실시예에 따르면, 플라즈마 처리 이전에 라이너 층 증착 챔버 내에서 피쳐 내에 라이너 층이 증착될 수 있으며, 여기서 기판이 CVD 챔버로 이송되기 이전에, 플라즈마 처리가 동일한 라이너 층 증착 챔버에서 이루어질 수 있다. 일부 실시예에서, 라이너 층은 텅스텐 질화물 라이너 층 또는 티타늄 질화물 라이너 층이다. 라이너 층은 예를 들어 플라즈마-강화 원자층 증착 (ALD) 프로세스에 의해 증착될 수 있다.
또 다른 예는, CVD 프로세스에서 텅스텐-함유 전구체 및 수소에 기판을 노출시킴으로써 피쳐를 텅스텐 필름으로 충진시키는 단계를 포함하는 기판 상의 리세스된 피쳐를 충진하는 방법과 관련된 것이다. CVD 프로세스 동안 H2 분압은 약 40 Torr 이상, 또는 60 Torr 이상, 또는 70 Torr 이상일 수 있다.
또 다른 예는, 기판 상의 리세스된 피쳐를 충진하는 장치와 관련된 것이다. 일부 실시예에서, 상기 장치는 예비처리 챔버, CVD 챔버를 포함하는 멀티-챔버 장치로서, CVD 챔버는 기판 지지부 및 기판을 가스에 노출시키도록 구성된 하나 또는 그 이상의 가스 유입구를 포함하는, 멀티-챔버 장치와, 장치 내의 동작을 제어하는 제어부를 포함하며, 상기 제어부는 예비처리 챔버 내에서 기판을 처리하는 단계; 예비처리 챔버로부터 CVD 챔버로 기판을 이송시키는 단계; 및 약 40 Torr 이상의 수소 분압을 유지하면서, CVD 프로세스에 의해 텅스텐-함유 전구체에 CVD 챔버 내의 기판을 노출시킴으로써, 피쳐를 텅스텐으로 충진하는 단계를 위한 기계 판독가능한 명령어들을 포함한다. 일부 실시예에서, 제어부는 CVD 프로세스 동안 기판 온도를 약 200 ℃ 내지 450 ℃ 사이로 유지하는 단계를 위한 명령어를 더 포함한다.
일부 실시예에서, 예비처리 챔버는 기판 지지부 및 하나 또는 그 이상의 가스 유입구를 포함하며, 제어부는 예비처리 챔버에 환원제를 유입시키기 위한 명령어를 더 포함한다. 예시적인 환원제 노출 시간은 약 2 내지 10초이다. 다양한 실시예에서, 예비처리 챔버는 기판 지지부 및 하나 또는 그 이상의 가스 유입구를 포함하며, 플라즈마 생성기도 포함하고, 제어부는 예비처리 챔버 내에서 불활성 가스-함유 플라즈마를 생성하기 위한 명령어를 더 포함한다.
이러한 그리고 다른 예들이 도면을 참고하여 아래에서 더 설명될 것이다.
도 1a는 본 발명의 다양한 실시예에 따라, 작은 그리고 큰 임계 치수의 피쳐 내에의 텅스텐 증착에 관한 단순화된 단면도이다.
도 1b는 다양한 피쳐 크기에 대한 핵생성 층 두께의 함수로서, 핵생성 층에 의해 차지되는 피쳐의 핵생성 부피 비율을 도시하는 플롯 (plot) 이다.
도 2는 본 발명의 다양한 실시예에 따라, 피쳐 내에 텅스텐을 증착하는 방법의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 3은 본 발명의 다양한 실시예에 따라, 피쳐 표면을 처리하는 방법의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 4는 다양화된 수소 분압에서 텅스텐으로 충진된 피쳐의 이미지를 도시하고 있다.
도 5 및 도 6은 본 발명의 다양한 실시예에 따른 방법의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 7은 본 발명의 다양한 실시예에 따른 텅스텐 증착 프로세스를 수행하기에 적합한 프로세싱 시스템에 관한 개략적인 도면이다.
도 8은 본 발명의 다양한 실시예를 실행하기에 적합한 증착 및/또는 처리 챔버 혹은 스테이션에 관한 개략적인 도면이다.
도 9는 본 발명의 다양한 실시예를 실행하기에 적합한 증착 및/또는 처리 챔버에 관한 개략적인 도면이다.
이하의 설명에서, 본 발명의 실시예들에 대한 충분한 이해를 제공하기 위해 수많은 구체적인 설명이 이루어질 것이다. 개시된 실시예들은 이러한 구체적인 설명의 일부 또는 전부 없이도 실행될 수 있다. 다른 예들에서, 본 발명을 불필요하게 모호하게 만들지 않기 위해서 익히 공지된 프로세스 동작은 상세하게 개시되지 않았다. 개시된 실시예들이 구체적인 실시예들로서 개시될 것이나, 본 발명이 개시된 실시예들로 제한되지 아니함은 물론이다.
도 입
로직 (logic), 메모리 및 다른 디바이스의 임계 치수가 줄어들 (shrinks) 수록, 초저저항을 가지는 박형 텅스텐 전기 연결부는 점점 더 중요하게 될 것이다. 메모리 및 로직 디바이스 모두에서, 32 nm 이하의 피쳐 내의 보이드-프리의, 저저항의 텅스텐은 비아 또는 컨택 성능과 신뢰성을 유지하기 위해 중요하다.
종래의 텅스텐 증착 기술은 일반적으로 벌크 텅스텐 층이 증착되기 이전에 기판의 피쳐 내에 텅스텐 핵생성 층을 증착하는 단계를 포함한다. 핵생성 층은 종종 불순물, 이를 테면 보론 또는 실리콘을 함유하고 있다. 또한, 텅스텐 핵생성 층은 일반적으로 100 μΩ-cm 이상인, 고저항을 가진다. 그러나, 종래의 기술에서, 핵생성 층 없이 텅스텐 벌크 층을 증착하는 것은, 상당히 긴 핵생성 지연 (nucleation delay), 열악한 균일성, 그리고 열악한 피쳐 충진을 유발한다. 다양한 라이너 층들 없이 또는 이들과 함께 웨이퍼 내에 열악한 증착이 일어난다. 핵생성 지연은, 텅스텐 증착 프로세스가 시작되는 시기와 필름이 나타나기 (appear) 시작하는 시기 사이의 시간으로 정의될 수 있다. 종래의 기술에서, 더 양호한 플러그 충진을 달성하기 위해 더 두꺼운 핵생성 층이 형성될 수 있으나, 이는 더 높은 전체 저항을 유발할 수 있다. 그러나, 피쳐 내의 고저항의 텅스텐의 양을 감소시키는, 더 얇은 핵생성 층을 증착하는 것은 핵생성 지연에 기인한 열악한 균일성과 피쳐 충진을 유발한다.
좁은 폭의 및/또는 낮은 임계 치수의 피쳐를 위해, 박형 핵생성 층을 얻는 것은 상당히 중요하다. 도 1a는 상대적으로 작은 임계 치수의 피쳐 (103) 와 상대적으로 큰 임계 치수의 피쳐 (101) 를 대비하여 도시하고 있다. (이러한 피쳐들이 축척 그대로 도시된 것은 아니나, 큰 그리고 작은 임계 치수의 피쳐 내의 핵생성층들 사이의 질적인 차이를 도시하고 있다.) 여기서, 핵생성 층의 두께 t 는 양 피쳐들 (101 및 103) 에 대해 동일하나, 피쳐 (103) 의 폭 (W2) 은 피쳐 (101) 의 폭 (W1) 보다 훨씬 좁으며, 핵생성 층이 피쳐 (103) 의 총 부피의 상당히 높은 비율을 차지하고 있다. 그 결과, 핵생성 층은 피쳐의 전체 저항에 매우 높은 상대적 기여를 하게 된다.
도 1b는 23 nm, 32 nm, 45 nm, 57 nm, 65 nm, 및 90 nm의 피쳐 폭에 대한 핵생성 두께의 함수로서, 핵생성 층에 의해 차지되는 핵성생 부피의 비율을 도시한다. 그래프에 도시된 바와 같이, 더 큰 폭의 피쳐에서보다 더 작은 폭의 피쳐에서, 핵생성 층이 실질적으로 높은 부피 비율의 핵생성을 차지한다. 더 큰 피쳐에서보다 더 두꺼운 핵생성 층을 갖는 더 작은 피쳐에서, 핵생성 층의 부피 비율이 더 높다. 따라서, 작은 피쳐 (예를 들어, 400 Å의 개구를 가지는 피쳐) 에 대해 (예를 들어, 50 Å (옴스트롱) 의 필름에서 30 Å 미만의 필름으로) 핵생성 층의 두께를 감소시키는 것, 또는 핵생성 층을 완전하게 제거하여 전체 스택 저항을 감소시키는 것이 중요하게 된다. 그러나, 표준 그리고 저저항 텅스텐 프로세스를 위한 종래의 증착 방법은 핵생성 층 두께를 30 Å 근처로 하여 양호한 스텝 커버리지와 플러그 충진을 획득하는데 제한이 있었다. 또한, 종래의 프로세스를 이용하여 핵생성 층을 감소시키는 것은 스텝 커버리지의 저하를 유발한다.
프로세스
다양한 실시예에 따른, 우수한 플러그 충진, 양호한 균일성, 그리고 감소된, 적은 또는 무(無) 핵생성 지연을 유지하면서도, 핵생성 층의 이용을 함께 제거하는 텅스텐 충진 방법이 본 명세서에서 제공된다. 도 1a의 피쳐 (105) 는, 양호한 플러그 충진 및 양호한 균일성을 가지도록, 핵생성 층이 없게끔 하면서, 피쳐가 벌크 텅스텐 필름만으로 충진되는 일 실시예에 의한, 산출된 피쳐의 예를 도시한다. 이러한 필름은 핵생성 층이 없기 때문에 앞서 달성될 수 있었던 것보다 훨씬 더 낮은 전체 저항을 갖는다. 텅스텐 층의 총 저항을 설명하는 단순화된 수식이 아래에 나타나 있다.
Rtotal = Rbulk + Rnucleation = ρbulk (Lbulk/A) + ρnucleation (Lnucleation/A)
여기서, Rtotal은 총 전체 저항, ρ는 물질의 저항률, L은 현재 유동 방향으로의 층의 길이, A는 현재 유동에 수직인 단면 영역을 나타낸다. (위의 수식에서, 총 저항에 대한 특정한 기여는 무시된다.) ρnucleation > ρbulk 이기 때문에, 피쳐 (105) 는 핵생성 층을 가지는 동일한 크기의 피쳐보다 더 낮은 Rtotal를 가진다. 피쳐 크기가 감소될수록 저항을 낮추는 이점이 더 부각되기는 하지만, 본 명세서에 설명된 방법은 작은 그리고 큰 임계 치수의 피쳐 모두 내에 텅스텐을 증착하는데 이용될 수 있다. 또한, 본 명세서에 설명된 방법은 블랭킷 (blanket) 또는 평면 웨이퍼 상에 텅스텐을 증착하는데 이용될 수도 있다.
도 2는 특정 실시예에 따른 일 프로세스 흐름을 설명하는 프로세스 흐름도이다. 먼저, 기판에 대한 선택적인 처리 프로세스 (201) 가 이루어지는데, 이의 특정 실시예들은 도 3 내지 도 5를 참고하여 아래에서 더 논의될 것이다. 처리 프로세스의 예들은 환원제 내에서의 열적 침지 (thermal soaks) 및 플라즈마 처리를 포함한다. 블록 (203) 내의 선택적 처리 프로세스가 수행된다면, 핵생성 지연이 감소되어 후속 화학 기상 증착 (CVD) 동작에서 양호한 충진이 촉진될 것이다.
많은 실시예들에서, 기판은 부분 제조된 전자 디바이스 (이를 테면, 부분 제조된 집적 회로) 이다. 구체적인 응용 분야는 아래에 설명된다. 기판은 일반적으로, 작은 임계 치수 또는 큰 임계 치수를 가질 수 있는 피쳐를 가지고 있다. 작은 임계 치수 피쳐 폭의 예들은 32 nm, 22 nm 또는 22 nm 미만이다. 큰 임계 치수의 피쳐 폭의 예들은 45 nm, 57 nm, 65 nm, 90 nm 또는 90 nm 이상이다. 작은 또는 큰 임계 치수 중 하나를 가지는 피쳐들은 본 발명의 프로세스로부터 이점을 얻을 것이다. 몇몇 실시예들은 작은 임계 치수의 피쳐로 제한되지 아니하며, 본 명세서에서 설명되는 방법은, 종래의 기술 노드 (node) 로 피쳐를 충진하는데 이용되는 종래의 프로세스에서 적절한 충진을 제공하지 않았던, 작은 임계 치수의 피쳐에서 양호한 보이드-프리 충진, 양호한 균일성 및 플러그 충진을 달성하는 이점이 있다.
다음으로, 프로세스 블록 (203) 에서 가리키는 바와 같이, 텅스텐 층이 높은 H2 분압 하에서 CVD 프로세스를 이용하여 피쳐에 증착된다. 이러한 동작에서, 텅스텐-함유 전구체 및 H2가 챔버 내로 유입되어, 높은 H2 분압 하에서 피쳐 내에 텅스텐 충진을 증착한다. 다양한 실시예들에 따르면, H2 분압은 약 40 Torr 이상, 약 50 Torr 이상, 약 60 Torr 이상, 약 70 Torr 이상, 또는 약 80 Torr 이상일 수 있다. 일부 실시예들에서, 불활성 가스가 CVD 프로세스 동안 캐리어 가스로 이용될 수도 있다. 이러한 불활성 가스의 예들은 아르곤과 헬륨을 포함한다.
CVD 프로세스는 저저항의 필름을 급속하게 산출한다. 반응 물질의 펄스를 교번적으로 도입함으로써, 핵생성 층을 증착하는데 종래에 이용되었던 펄스 핵생성 층 (PNL) 또는 원자층 증착 (ALD) 프로세스와는 달리, CVD 기술에서는, 텅스텐-함유 전구체 및 H2, 또는 다른 반응 물질이 동시에 반응 챔버 내로 도입된다. 임의의 적절한 CVD 프로세스는 하나 또는 그 이상의 임의의 적절한 전구체와 함께 이용될 수 있다. 특정 실시예들에서, 텅스텐-함유 전구체는 할로겐 함유 화합물, 이를 테면 텅스텐 헥사플루오라이드 (tungsten hexafluoride; WF6) 이다. 다른 적절한 전구체는 텅스텐 헥사플루오라이드 (tungsten hexafluoride; WCl6), 텅스텐 헥사카르보닐 (tungsten hexacarbonyl; W(CO)6) 과 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 같은 유기 텅스텐 전구체를 포함한다.
또한, PNL 또는 ALD 프로세스와는 달리, CVD 프로세스는 일반적으로 목표된 양이 증착될 때까지 반응 물질을 연속적으로 유동시키는 단계를 포함한다. 특정한 실시예에서, CVD 동작은, 하나 또는 그 이상의 반응 물질이 전환되어 (diverted) 유동하는 기간과 분리된, 반응 물질이 연속 그리고 동시 유동하는 다수의 기간을 갖는, 다수의 단계로 일어날 수 있다.
텅스텐은 임의의 두께로 증착될 수 있다. 집적 회로에서의 응용하기 위한 텅스텐 상호 연결부는 약 20 내지 1000 옴스트롱의 총 두께를 가질 수 있다. 일반적인 비트 라인에서, 총 텅스텐 필름 두께는 일반적으로 약 600 옴스트롱을 넘지 않는다. 벌크 텅스텐의 이론적인 필름 저항은 약 5.3 μΩ-cm 일 수 있으며, 600 Å 필름의 일반적인 필름 저항은 약 15 내지 약 20 μΩ-cm 이다. 텅스텐 필름이 충분한 두께로 증착된 이후에, 도 2의 프로세스 흐름이 종료된다.
본 명세서에 설명된 방법을 이용하면, 텅스텐 피쳐 내에 양호한 플러그 충진을 제공하기 위해 핵생성 층이 더 이상 요구되지 않는다. 앞서 설명한 바와 같이, 텅스텐 피쳐에 대해 우수한 스텝 커버리지를 가지는 보이드-프리 충진은 핵생성 층을 증착하는 일 없이는 앞서서 획득될 수 없었다. 양호한 충진 및 균일성으로 피쳐 내에 텅스텐을 증착하는데 고저항의 핵생성 층이 필요하지 않기 때문에, 전체 컨택 저항은 개선된다.
높은 H2 분압 하에서 피쳐 내에 텅스텐을 증착시키는 효과를 알아내기 위해, 일련의 실험이 수행되었다. 피쳐 표면은 유기-금속 텅스텐 질화물 (MO-WN) 층이었다. 플라즈마 강화 ALD 프로세스에서 유기-텅스텐 전구체를 이용하여 MO-WN 층이 증착되었다. CVD 프로세스를 이용하여 텅스텐으로 피쳐를 충진하기 이전에, 추가적인 처리 프로세스는 이루어지지 않았다. 단면이 (즉, 피쳐 넓이가) 대략 40 nm인 개구를 가지는 피쳐를 구비한 기판이 이용되었다. 약 300℃의 기판 온도에서 피쳐가 텅스텐으로 충진되었다. 16 Torr, 38 Torr, 63 Torr, 및 75 Torr의 H2 분압 하에서, 기판이 평가되었다. 각 평가 내의 총 압력은 80 Torr 였다. 프로세스는 H2, WF6 및 Ar을 포함하여 진행되고, WF6는 300 sccm의 플로우 레이트를 갖는다. Ar의 플로우 레이트는 H2 분압에 따라 1,000 sccm 내지 7,000 sccm 이었다. 증착이 시작되는 시기와 피쳐 상에서 필름 성장이 관찰되는 시기 사이의 시간을 알아내기 위해, CVD 프로세스 동안, 핵생성 지연이 계산되었다. 그 결과를 표 1에 나타내었다.
선택적인 처리 프로세스 없이 다양한 H2 분압 하에서 CVD 프로세스로 계산된 핵생성 지연
기판 H2 분압
(Torr)
계산된
핵생성 지연 (초)
MO-WN


75 20
63 30
38 40
16 75
상기 결과에 나타난 바와 같이, H2 분압이 증가할수록, 계산된 핵생성 지연은 실질적으로 감소하였다. 핵생성 지연의 감소는 더 양호한 충진을 야기한다.일부 실시예에서, 추가적인 처리 프로세스 (201) 는 환원제 침지를 포함할 수 있다. 도 3은 이러한 방법에서의 특정한 동작들을 나타내는 프로세스 흐름도이다. 프로세스 블록 (301) 에 도시된 바와 같이, 처리 챔버 내에서 피쳐의 표면이 환원제에 노출된다. 피쳐 표면의 예가 도 1a를 참고하여 위에서 설명되었다. 텅스텐-함유 프리커서를 환원시킬 수 있는 임의의 환원제가 이용될 수 있다. 보란 (BH3), 실란 (SiH4), 디실란 (Si2H6), 게르만 (GeH4) 그리고 다른 보론-함유, 실리콘-함유 및 게르만-함유 환원제를 포함하는 다른 환원제가 이용될 수 있다고 하더라도, 특정 실시예에서, 환원제는 디보란 (diborane; B2H6) 이다. 일부 실시예에서, H2 가 이용될 수 있다. 이러한 환원제가 단독으로, 또는 캐리어 가스, 이를 테면 아르곤 혹은 헬륨을 이용하여 챔버로 전달될 수 있다. 질소를 이용하는 것은 바람직하지 않을 수 있는데, 이는 표면을 패시베이션 할 수 있기 때문이다. 임의의 다른 적절한 캐리어 가스도 이용될 수 있을 것이다.블록 (303) 에 도시된 바와 같이, 특정 실시예에서, 이후에 피쳐가 환원제 내에 침지될 수 있다. 예를 들어, 침지 시간은 약 2 내지 10초이다. 프로세스 블록 (301) 내에서의 침지는 일반적으로 열적 침지이며, 약 200℃ 내지 약 500℃ 범위의 온도에서 일어날 수 있다. 블록 (303) 이후에, 피쳐는 무- 핵생성 층 CVD 증착을 위해 준비될 수 있다. 도 3이 특정 실시예에 따른 프로세스 블록 (201) 의 예를 도시하고 있으나, 다른 환원제 및/또는 더 낮은 환원제 분압을 이용하는 무-핵생성 층 CVD 프로세스를 촉진하기 위한, 일부 경우에 처리 프로세스가 이용될 수도 있다. 다양한 실시예들에 따르면, H2 또는 다른 환원제의 분압은 약 15 Torr 이상, 약 20 Torr 이상, 약 30 Torr 이상, 약 40 Torr 이상, 약 50 Torr 이상, 약 60 Torr 이상, 약 70 Torr 이상, 또는 약 80 Torr 이상일 수 있다.
높은 H2 분압 하에서 피쳐 내에 텅스텐을 증착하기 이전에, 환원제 내의 피쳐의 침지에 대한 효과를 알아내기 위해, 일련의 실험이 수행되었다. 피쳐 표면의 타입은 MO-WN 이었다. 단면이 대략 40 nm인 개구를 가지는 피쳐를 구비한 기판이 이용되었다. 피쳐는 먼저 Ar/H2 가스의 혼합물 내의 80 Torr의 총 압력 하에서 디보란에 10 초만큼 노출되었다. 63 Torr 및 75 Torr의 H2 분압 하에서, WF6가 약 300 sccm 플로우 레이트를 가지는 아르곤 및 수소 분위기에서, 그리고 약 300℃의 기판 온도에서, 도 2와 관련하여 위에서 설명된 바와 같이, CVD 프로세스에 의해 피쳐는 텅스텐으로 충진되었다. 디보란 침지가 핵생성 지연에 미치는 효과를 알아내기 위해, 핵생성 지연이 CVD 프로세스 동안 계산되었다. 더 짧은 핵생성 지연은 피쳐 내의 더 양호한 플러그 충진 및 균일성을 야기한다. 그 결과를 표 2에 나타내었다.
B2H6 내에 침지한 이후에, 높은 H2 분압 하의 CVD 프로세스에서 계산된 핵생성 지연
기판 B2H6 침지
총 압력
(Torr)
H2 분압
(Torr)
계산된
핵생성 지연 (초)
MO-WN
80 75 1
80 63 0
표 2에 도시된 바와 같이, 피쳐가 먼저 환원제 B2H6에 의한 침지에 노출되고, CVD 프로세스 동안 H2 분압이 상승할 때에, 계산된 핵생성 지연은 실질적으로 짧게 되거나 (1 초), 없게 되었다. 이는, 침지 처리 없이, 그리고 16 Torr의 H2에서 실시하여서, 계산된 핵생성 지연이 75 초였던 표 1의 기술에 비해, 현저하게 개선된 것이다.도 4는 수집된 다른 실험적 데이터의 예의 SEM (주사 전자 현미경) 이미지를 도시한다. 양 웨이퍼들 (401 및 409) 모두는, 종횡비 (aspect ratio) 가 6:1이며 폭이 대략 40 nm 인 개구를 가진다. 양 피쳐들에서, 텅스텐은 30 Å 두께의 MO-WN 라이너 상에 증착되었다.이미지 (401) 는 침지 또는 다른 사전-CVD 처리 없이, 16 Torr의 H2 분압에서 WF6를 이용하는 CVD 프로세스의 결과를 도시한다. 이미지 (401) 에서, 기판 (405) 은 텅스텐 층 (403) 으로 충진되며 큰 보이드 (407) 를 가지는 피쳐를 구비하는 것으로 도시된다. 큰 보이드 (407) 는 더 길어진 핵생성 지연에 기인한 텅스텐 (403) 의 열악한 플러그 충진을 가리킨다.
도 3의 블록 (301 및 303) 과 관련하여 위에서 설명한 바와 같이, 이미지 (409) 내의 기판 (413) 은 먼저 B2H6 내에 침지되었고, 이에 노출되었으며, 도 2의 블록 (203) 과 관련하여 위에서 설명한 바와 같이, 이후에 CVD 프로세스 동안 높은 H2 분압 (75 Torr) 및 WF6에 노출되었다. 이미지 (409) 에서, 기판 (413) 이 구비하는 피쳐는 텅스텐 층 (411) 으로 충진되며, 양호한 균일성을 가지며, 갭이 없는, 도면부호 415로 도시된 바와 같은 우수한 플러그 충진을 나타낸다.
또 다른 실시예에서, 도 2의 추가적인 처리 프로세스 (201) 는 플라즈마 처리를 포함할 수 있으며, 이의 예가 도 5에 도시된다. 특정 실시예에서, 도 5의 프로세스 블록 (501) 에 도시된 바와 같이, 피쳐의 표면은 불활성 가스-함유 플라즈마에 노출된다. 이러한 노출은 약 5초 이상, 약 10초 이상, 또는 약 30초 이상 지속될 수 있다. 불활성 가스-함유 플라즈마에의 노출은 처리 챔버에서 일어날 수 있다. 피쳐의 표면 타입은 도 1a와 관련하여 위에서 언급한 바와 같을 수 있다. 불활성 가스-함유 플라즈마의 예들은 아르곤 또는 헬륨으로부터 생성된 플라즈마를 포함한다. 질소를 이용하는 것은 바람직하지 않을 수 있는데, 이는 질소가 표면을 패시베이션 할 (passivate) 수 있기 때문이다. 임의의 다른 적절한 불활성 가스가 이용될 수 있다.
일부 실시예에서, 불활성-가스 함유 플라즈마는 H2 또는 다른 환원제를 포함하는 가스로부터 생성될 수 있다. 환원제는 피쳐 표면 상에서 임의의 산화물을 제거하는데 이용될 수 있다. 또한, 특별한 이론에 얽매이지 아니하고, H 라디칼 (radical) 이 표면 상에 주입되어 (implant), CVD 벌크 텅스텐 층의 핵생성을 촉진하기 위한 활성 지점을 생성할 수 있을 것이다. 일부 실시예에서, 플라즈마는 하나 또는 그 이상의 불활성 가스 및 수소를 필수 구성성분으로 하는 가스로부터 생성될 수 있다. 일부 실시예에서, 플라즈마는 불활성 가스 없이 수소로부터 생성될 수 있다. 일부 실시예에서, 플라즈마 처리 동안 압력은 약 1 mTorr 내지 약 5 Torr이다. 기판 온도는 약 25℃ 내지 약 300℃ 일 수 있다.
임의의 타입의 플라즈마 소스가 플라즈마 종을 생성하는데 이용될 수 있다. 이는 유도 결합 플라즈마, 용량 결합 플라즈마, 마이크로파 플라즈마, DC (직류) 플라즈마, RF (무선 주파수) 플라즈마, 레이저 생성된 플라즈마를 포함하나, 반드시 이에 제한되지는 아니한다. 플라즈마는 다운스트림 (downstream) 방식 또는 직접 방식 (direct; 인-시츄) 일 수 있다. 일부 실시예에서, 플라즈마가 변압기 (transformer) 내에서 부차 (secondary) 로서 기능하는 유도 결합 소스를 통해 가스를 유동시킴으로써, 플라즈마가 생성된다. 이러한 타입의 원격 플라즈마 소스의 예는 MKS 사에서 제조된 Astron 이다. 플라즈마 종은 플라즈마 내에서 생성되며, 웨이퍼 또는 기판이 위치되어 있는 챔버로 운반된다. 인 시츄 플라즈마 처리를 위해 이용되는 챔버 장치의 일 예는 도 9를 참조하여 아래에서 더 설명될 것이다.
높은 H2 분압에서 웨이퍼 상에 텅스텐을 증착하기 이전에, 블랭킷 웨이퍼의 표면에 플라즈마 처리를 하는 효과를 알아내기 위해, 일련의 실험이 수행되었다. 처리된 기판의 타입은 MO-WN, WN 및 TiN 라이너 층이었다. 위에서 설명된 바와 같이, MO-WN 층은 플라즈마-강화 ALD 프로세스에 의해 증착되었다. WN 층은 B2H6/NH3/WF6의 PNL 시퀀스 (sequence) 를 반복함으로써 증착되었다. TiN 층이 물리 기상 증착 (PVD) 스퍼터링 프로세스에 의해 증착되었다. 기판의 각 타입에 관해, 플라즈마 처리 단계 없는, 그리고 플라즈마 처리와 함께하는 프로세스에 대해, 시험이 진행되었다.
80 Torr의 압력 및 300℃의 기판 온도에서, 웨이퍼가 ArH2-함유 플라즈마에 30초 동안 노출되었다. 유도 결합 플라즈마 소스가 이용되었다. 도 2와 관련하여 위에서 설명한 바와 같이, H2 분압이 약 80 Torr 이고, WF6가 약 300 sccm의 플로우 레이트를 가지는 아르곤 및 수소 분위기에서, 그리고 약 300℃의 기판 온도에서, CVD 프로세스에 의해 텅스텐이 표면 상에 증착되었다. 플라즈마 처리된 표면과 비교하기 위해 이용된 표면에는 오직 CVD 프로세스만이 이루어졌고, 플라즈마 처리는 이루어지지 않았다. 핵생성 지연에 대한 플라즈마 처리의 효과를 알아내기 위해, CVD 프로세스 동안 핵생성 지연이 계산되었다. 그 결과가 표 3에 도시되고 있다.
플라즈마 처리 이후에, 높은 H2 분압 하의 CVD 프로세스 내에서 계산된 핵생성 지연
기판 플라즈마 처리
(타입, 시간)
CVD 동안의 H2 분압
(Torr)
계산된
핵생성 지연
(초)
MO-WN
ArH2, 30 초 80 ~0
플라즈마 처리 없음 80 20
WN
ArH2, 30 초 80 ~20
플라즈마 처리 없음 80 95
TiN
ArH2, 30 초 80 ~20
플라즈마 처리 없음 80 ~200
위의 결과에 나타난 바와 같이, 높은 H2 분압의 CVD 프로세스 이전에 플라즈마 처리가 이용되었을 때에, 핵생성 지연은 실질적으로 짧아졌다. ArH2 플라즈마 처리가 이루어지는 금속 유기 텅스텐 질화물의 경우에, 핵생성 지연은 실질적으로 없게 되었으며, 그 결과 양호한 균일성과 함께 낮은 전체 저항이 야기되었다.도 5를 다시 참조하면, 블록 (501) 에서의 플라즈마 처리에 노출된 이후에, 도 2에 설명된 CVD 프로세스 (203) 를 위한 프로세스 블록 (503) 에서 기판은 CVD 챔버로 선택적으로 이송되었다. 이러한 실시예의 장치의 예는 도 7을 참조하여 아래에서 더 설명된다. 특정 실시예에서, 주변 상황에의 노출에 기인한 산화를 방지하기 위해, 이송은 진공 하에서 이루어진다. 기판이 CVD 챔버로 이송되지 아니하는 특정 실시예에서, CVD 프로세스 (203) 가 플라즈마 처리 동작을 수행하는 챔버와 같은 챔버에서 일어나면서, 플라즈마 처리 동작 (501) 과 CVD 프로세스 (203) 사이에서 기판은 진공 상태에 남아있을 수 있다.도 6은 또 다른 실시예를 설명하기 위한 프로세스 흐름도를 도시한다. 먼저, 동작 (601) 에서, 라이너 층 증착 챔버 내에서 라이너 층이 피쳐 내로 증착된다. 이러한 챔버의 일 실시예가 도 9를 참고하여 아래에서 설명될 것이다.
특정 실시예에서, 라이너 층은 텅스텐 질화물 배리어 층과 같은 배리어 층일 수 있다. 특정 실시예에서, 유전성 또는 다른 하부 층을 보호하여 후속 CVD 증착 동안 피쳐 모서리에의 F2 공격을 방어하기 위해, 라이너가 증착될 수 있다. 라이너 층의 예들은 산화물, 질화물, 그리고 텅스텐 및 비-텅스텐 원소 금속 층을 포함한다. 라이너 층의 구체적인 예들은 W, Ti/TiN, TiN 및 WN를 포함한다. 라이너 층은 약 10 Å 내지 500 Å의 두께일 수 있으며 또는, 더 구체적인 실시예에서, 약 25 Å 내지 200 Å의 두께일 수 있다.
특정 실시예에서, 동작 (601) 에서, MO-WN 배리어 층이 플라즈마-강화 ALD 프로세스에 의해 증착된다. 플라즈마-강화 프로세스로 MO-WN 층을 증착하는 것은, 유기-텅스텐 전구체 및 암모니아 같은 질소-함유 반응 물질의 펄스들을 교대로 공급하는 단계를 포함할 수 있다. 질소-함유 반응 물질이 기체 상태인 동안, 인 시츄 플라즈마가 발생될 (struck) 수 있다. 다른 실시예에서, 플라즈마-강화 프로세스에 의해 MO-WN 층을 증착하는 단계는, 질소-함유 유기 텅스텐 전구체를 펄싱 (pulsing) 하는 단계를 포함할 수 있다. 수소 같은 환원제가 전구체 펄스들 사이에서 펄싱될 수 있으며, 프로세스 동안 연속적으로 흐를 (run) 수 있다. 수소가 기체 상태에 있을 때에, 유기 텅스텐 전구체 펄스들 사이에서 인 시츄 플라즈마가 발생될 수 있다.
다음에, 동작 (603) 시에, 라이너 층 증착 챔버 내에서, 현재 라이너 층으로 라이닝 된 (lined) 피쳐의 표면이 불활성 가스 함유 플라즈마에 노출된다. 이는 라이너 층 및 후속 플라즈마 처리가 동일한 챔버에서 수행된다는 것이다. 플라즈마 처리를 위한 적절한 조건이 도 5와 관련하여 위에서 논의되었다. 플라즈마 처리 동안 이용되는 장치의 일 실시예가 도 9와 관련하여 아래에서 더 논의될 것이다.
동작 (605) 시에, 기판은 진공 하에 라이너 층 증착 챔버에서 CVD 챔버로 이송된다. 이러한 이송 중에 이용되는 장치의 일 실시예는 도 7과 관련하여 아래에서 더 논의될 것이다.
도 6을 다시 참조하면, 동작 (607) 시에, 피쳐를 텅스텐-함유 전구체 및 환원제에 노출함으로써, CVD 프로세스에 의해 텅스텐이 라이닝 된 그리고 처리된 피쳐 내로 증착된다. 이러한 CVD 프로세스를 위한 조건이 도 2와 관련하여 위에서 설명되었다. 특정 실시예에서, H2의 분압은 약 40 Torr 이상, 또는 약 50 Torr 이상, 또는 약 60 Torr 이상, 또는 70 Torr 이상, 또는 약 80 Torr 이상이다.
도 6의 프로세스의 일 실시예에 대한 실험 데이터가 수집되었다. 플라즈마 처리 단계를 포함하는 블랭킷 웨이퍼에 관한 프로세스에 대해, 그리고 플라즈마 처리 단계를 배제한 프로세스에 대해, 시험이 수행되었다. 먼저, 라이너 층 증착 챔버 내에서 유기 금속 텅스텐 질화물 라이너 층이 웨이퍼 상으로 증착되었다. 라이너 층 증착 이후에, 라이너 층 증착 챔버에서, 웨이퍼가 2 Torr의 압력과 300℃의 기판 온도에서 아르곤 (Ar) 과 수소 (H2) 를 포함하는 인 시츄 플라즈마 처리에 30초 동안 노출되었다. 기판은 CVD 프로세스를 완료하기 위해 이후 진공 하에서 CVD 챔버로 이송되었다. 그 다음, 약 80 Torr의 높은 H2 분압을 가지며, WF6가 약 300 sccm의 총 플로우 레이트를 가지는 아르곤 (Ar) 및 수소 (H2) 분위기 하에서, 그리고 약 300℃의 기판 온도에서, 도 2에 설명된 바와 같은 CVD 프로세스에 의해, 텅스텐이 웨이퍼 상에 증착되었다. 표 4의 두 번째 행은 라이너 층 증착이 완료된 이후에 이용되는 플라즈마의 타입을 확인한다. 플라즈마 처리된 웨이퍼와 비교하여, 이용되는 웨이퍼에는 오직 CVD 프로세스만이 이루어졌고, 플라즈마 처리는 이루어지지 않았다. 핵생성 지연에 플라즈마 처리가 미치는 효과를 알아내기 위해, CVD 프로세스 동안 핵생성 지연이 계산되었다. 그 결과를 표 4에 나타내었다.
높은 H2 분압 하의 CVD에 의한 텅스텐 충진 및 MO-WN 기판 상의 인 시츄 플라즈마 처리
기판 인 시츄
증착 후
플라즈마 처리
CVD 동안 H2 분압
(Torr)
계산된 핵생성 지연
(초)
MO-WN
Ar/H2 플라즈마 80 5
플라즈마 처리 없음 80 40
표 4에 도시된 바와 같이, 웨이퍼가 플라즈마 처리에 노출되지 않았을 때에 비해 웨이퍼가 플라즈마 처리에 노출되었을 때에, 핵생성 지연이 실질적으로 짧아졌다. 플라즈마 처리를 수행한 경우의 핵생성 지연은 플라즈마 처리가 없을 때의 핵생성 지연보다 8배 짧으며, 더 짧은 핵생성 지연은 양호한 균일성, 및 웨이퍼의 낮은 전체 저항을 야기한다.장 치
본 명세서에 제공된 방법은 다양한 벤더로부터 구입가능한 다양한 타입의 증착 장치에서 수행될 수 있다. 적절한 장치의 예들은, Novellus Concept-1 ALTUS™, Concept 2 ALTUS™, Concept-2 ALTUS-S™, Concept 3 ALTUS™ 증착 시스템, 및 ALTUS Max™ 또는 여러 가지의 다른 상업적으로 구입가능한 임의의 CVD 도구들을 포함한다. 일부 경우에, 다수의 증착 스테이션 상에서 프로세스가 후속하여 수행될 수 있다. 예를 들어, 미국특허 제 6,143,082 호를 참조할 수 있는데, 이는 본 명세서에 참고로서 편입될 수 있다.
추가적인 처리 단계가 선택되지 않는 일부 실시예에서, 단일 스테이션 또는 단일 챔버가 CVD 프로세스 동안 피쳐 내에 텅스텐을 증착하는데 이용될 수 있다. 대안적으로, 필요하다면, 후속하여 둘 또는 그 이상의 스테이션 상에서 CVD 동작들이 수행되도록, 기판 또는 웨이퍼가 색인화될 (indexed) 수 있다.
CVD 프로세스 이전에 침지 처리 단계이 일어나는 일부 실시예에서, 침지 단계와 관련된 상응하는 가스 및 환원제가, 기판 표면에 국부적인 (localized) 분위기를 생성하는 개별적인 가스 공급 시스템을 이용하여, 제 1 스테이션에서 반도체 기판의 표면으로 먼저 도입될 수 있다. 이후에, CVD 프로세스에 의해, 텅스텐 충진 증착을 완료하는데 제 2 스테이션이 이용될 수 있으며, 기판 표면에 국부적인 공기를 생성하는 개별적인 가스 공급 시스템을 이용하여, 높은 분압의 수소 가스 및 텅스텐-함유 전구체가 피쳐의 표면으로 도입된다. 대안적으로, CVD 프로세스에 의한 텅스텐 충진 및 침지 처리 단계 모두가 동일한 챔버 또는 동일한 스테이션에서 일어날 수 있으며, 장치는 챔버 또는 스테이션으로 도입되는 관련 가스를 변경하도록 구성된다.
플라즈마 처리 단계가 CVD 프로세스 이전에 일어나는 일부 실시예에서, 불활성-가스 함유 플라즈마, 상응하는 캐리어 가스 및 다른 가스들이 제 1 스테이션에서 반도체 기판의 표면으로 도입된다. 처리 챔버 내에서의 플라즈마 처리를 위해 이용되는 장치의 일 실시예는 도 9와 관련하여 아래에서 더 설명될 것이다. 이후에, 텅스텐-함유 전구체, 수소 가스 및 잠재적인 다른 캐리어 가스들이 기판 표면에 국부적인 공기를 생성하는 개별적인 가스 공급 시스템을 이용하여 피쳐의 표면으로 도입되는 동안, CVD 프로세스에 의한 텅스텐 충진 증착을 완료하기 위해, 기판이 추가로 CVD 스테이션 또는 챔버로 이송될 수 있다. CVD 장치의 일 실시예는 도 8과 관련하여 아래에서 더 설명될 것이다.
일부 실시예에서, 플라즈마 생성기를 포함하는 다운스트림 플라즈마 장치가 이용될 수 있다. 샤워 헤드 또는 다른 가스 유입구는 플라즈마 생성기와 처리 챔버의 노출 영역을 분리시킬 수 있다. 가스의 소스는 플라즈마 생성기 내로 가스의 유동을 제공한다.
일부 실시예에서, 플라즈마 생성기는 전력 소스에 연결된 유도 코일을 포함한다. 동작 동안에, 유도 코일에 에너지가 가해지면서, 가스 혼합물이 플라즈마 생성기 내로 도입되며, 플라즈마 생성기 내에 플라즈마가 생성된다. 샤워 헤드 조립체가 이용되는 실시예들에서, 조립체는 인가 전압 (applied voltage) 을 가질 수 있다. 조립체는 일부 또는 실질적으로 모든 이온의 유동을 종료시키며 (terminate), 처리 챔버 내로의 라디칼 같은 중성 종의 유동을 허용할 수 있다.
일부 실시예에서, 플라즈마가 변압기 내에서 부차로서 기능하는 유도 결합 소스를 통해 가스를 유동시킴으로써, 플라즈마가 생성된다. 이러한 타입의 원격 플라즈마 소스의 예는 MKS 사에서 제조된 Astron 이다. 반응성 종들이 플라즈마 내에서 생성되며, 웨이퍼가 위치해 있는 챔버로 이송된다. 일부 실시예에서, 이온 종들은 원격 플라즈마 소스로부터 도입되지 아니한다.
일부 실시예에서, 단일 증착 챔버 내에 위치되는 둘, 다섯 또는 그 이상의 증착 스테이션들 중 하나인 제 1 스테이션에서 라이너 층이 증착된다. 이후에, 불활성 가스-함유 플라즈마, 잠재적인 캐리어 가스, 및 다른 가스가 라이너 층이 증착되는 제 1 스테이션에서 반도체 기판의 표면으로 도입되도록, 플라즈마 처리 단계가 제 1 스테이션에서 수행된다. 이후에, 이용된다면, 다른 캐리어 가스와 함께 수소 가스 및 텅스텐-함유 전구체가 기판 표면에 국부적인 공기를 생성하는 개별적인 가스 공급 시스템을 이용하여 피쳐의 표면으로 도입되는 CVD 프로세스에 의해 텅스텐 증착을 완료하기 위해, 기판이 진공 하에서 제 2 스테이션으로 이송될 수 있다.
다른 실시예에서, 장치 내에 위치되는 둘 또는 그 이상의 챔버들 중 하나인 제 1 챔버에서 라이너 층이 증착된다. 다음에, CVD 프로세스에 의한 텅스텐 증착이 제 2 챔버 내에서 일어나도록, 플라즈마 처리 단계 이후의 이송이 진공 하에서 제 1 챔버로부터 제 2 챔버로 발생할 것이다.
도 7은 다양한 실시예들에 따른, 전도성 텅스텐 박막 증착 프로세스에 적절한 프로세싱 시스템에 관한 블록도이다. 시스템 (700) 은 이송 모듈 (703) 을 포함한다. 이송 모듈 (703) 은 청결하고 가압된 (pressurized) 분위기를 제공하여, 처리되는 기판이 다양한 반응기 모듈들 사이에서 이동됨에 따른, 상기 기판에의 오염 위험을 최소화한다. 일부 실시예들에 따른, 기판 침지, 필요하다면 라이너 층 증착, CVD를 수행할 수 있는 다수-스테이션 반응기 (709) 가 이송 모듈 (703) 상에 장착된다. 챔버 (709) 는 후속하여 그들의 동작을 수행할 수 있는 다수의 스테이션 (711, 713, 715, 및 717) 을 포함할 수 있다. 예를 들어, 스테이션 (711) 은 라이너 층 증착을 수행하고, 스테이션 (713) 은 침지를 수행하고, 스테이션 (715 및 717) 은 CVD를 수행하도록, 챔버 (709) 가 구성될 수 있을 것이다. 각 증착 스테이션은 가열된 웨이퍼/기판 페데스탈 및 샤워 헤드, 분산 플레이트 또는 다른 가스 유입구를 포함한다. 웨이퍼 지지부 (802) 및 샤워 헤드 (803) 를 포함하는, 증착 스테이션 (800) 의 예가 도 8에 도시된다. 히터가 페데스탈 부분 (801) 내부에 제공될 수 있다. 도 8의 장치는 또한, 일부 실시예들의 특정 단계가 단일 모듈 (707) 내의 챔버들에서 수행되는 경우에 따른, 챔버의 일 예를 도시한다.
또한, 플라즈마 또는 화학적 (비-플라즈마) 처리 혹은 사전-세정을 수행할 수 있는 하나 또는 그 이상의 단일 또는 다수-스테이션 모듈 (707) 이 이송 모듈 (703) 상에 장착될 수 있다. 다양한 다른 처리, 이를 테면 텅스텐 질화물 또는 다른 라이너 층 증착, 혹은 후-라이너 텅스텐 질화물 CVD를 위해서, 모듈이 이용될 수도 있다. 시스템 (700) 은 또한 프로세싱 이전에 그리고 프로세싱 이후에 웨이퍼가 저장되는 하나 또는 그 이상의 (여기서는, 두 개의) 웨이퍼 소스 모듈 (701) 을 포함한다. 기압 이송 챔버 (719) 내의 기압 (atmospheric) 로봇 (도시되지 않음) 이 먼저 소스 모듈 (701) 로부터 로드락 (721) 으로 웨이퍼를 제거한다. 이송 모듈 (703) 내의 웨이퍼 이송 디바이스 (일반적으로, 로봇 암 유닛; 도시되지 않음) 가 로드락 (721) 으로부터 이송 모듈 (703) 상에 장착된 모듈들 사이로, 그리고 이러한 모듈들로 웨이퍼를 이동시킨다.
특정 실시예들에서, 시스템 제어부 (729) 가 증착 동안 프로세스 조건을 제어하기 위해 이용된다. 제어부는 일반적으로 하나 또는 그 이상의 메모리 디바이스, 및 하나 또는 그 이상의 프로세서를 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부, 스텝퍼 (stepper) 모터 제어부 보드 등을 포함할 수 있다.
제어부 (729) 는 증착 장치의 모든 활동을 제어할 수 있다. 시스템 제어부는, 타이밍, 가스 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 수준, 웨이퍼 척 또는 페데스탈 위치, 및 구체적 프로세스의 다른 변수를 제어하기 위한 명령어 세트를 포함하는 시스템 제어 소프트웨어를 실행시킨다. 제어부와 관련하여 메모리 디바이스 상에 저장된 다른 컴퓨터 프로그램이 일부 실시예에서 이용될 수 있다.
일반적으로, 제어부와 관련된 사용자 인터페이스가 존재할 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건의 그래픽 소프트웨어 디스플레이, 및 포인팅 디바이스, 키보드, 터치 스크린, 마이크 등과 같은 사용자 입력 디바이스를 포함할 수 있다.
프로세스 시퀀스 내에서 증착 및 다른 프로세스를 제어하기 위한 컴퓨터 프로그램 코드가 임의의 종래의 컴퓨터 판독가능한 프로그램 언어; 예를 들어, 어셈블리 언어, C, C++, 파스칼 (Pascal), 포트란 (Fortran) 또는 다른 것들로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트가 프로세서에 의해 실행되어 프로그램 내에서 식별된 과제를 수행한다.
제어부 변수는 이를 테면, 프로세스 가스 조성 및 플로우 레이트, 온도, 압력, RF 전력 수준 및 저 주파수의 RF 주파수와 같은 플라즈마 조건, 냉각 가스 압력 및 챔버 벽 온도 같은 프로세스 조건과 관련되어 있다. 이러한 변수들은 레시피 형태로 사용자에게 제공되며, 사용자 인터페이스를 이용하여 입력될 수 있다.
시스템 제어부의 아날로그 및/또는 디지털 입력 연결부에 의해 프로세스를 모니터링 하기 위한 신호가 제공될 수 있다. 프로세스를 제어하기 위한 신호는 증착 장치의 아날로그 및 디지털 출력 연결부 상의 출력이다.
시스템 소프트웨어가 많은 상이한 방법으로 설계되거나 구성될 수 있다. 예를 들어, 증착 프로세스를 수행하는데 필요한 챔버 부품의 작동을 제어하기 위해, 다양한 챔버 구성요소 서브 루틴 또는 제어 객체 (control objects) 가 작성될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램 섹션의 예들은, 기판 위치설정 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드 및 플라즈마 제어 코드를 포함한다.
기판 위치설정 프로그램은, 기판을 페데스탈 또는 척 상으로 로딩하는데 그리고 가스 유입구 및/또는 타겟 같은 챔버의 다른 부품과 기판 사이의 간격을 제어하는데 이용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함할 수 있다. 프로세스 가스 제어 프로그램은, 가스 조성 및 플로우 레이트를 제어하기 위한 코드, 그리고 선택적으로, 챔버 내의 압력을 안정화하기 위해 증착 이전에 챔버 내로 가스를 유동시키기 위한 코드를 포함할 수 있다. 예를 들어, 압력 제어 프로그램은 챔버의 배기 시스템 내의 쓰로틀 (throttle) 밸브를 조절함으로써, 챔버 내의 압력을 제어하기 위한 코드를 포함할 수 있다. 히터 제어 프로그램은 기판을 가열하는데 이용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의 헬륨 같은 열 전달 가스의 이송을 제어할 수 있다.
증착 동안 모니터링 될 수 있는 챔버 센서의 예들은, 질량 유동 제어부, 압력계 (manometers) 와 같은 압력 센서, 및 페데스탈 또는 척 내에 위치되는 열전대 (thermocouples) 를 포함한다. 목표된 프로세스 조건을 유지하기 위해, 적절하게 프로그래밍 된 피드백 및 제어 알고리즘이 이들 센서로부터의 데이터와 함께 이용될 수 있다. 전술한 내용은, 단일 또는 멀티-챔버 반도체 프로세싱 도구에서 일부 실시예들을 구현하는 것에 관해 기술한다.
도 9는 특정 실시예들에 따라, 처리 챔버, 증착 챔버, 또는 처리 및 증착 챔버로서 이용될 수 있는 반응기의 일 예를 도시하고 있다. 도 9에 도시된 반응기는, 예를 들어, 용량 결합 플라즈마 어닐링 (anneal) 에 의한, 다크 (dark; 비-플라즈마) 또는 플라즈마-강화 증착 중 어느 하나에 적합하다. 도시된 바와 같이, 반응기의 다른 부품들을 둘러싸며, 접지된 히터 블록 (920) 과 연계되어 작동하는 샤워 헤드 (914) 를 가지는 캐패시터 타입 시스템에 의해 생성되는 플라즈마를 포함하도록 기능하는, 프로세스 챔버 (924) 를 반응기 (900) 는 포함한다. 저-주파수 RF 생성기 (902) 및 고-주파수 RF 생성기 (904) 가 샤워 헤드 (914) 에 연결되어 있다. 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기에 충분하며, 예를 들어 50W 내지 5kW의 총 에너지일 수 있다. 플라즈마 처리 단계 동안, 하나 또는 두 개의 생성기가 이용될 수 있다. 예를 들어, 일반적인 프로세스에서, 고주파수의 RF 성분은 일반적으로 2 내지 60MHz 사이, 예를 들어 13.56 MHz 이다.
반응기 내에서, 웨이퍼 페데스탈 (918) 은 기판 (916) 을 지지한다. 페데스탈은 일반적으로 증착 및/또는 플라즈마 처리 반응 동안에, 그리고 이들 사이에 기판을 홀딩하고 (hold) 이송하기 위한 리프트 핀, 척, 포크 (fork) 를 포함한다. 척은 정전 척, 기계적 척 또는 산업 및/또는 연구에서 이용하기 위해 구입가능한 다양한 다른 타입의 척일 수 있다.
프로세스 가스가 유입구 (912) 를 경유하여 도입된다. 다수의 소스 가스 라인 (910) 이 매니폴드 (908) 에 연결된다. 가스는 사전 혼합되거나 사전 혼합되지 않을 수 있다. 일부 실시예에서, 혼합 보울 (bowl) 또는 매니폴드 라인의 온도는 반응 온도보다 높은 수준으로 유지될 수 있다. 대개 약 100℃의 온도 또는 약 100℃ 이상의 온도면 충분하다. 프로세스의 증착 및 플라즈마 처리 상태 동안 정확한 가스가 전달될 수 있도록, 적절한 밸브 및 질량 유동 제어 메커니즘이 이용된다. 화학적 반응 물질이 액체 형태로 전달되는 경우에, 액체 유동 제어 메커니즘이 이용된다. 액체는 이후에 기화되며, 다른 프로세스 가스와 혼합될 수 있는데, 이러한 혼합은 증착 챔버에 이르기 이전에 그의 끓는 점 이상으로 가열되는 매니폴드 내에서 그를 이송하는 동안 이루어질 수 있다.
프로세스 가스는 배출구 (922) 를 경유하여 챔버 (900) 를 빠져나간다. 진공 펌프 (예를 들어, 하나 또는 두 단계의 기계적 드라이 펌프 및/또는 터보분자 펌프) 가 일반적으로 프로세스 가스를 배기하고, 쓰로틀 밸브 또는 펜듈럼 (pendulum) 밸브와 같은, 폐쇄 루프 제어된 (closed loop controlled) 유동 제한 디바이스로 반응기 내부를 적절하게 낮은 압력으로 유지시킨다.
응용 분야
본 발명의 실시예들은 많고도 상이한 응용 분야에서 박형의, 저저항의 텅스텐 층을 증착하는데 이용될 수 있다. 일 응용 분야는 비아, 컨택 및 전자 디바이스에서 공통으로 발견되는 다른 텅스텐 구조물이다. 또 다른 응용 분야는 집적 회로 내의 상호 연결부, 이를 테면 메모리 칩 및 마이크로프로세서에 관한 것이다. 상호 연결부는 단일 금속화 층 상에 발견되는 전류 라인이며, 일반적으로 길고도 평평한 구조 (long thing flat structures) 이다. 상호 접속부 응용 분야의 1차적인 예는 메모리 칩 내의 비트 라인이다. 일반적으로, 개시된 실시예들은 박형의, 저저항의 텅스텐 층이 필요한 임의의 환경에서 응용 분야를 찾을 수 있다.
개시된 실시예들은 또한, 일반적으로 대략 2,000 옴스트롱 또는 그 이하의, 예를 들어 1000 옴스트롱 또는 그 이하의, 상대적으로 박형의 규모를 가지는 저저항의 텅스텐 층을 목표로 한다. 그러나, 더 일반적으로는, 개시된 실시예들은 약 5 옴스트롱 내지 5000 옴스트롱 사이의 두께를 가지는, 더 넓은 범위의 텅스텐 층에 적용될 수 있다.
결 론
명확한 이해를 위해 전술한 실시예들이 일부 상세하게 설명되었다고 하더라도, 첨부된 청구항의 목적 범위 내에서 특정한 변경 및 수정이 이루어질 수 있다. 본 발명의 실시예들의 프로세스, 시스템 및 장치를 실행하는 많은 대안적인 방법들이 있을 수 있음은 물론이다. 따라서, 본 발명의 실시예들은 예시적인 것으로 고려되어야 하며, 본 발명이 본 명세서에 제공된 상세한 설명들로 제한되지 아니함은 자명하다.

Claims (14)

  1. 기판 상의 리세스된 피쳐 (recessed feature) 를 충진하는 (filling) 방법에 있어서,
    상기 리세스된 피쳐 내에 임의의 텅스텐을 증착하기 이전에, 비-플라즈마 분위기에서 처리된 표면을 형성하도록 상기 리세스된 피쳐의 표면을 비-질소-함유 화학물질에 노출하는 단계; 및
    핵생성 층을 증착하지 않고, 챔버에서 상기 처리된 표면을 텅스텐-함유 전구체 및 환원제에 직접 노출시킴으로써, 증착 프로세스에서 상기 리세스된 피쳐를 텅스텐으로 충진하는 단계를 포함하고,
    상기 환원제는 수소를 포함하고, 상기 수소의 분압은 상기 증착 프로세스 동안 적어도 40 Torr인, 리세스된 피쳐를 충진하는 방법.
  2. 제 1 항에 있어서,
    상기 기판은 상기 비-플라즈마 분위기에서 상기 리세스된 피쳐의 상기 표면의 상기 비-질소-함유 화학물질로의 상기 노출과 상기 증착 프로세스 사이에 진공 하에서 유지되는, 리세스된 피쳐를 충진하는 방법.
  3. 제 1 항에 있어서,
    상기 비-플라즈마 분위기에서 상기 리세스된 피쳐의 상기 표면을 상기 비-질소-함유 화학물질에 노출하는 단계는 상기 챔버로부터 분리된 처리 챔버에서 수행되는, 리세스된 피쳐를 충진하는 방법.
  4. 제 1 항에 있어서,
    상기 리세스된 피쳐의 상기 표면을 상기 비-질소-함유 화학물질에 노출하는 단계는 상기 기판을 제 2 환원제에 침지시키는 (soaking) 단계를 포함하는, 리세스된 피쳐를 충진하는 방법.
  5. 제 1 항에 있어서,
    상기 증착 프로세스는 화학 기상 증착 (CVD) 프로세스인, 리세스된 피쳐를 충진하는 방법.
  6. 기판 상의 리세스된 피쳐를 충진하는 방법에 있어서,
    상기 리세스된 피쳐를 갖는 기판을 제공하는 단계로서, 상기 기판은 처리된 표면을 갖는, 상기 리세스된 피쳐를 갖는 기판을 제공하는 단계; 및
    핵생성 층을 증착하지 않고, 증착 프로세스에서 상기 처리된 표면을 텅스텐-함유 전구체 및 적어도 하나의 환원제에 직접 노출시킴으로써, 상기 리세스된 피쳐를 텅스텐 막으로 충진하는 단계를 포함하고,
    상기 증착 프로세스는 화학 기상 증착 (CVD) 프로세스이고,
    상기 적어도 하나의 환원제는 수소를 포함하고,
    수소의 분압은 상기 CVD 프로세스 동안 상기 적어도 하나의 환원제 중에서 가장 크고,
    상기 환원제는 수소를 포함하고, 상기 수소의 분압은 상기 증착 프로세스 동안 적어도 40 Torr인, 리세스된 피쳐를 충진하는 방법.
  7. 제 6 항에 있어서,
    라이너 층 증착 챔버에서 상기 리세스된 피처에 라이너 층을 증착하는 단계로서, 상기 처리된 표면을 갖는 상기 기판은 상기 라이너 층 증착 챔버에서 이전에 처리된, 상기 라이너 층을 증착하는 단계; 및
    상기 CVD 프로세스를 수행하기 위해 CVD 챔버로 상기 기판을 이송하는 단계를 더 포함하는, 리세스된 피쳐를 충진하는 방법.
  8. 제 7 항에 있어서,
    상기 라이너 층은 텅스텐 라이너, 텅스텐 질화물 라이너, 또는 티타늄 질화물 라이너 층인, 리세스된 피쳐를 충진하는 방법.
  9. 제 1 항 또는 제 6 항에 있어서,
    상기 환원제는 수소를 포함하고 수소의 분압은 상기 증착 프로세스 동안 적어도 40 Torr인, 리세스된 피쳐를 충진하는 방법.
  10. 기판 상의 리세스된 피쳐를 충진하는 방법에 있어서,
    상기 리세스된 피쳐를 갖는 기판을 제공하는 단계로서, 상기 기판은 처리된 질화물 표면을 갖는, 상기 리세스된 피쳐를 갖는 기판을 제공하는 단계; 및
    핵생성 층을 증착하지 않고, 화학 기상 증착 (CVD) 프로세스에서 상기 처리된 질화물 표면을 텅스텐-함유 전구체 및 수소에 직접 노출시킴으로써, 상기 리세스된 피쳐를 텅스텐 막으로 충진하는 단계를 포함하고,
    수소의 분압은 상기 CVD 프로세스 동안 적어도 40 Torr인, 리세스된 피쳐를 충진하는 방법.
  11. 제 1 항, 제 6 항 또는 제 10 항 중 어느 한 항에 있어서,
    상기 텅스텐-함유 전구체는 할로겐-함유 전구체 또는 유기 텅스텐 전구체인, 리세스된 피쳐를 충진하는 방법.
  12. 제 11 항에 있어서,
    상기 텅스텐-함유 전구체는 텅스텐 헥사플루오라이드 (tungsten hexafluoride; WF6), 텅스텐 헥사클로라이드 (tungsten hexachloride; WCl6), 텅스텐 헥사카르보닐 (tungsten hexacarbonyl; W(CO)6), MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 로 구성된 그룹으로부터 선택되는, 리세스된 피쳐를 충진하는 방법.
  13. 제 1 항, 제 6 항 또는 제 10 항 중 어느 한 항에 있어서,
    상기 기판은 텅스텐, 텅스텐 질화물, 및 티타늄 질화물로 구성된 그룹으로부터 선택된 재료를 포함하는, 리세스된 피쳐를 충진하는 방법.
  14. 제 13 항에 있어서,
    상기 텅스텐 질화물은 금속-유기 텅스텐-함유 전구체를 사용하여 증착되는, 리세스된 피쳐를 충진하는 방법.
KR1020220000825A 2012-07-27 2022-01-04 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법 KR102386744B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/560,688 2012-07-27
US13/560,688 US8975184B2 (en) 2012-07-27 2012-07-27 Methods of improving tungsten contact resistance in small critical dimension features
KR1020200141428A KR20200125918A (ko) 2012-07-27 2020-10-28 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020200141428A Division KR20200125918A (ko) 2012-07-27 2020-10-28 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법

Publications (2)

Publication Number Publication Date
KR20220005100A KR20220005100A (ko) 2022-01-12
KR102386744B1 true KR102386744B1 (ko) 2022-04-14

Family

ID=49995297

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020130089834A KR102173770B1 (ko) 2012-07-27 2013-07-29 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR1020200141428A KR20200125918A (ko) 2012-07-27 2020-10-28 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR1020220000825A KR102386744B1 (ko) 2012-07-27 2022-01-04 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020130089834A KR102173770B1 (ko) 2012-07-27 2013-07-29 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR1020200141428A KR20200125918A (ko) 2012-07-27 2020-10-28 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법

Country Status (3)

Country Link
US (1) US8975184B2 (ko)
KR (3) KR102173770B1 (ko)
TW (1) TWI604081B (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110004429B (zh) 2012-03-27 2021-08-31 诺发***公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6437324B2 (ja) * 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
FR3022428A1 (fr) * 2014-06-16 2015-12-18 Orange Technique de gestion d'un etat d'activation d'un module d'acces radio
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9595466B2 (en) 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
WO2017091571A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
KR20180026995A (ko) 2016-09-05 2018-03-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102361468B1 (ko) * 2016-12-15 2022-02-09 어플라이드 머티어리얼스, 인코포레이티드 핵형성을 사용하지 않는 갭 충전 ald 프로세스
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
CN111095488A (zh) 2017-08-14 2020-05-01 朗姆研究公司 三维竖直nand字线的金属填充过程
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
KR20210087551A (ko) 2018-11-30 2021-07-12 램 리써치 코포레이션 메모리 어플리케이션들을 위한 라인 벤딩 (bending) 제어
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
JP2020136677A (ja) * 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20220051192A (ko) * 2019-08-13 2022-04-26 어플라이드 머티어리얼스, 인코포레이티드 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US20230109501A1 (en) * 2021-09-28 2023-04-06 Applied Materials, Inc. Tungsten gapfill using molybdenum co-flow
WO2023114640A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors
KR20230174883A (ko) * 2022-06-22 2023-12-29 한국알박(주) Pvd 스퍼터링법을 통한 텅스텐의 비저항 및 응력 제어 방법

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US7311944B2 (en) 2002-12-23 2007-12-25 Applied Thin Films, Inc. Aluminum phosphate coatings
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties

Also Published As

Publication number Publication date
KR20220005100A (ko) 2022-01-12
KR20200125918A (ko) 2020-11-05
US20140030889A1 (en) 2014-01-30
US8975184B2 (en) 2015-03-10
KR20140014024A (ko) 2014-02-05
TW201413031A (zh) 2014-04-01
TWI604081B (zh) 2017-11-01
KR102173770B1 (ko) 2020-11-04

Similar Documents

Publication Publication Date Title
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
KR102466639B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
KR101287271B1 (ko) 저저항률 텅스텐/텅스텐 니트라이드 레이어의 접착 개선 방법
US9236297B2 (en) Low tempature tungsten film deposition for small critical dimension contacts and interconnects
JP5376361B2 (ja) タングステン膜の製造方法および装置
KR20200116071A (ko) 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
TW202231900A (zh) 用於在基板上形成鈷層的方法
EP1122775A2 (en) Treatment of a metal nitride/metal stack
KR20140034081A (ko) 낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
JP2022180423A (ja) タングステン用モリブデンテンプレート
KR101356332B1 (ko) 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
KR102637315B1 (ko) 텅스텐 나이트라이드 배리어 층 증착
TW202340503A (zh) 特徵部中的大晶粒鎢生長

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant