KR20220051192A - 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드 - Google Patents

다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드 Download PDF

Info

Publication number
KR20220051192A
KR20220051192A KR1020227007854A KR20227007854A KR20220051192A KR 20220051192 A KR20220051192 A KR 20220051192A KR 1020227007854 A KR1020227007854 A KR 1020227007854A KR 20227007854 A KR20227007854 A KR 20227007854A KR 20220051192 A KR20220051192 A KR 20220051192A
Authority
KR
South Korea
Prior art keywords
gas
plasma
titanium nitride
depositing titanium
substrate surface
Prior art date
Application number
KR1020227007854A
Other languages
English (en)
Inventor
한홍 첸
아르카프라바 단
조셉 오부숑
경하 김
필립 에이. 크라우스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220051192A publication Critical patent/KR20220051192A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32201Generating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

티타늄 나이트라이드를 증착하는 방법이 개시된다. 본 개시내용의 일부 실시예들은 다이렉트 마이크로파 플라즈마를 활용하는 티타늄 나이트라이드를 증착하기 위한 PEALD 프로세스를 제공한다. 일부 실시예들에서, 다이렉트 마이크로파 플라즈마는 높은 플라즈마 밀도 및 낮은 이온 에너지를 갖는다. 일부 실시예들에서, 플라즈마는 기판 표면 바로 위에 생성된다.

Description

다이렉트 마이크로파 플라즈마를 이용한 PEALD 티타늄 나이트라이드
[0001] 본 개시내용의 실시예들은 일반적으로, 티타늄 나이트라이드를 형성하기 위한 방법들에 관한 것이다. 본 개시내용의 일부 실시예들은 원자 층 증착 방법들을 활용한다. 본 개시내용의 일부 실시예들은 다이렉트 마이크로파 플라즈마(direct microwave plasma)를 활용한다.
[0002] 티타늄 나이트라이드(TiN)는 반도체들의 제조 시에 필수적 재료이다. 티타늄 나이트라이드는 대개, 능동 디바이스와 회로를 동작시키기 위해 사용되는 금속 콘택들 사이의 전도성 연결부 역할을 하는 동시에, 금속 콘택들로부터의 금속의 확산을 차단하기 위한 확산 장벽으로서 작용한다.
[0003] 45 nm 기술 이상의 최근의 칩 설계들은 개선된 트랜지스터 성능을 위한 전도체로서 TiN을 사용한다. 표준 SiO2와 비교하여 더 높은 유전율을 갖는 게이트 유전체들(예컨대, HfSiO)과 조합하여, 게이트 길이는 낮은 누설, 더 높은 구동 전류, 및 동일하거나 또는 더 우수한 임계 전압으로 스케일 다운될 수 있다.
[0004] 티타늄 할라이드 전구체, 이를테면, TiCl4, 또는 Ti 금속 유기 전구체, 이를테면, TDMAT, 및 질소 소스, 이를테면, 암모니아를 사용한 열 반응에 의해 티타늄 나이트라이드가 증착될 수 있다. 티타늄 나이트라이드의 열적 ALD는 통상적으로, 더 높은 불순물들 및 더 높은 비저항을 막들에 제공한다. 추가로, 이들 프로세스들은 비교적 높은 웨이퍼 온도들로 수행되어야 한다.
[0005] 티타늄 나이트라이드의 PEALD(plasma-Enhanced ALD)는 또한, CCP(capacitor coupled RF plasma) 및 RPS(remote plasmas)를 사용하여 입증되었다. CCP는 비교적 높은 이온 에너지가 기판에 대한 플라즈마 손상을 유발할 수 있다는 사실에 의해 제한된다. 추가로, 이온 플럭스는 트렌치들 및 홀들과 같은 높은 종횡비 구조들에 도달할 수 없어서, 대개 더 낮은 등각성을 갖는 막들을 생성한다. RPS는, 이온이 없고 순수하게 라디칼 기반 프로세스인 장점을 갖지만, RPS 플라즈마는 플라즈마 생성으로부터 기판까지의 더 큰 거리로 인해 낮은 농도의 라디칼들을 겪는다.
[0006] 이에 따라서, 플라즈마 프로세스를 활용하는 티타늄 나이트라이드를 증착하는 방법들이 필요하다.
[0007] 본 개시내용의 하나 이상의 실시예들은 티타늄 나이트라이드를 증착하는 방법에 관한 것이다. 방법은, 티타늄 전구체, 및 플라즈마 가스로부터 생성된 다이렉트 마이크로파 플라즈마에 대한 프로세싱 볼륨 내의 기판 표면의 순차적인 노출을 포함한다.
[0008] 본 개시내용의 추가적인 실시예들은 티타늄 나이트라이드를 증착하는 방법에 관한 것이다. 방법은, 티타늄 전구체, 암모니아 가스, 및 플라즈마 가스로부터 생성된 다이렉트 마이크로파 플라즈마에 대한 프로세싱 볼륨 내의 기판 표면의 순차적인 노출을 포함한다.
[0009] 본 개시내용의 추가적인 실시예들은 티타늄 나이트라이드를 증착하는 방법에 관한 것이다. 방법은, 티타늄 전구체, 암모니아 가스의 제1 펄스, 플라즈마 가스로부터 생성된 다이렉트 마이크로파 플라즈마, 및 암모니아 가스의 제2 펄스에 대한 프로세싱 볼륨 내의 기판 표면의 순차적인 노출을 포함한다.
[0010] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 보다 상세한 설명은 실시예들을 참조로 하여 이루어질 수 있으며, 이러한 실시예들 중 일부는 첨부된 도면들에 예시된다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 실시예들을 예시하므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 개시내용의 하나 이상의 실시예에 따른, 마이크로파 플라즈마 소스를 포함하는 플라즈마 프로세싱 툴의 개략도를 예시하고;
[0012] 도 2는 본 개시내용의 하나 이상의 실시예에 따른, 마이크로파 방사선을 프로세싱 챔버에 커플링하기 위해 사용될 수 있는 애플리케이터의 단면도를 예시하며; 그리고
[0013] 도 3은 본 개시내용의 하나 이상의 실시예에 따른, 티타늄 나이트라이드의 형성을 위한 예시적인 프로세스 시퀀스를 예시한다.
[0014] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들을 가능하게 하고, 다양한 방식들로 실시 또는 수행될 수 있다.
[0015] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이라는 용어는 프로세스가 작용하는 표면 또는 표면의 부분을 지칭한다. 또한, 문맥이 명확하게 달리 표시하지 않는 한, 기판에 대한 언급은 또한, 기판의 일부분만을 지칭할 수 있다는 것이 당업자들에 의해 이해될 것이다. 추가적으로, 기판 상에 증착하는 것에 대한 언급은, 베어(bare) 기판, 그리고 하나 이상의 막들 또는 피처들이 상부에 증착 또는 형성되어 있는 기판 둘 모두를 의미할 수 있다.
[0016] 본원에서 사용되는 바와 같은 "기판"은 제작 프로세스 동안 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은 애플리케이션에 따라 실리콘, 실리콘 옥사이드, 스트레인드 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 그리고 금속들, 금속 나이트라이드들, 금속 합금들 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함(이에 제한되지 않음)한다. 기판들은 기판 표면을 연마(polish), 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 표면 자체에 대해 바로 막 프로세싱하는 것에 추가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하부층(underlayer)에 대해 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 표시하는 바와 같이 그러한 하부층을 포함하는 것으로 의도된다. 따라서, 예컨대, 막/층 또는 부분 막/층이 기판 표면 상에 증착된 경우, 새로 증착된 막/층의 노출된 표면은 기판 표면이 된다.
[0017] 본 개시내용의 실시예들은 다이렉트 마이크로파 PEALD(plasma enhanced atomic layer deposition) 프로세스를 사용하여 티타늄 나이트라이드를 증착하기 위한 방법들에 관한 것이다. 본 개시내용의 일부 실시예들은 유리하게, 열적 TiN 증착 프로세스들에 의해 제공되는 것들보다 더 우세한 TiN 막들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 수정된 Ti:N 비(ratio), 더 낮은 Cl- 농도, 더 낮은 비저항 또는 더 낮은 막 응력을 갖는 막들을 제공한다.
[0018] CCP 및 RPS 플라즈마들과 대조적으로, 다이렉트 마이크로파(uwave) 플라즈마는 유리하게, RPS보다 더 높은 플라즈마 라디칼 밀도 및 CCP보다 더 낮은 이온 에너지를 제공한다. 이에 따라서, 마이크로파 플라즈마는 기판에 대한 이온 손상을 유발하지 않으면서 ALD 프로세스에 대해 비교적 높은 라디칼 농도를 제공할 수 있다. 추가로, 마이크로파 플라즈마를 이용한 PEALD는 막 조성(composition), 비저항 및 응력을 튜닝하는 능력을 입증하였다.
[0019] 본 개시내용 및 첨부된 청구항들에서 사용되는 바와 같이, "티타늄 나이트라이드" 또는 "TiN"은 티타늄 및 질소 원자들을 필수적 요소로 하여 구성되는(consisting essentially of) 무기 재료를 지칭한다. 달리 표시되지 않는 한, 티타늄과 질소의 (화학량론적 또는 다른) 특정 비는 본 개시내용으로부터 추론되지 않아야 한다.
[0020] 도 1을 참조하면, 예시적인 프로세싱 툴(100)의 단면도가 도시된다. 프로세싱 툴(100)은 플라즈마를 활용하는 임의의 타입의 프로세싱 동작에 적절한 프로세싱 툴일 수 있다. 본원에서 상세히 설명되는 실시예들은 ALD 플라즈마 프로세싱 방법들에 관한 것이지만, 추가적인 플라즈마 프로세싱 방법들이 또한, 프로세싱 툴(100) 상에서 실시될 수 있다는 것이 인식되어야 한다. 추가로, 본원에서 설명되는 PEALD 방법들이 또한, 상이한 프로세싱 툴들을 사용하여 수행될 수 있다는 것이 인식되어야 한다.
[0021] 일반적으로, 프로세싱 툴(100)은 챔버(178)를 포함한다. 플라즈마 프로세싱에 사용되는 프로세싱 툴들(100)에서, 챔버(178)는 진공 챔버일 수 있다. 진공 챔버는 원하는 진공을 제공하기 위해 챔버로부터 가스들을 제거하기 위한 펌프(도시되지 않음)를 포함할 수 있다. 추가적인 실시예들은 챔버(178) 내로 프로세싱 가스들을 제공하기 위한 하나 이상의 가스 라인들(170) 및 챔버(178)로부터 부산물들을 제거하기 위한 배기 라인들(172)을 포함하는 챔버(178)를 포함할 수 있다. 도시되지 않았지만, 프로세싱 툴이 기판(174) 위에 프로세싱 가스들을 균등하게 분배하기 위한 샤워헤드 또는 다른 가스 분배 조립체를 포함할 수 있다는 것이 인식되어야 한다.
[0022] 일부 실시예들에서, 기판(174)은 척(176) 상에서 지지될 수 있다. 예컨대, 척(176)은 정전 척과 같은 임의의 적절한 척일 수 있다. 척은 또한, 프로세싱 동안 기판(174)에 대한 온도 제어를 제공하기 위한 냉각 라인들 및/또는 가열기를 포함할 수 있다.
[0023] 프로세싱 툴(100)은 하나 이상의 마이크로파 소스들(105)을 포함한다. 마이크로파 소스(105)는 솔리드 스테이트 마이크로파 증폭 회로부(130) 및 애플리케이터(142)를 포함할 수 있다. 일부 실시예들에서, 전압 제어 회로(110)는 각각의 마이크로파 소스(105)의 솔리드 스테이트 마이크로파 증폭 회로부(130)에 송신되는, 원하는 주파수의 마이크로파 방사선을 생성하기 위해서 전압 제어식 오실레이터(120)에 입력 전압을 제공한다. 마이크로파 증폭 회로부(130)에 의한 프로세싱 후에, 마이크로파 방사선은 애플리케이터(142)에 송신된다. 일부 실시예들에서, 애플리케이터들(142)의 어레이(140)는 챔버(178)에 커플링되고, 각각은 플라즈마를 생성하기 위해 챔버(178) 내의 프로세싱 가스들에 마이크로파 방사선을 커플링하기 위한 안테나로서 기능한다.
[0024] 이제 도 2를 참조하면, 하나 이상의 실시예에 따른 애플리케이터(142)의 단면도가 도시된다. 일부 실시예들에서, 마이크로파 방사선은 모노폴(monopole)(357)에 커플링되는 동축 케이블(351)에 의해 애플리케이터(142)에 송신되고, 모노폴(357)은 애플리케이터(142)를 통해 축방향으로 연장된다. 모노폴(357)은 또한, 유전체 공진 캐비티(353)의 중심에 형성된 채널(358) 내로 연장될 수 있다. 유전체 공진 캐비티(353)는 유전체 재료, 이를테면, 석영, 알루미늄 옥사이드, 티타늄 옥사이드 등일 수 있다. 일부 실시예들은 또한, 재료를 포함하지 않는 공진 캐비티(353)를 포함할 수 있다(즉, 유전체 공진 캐비티(353)는 공기 또는 진공일 수 있음).
[0025] 일부 실시예들에서, 유전체 공진기는, 유전체 공진기가 마이크로파 방사선의 공진을 지원하도록 치수화된다. 일반적으로, 유전체 공진 캐비티(353)의 사이즈는 유전체 공진 캐비티(353)를 형성하기 위해 사용되는 재료의 유전 상수 및 마이크로파 방사선의 주파수에 의존한다. 예컨대, 더 높은 유전 상수들을 갖는 재료들은 더 작은 공진 캐비티들(353)이 형성될 수 있게 할 것이다. 유전체 공진 캐비티(353)가 원형 단면을 포함하는 실시예에서, 유전체 공진 캐비티(353)의 직경은 대략 1 cm 내지 15 cm일 수 있다.
[0026] 일부 실시예들에서, 모노폴(357)에 수직(perpendicular)인 평면을 따르는 유전체 공진 캐비티(353)의 단면은, 유전체 공진 캐비티(353)가 공진을 지원하도록 치수화되는 한, 임의의 형상일 수 있다. 도 2에 도시된 예시된 실시예에서, 모노폴(357)에 수직인 평면을 따르는 단면은 원형이지만, 다른 형상들, 이를테면, 다각형들(예컨대, 삼각형들, 직사각형들 등), 대칭적 다각형들(예컨대, 정사각형들, 오각형들, 육각형들 등), 타원들 등이 또한 사용될 수 있다.
[0027] 일부 실시예들에서, 유전체 공진 캐비티(353)의 단면은 모노폴(357)에 수직인 모든 평면들에서 동일하지는 않을 수 있다. 예컨대, 애플리케이터 하우징(355)의 개방 단부에 근접한 최하부 연장부의 단면은 채널(358)에 근접한 유전체 공진 캐비티의 단면보다 더 넓을 수 있다. 상이한 치수들의 단면들을 갖는 것에 추가하여, 유전체 공진 캐비티(353)는 상이한 형상들을 갖는 단면들을 가질 수 있다. 예컨대, 채널(358)에 근접한 유전체 공진 캐비티(353)의 부분은 원형 형상 단면을 가질 수 있는 반면, 애플리케이터 하우징(355)의 개방 단부에 근접한 유전체 공진 캐비티(353)의 부분은 대칭적 다각형 형상(예컨대, 오각형, 육각형 등)일 수 있다. 그러나, 실시예들은 또한, 모노폴(357)에 수직인 모든 평면들에서 균일한 단면을 갖는 유전체 공진 캐비티(353)를 포함할 수 있다는 것이 이해되어야 한다.
[0028] 일부 실시예들에서, 애플리케이터(142)는 또한, 임피던스 튜닝 백쇼트(backshort)(356)를 포함할 수 있다. 백쇼트(356)는 애플리케이터 하우징(355)의 외부 표면 위로 슬라이딩하는 변위가능 인클로저일 수 있다. 임피던스에 대한 조정들이 행해질 필요가 있을 때, 백쇼트(356)의 표면과 유전체 공진 캐비티(353)의 최상부 표면 사이의 거리(D)를 변화시키기 위해 액추에이터(미도시)가 애플리케이터 하우징(355)의 외부 표면을 따라 백쇼트(356)를 슬라이딩시킬 수 있다. 따라서, 일부 실시예들은 시스템에서 임피던스를 조정하기 위한 하나 초과의 방식을 제공한다. 일부 실시예들에서, 임피던스 미스매치들을 처리(account for)하기 위해 피드백 프로세스와 함께 임피던스 튜닝 백쇼트(356)가 사용될 수 있다. 대안적으로, 피드백 프로세스 또는 임피던스 튜닝 백쇼트(356)는 그 자체로 임피던스 미스매치들을 조정하기 위해 사용될 수 있다.
[0029] 일부 실시예들에서, 애플리케이터(142)는 마이크로파 전자기장을 프로세싱 챔버(178)에 직접적으로 커플링하는 유전체 안테나로서 기능한다. 유전체 공진 캐비티(353)에 진입하는 모노폴(357)의 특정 축방향 어레인지먼트(arrangement)는 TM01δ 모드 여기를 초래할 수 있다. 그러나, 상이한 애플리케이터 어레인지먼트들을 이용하여 상이한 여기 모드들이 가능할 수 있다. 예컨대, 축방향 어레인지먼트가 도 2에 예시되지만, 모노폴(357)이 다른 배향들로부터 유전체 공진 캐비티(353)에 진입할 수 있다는 것이 인식되어야 한다. 그러한 일 실시예에서, 모노폴(357)은 유전체 공진 캐비티(353)에 측방향으로(즉, 유전체 공진 캐비티(353)의 측벽을 통해) 진입할 수 있다.
[0030] 도 3은 본 개시내용의 하나 이상의 실시예에 따른, 기판 상에 티타늄 나이트라이드 막을 형성하기 위한 일반화된 방법(400)을 묘사한다. 방법(400)은 일반적으로, 티타늄 나이트라이드 막이 형성될 기판이 프로세싱 챔버 내로 제공 및 배치되는 410에서 시작된다. 본원에서 사용되는 바와 같이, "기판 표면"은 층이 형성될 수 있는 임의의 기판 표면을 지칭한다. 기판 표면은 내부에 형성된 하나 이상의 피처들, 하나 이상의 피처들 상에 형성된 하나 이상의 층들, 및 이들의 조합들을 가질 수 있다. 기판(또는 기판 표면)은, 티타늄 나이트라이드 막의 증착 전에, 예컨대, 연마, 에칭, 환원, 산화, 할로겐화, 수산화, 어닐링, 베이킹 등에 의해 전처리될 수 있다.
[0031] 420에서, 티타늄 나이트라이드 막이 기판 표면 상에 형성된다. 티타늄 나이트라이드 막은 순환 증착 프로세스, 이를테면, ALD(atomic layer deposition) 등을 통해 형성될 수 있다.
[0032] 본원에서 사용되는 바와 같은 "원자 층 증착" 또는 "순환 증착"은 기판 표면 상에 재료 층을 증착하기 위한 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 화합물", "반응성 가스", "반응성 종", "전구체", "프로세스 가스" 등의 용어들은 표면 반응(예컨대, 화학 흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 재료와 반응할 수 있는 종을 갖는 물질을 의미하기 위해 상호교환 가능하게 사용된다. 기판 또는 기판의 부분은 프로세싱 챔버의 반응 존 내로 도입되는 2개 이상의 반응성 화합물들에 별개로 노출된다.
[0033] 시간-도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은, 각각의 화합물이 기판 표면 상에 접착되고 그리고/또는 이러한 기판 표면에 대해 반응한 다음 프로세싱 챔버로부터 퍼징될 수 있게 하기 위해, 시간 지연에 의해 분리된다. 공간 ALD 프로세스에서, 기판 표면의 상이한 부분들 또는 기판 표면 상의 재료는, 기판 상의 임의의 주어진 지점이 실질적으로 하나 초과의 반응성 화합물에 동시에 노출되지 않도록 2개 이상의 반응성 화합물들에 동시에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로"라는 용어는, 당업자들에 의해 이해될 바와 같이, 기판의 작은 부분이 확산으로 인해 다수의 반응성 가스들에 동시에 노출될 수 있다는 가능성이 있으며 동시 노출은 의도되지 않는다는 것을 의미한다.
[0034] 시간-도메인 ALD 실시예들에서, 프로세스 가스들 각각에 대한 노출은 프로세스 가스들의 성분들이 기판 표면 상에 접착되고 그리고/또는 이러한 기판 표면에 대해 반응할 수 있게 하기 위해 시간 지연/일시정지에 의해 분리된다. 대안적으로 또는 조합하여, 일부 실시예들에서, 프로세스 가스들에 대한 기판의 노출 전에 및/또는 노출 후에 퍼지가 수행될 수 있으며, 여기서, 퍼지를 수행하기 위해 불활성 가스가 사용된다. 예컨대, 제1 반응성 가스가 프로세스 챔버에 제공된 이후에 불활성 가스를 이용한 퍼지가 뒤따를 수 있다. 다음으로, 제2 반응성 가스가 프로세스 챔버에 제공된 이후에 불활성 가스를 이용한 퍼지가 뒤따를 수 있다. 일부 실시예들에서, 불활성 가스는 프로세스 챔버에 연속적으로 제공될 수 있고, 제1 반응성 가스가 프로세스 챔버 내로 도징(dose) 또는 펄싱된 이후에 프로세스 챔버 내로의 제2 반응성 가스의 도즈 또는 펄스가 뒤따를 수 있다. 그러한 실시예들에서, 제1 반응성 가스의 도즈와 제2 반응성 가스의 도즈 사이에 지연 또는 일시정지가 발생하여서, 프로세스 가스들의 도즈들 사이에 불활성 가스의 연속적인 유동이 프로세스 챔버를 퍼징할 수 있게 할 수 있다.
[0035] 시간-도메인 ALD 프로세스의 일 양상에서, 제1 반응성 가스(즉, 제1 전구체 또는 화합물 A)가 반응 존 내로 펄싱된 이후에 제1 시간 지연이 뒤따른다. 다음으로, 제2 전구체 또는 화합물 B가 반응 존 내로 펄싱된 이후에 제2 지연이 뒤따른다. 각각의 시간 지연 동안, 퍼지 가스, 이를테면, 아르곤이 프로세싱 챔버 내로 도입되어, 반응 존을 퍼징하거나, 또는 그렇지 않으면 반응 존으로부터 임의의 잔류 반응성 화합물 또는 반응 부산물들을 제거한다. 대안적으로, 퍼지 가스는, 반응성 화합물들의 펄스들 사이의 시간 지연 동안 퍼지 가스만이 유동하도록 증착 프로세스 전반에 걸쳐 연속적으로 유동할 수 있다. 대안적으로, 반응성 화합물들은 원하는 막 또는 막 두께가 기판 표면 상에 형성될 때까지 펄싱된다. 어느 시나리오에서든, 화합물 A, 퍼지 가스, 화합물 B 및 퍼지 가스를 펄싱하는 ALD 프로세스가 사이클이다. 사이클은 화합물 A 또는 화합물 B로 시작하고, 미리 결정된 두께를 갖는 막을 달성할 때까지 사이클의 개개의 순서를 계속할 수 있다.
[0036] 공간 ALD 프로세스의 실시예에서, 제1 반응성 가스와 제2 반응성 가스는 반응 존에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 기판은, 기판 상의 임의의 주어진 지점이 제1 반응성 가스 및 제2 반응성 가스에 노출되도록, 가스 전달 장치에 대해 이동된다.
[0037] 공간 ALD 실시예들에서, (2개의 반응성 가스들만이 사용되는 경우) 기판의 하나의 부분이 제1 반응성 가스에 노출되는 동시에, 기판의 상이한 부분이 제2 반응성 가스에 노출되도록, 기판의 상이한 부분들에 대해 프로세스 가스들 각각에 대한 노출이 동시에 발생한다. 기판은, 기판 상의 각각의 지점이 제1 반응성 가스 및 제2 반응성 가스 둘 모두에 순차적으로 노출되도록, 가스 전달 시스템에 대해 이동된다. 시간-도메인 ALD 또는 공간 ALD 프로세스의 임의의 실시예에서, 시퀀스는 기판 표면 상에 미리 결정된 층 두께가 형성될 때까지 반복될 수 있다.
[0038] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은 기판 표면과 반응할 수 있는 임의의 가스성 종(gaseous species)을 지칭하기 위해 상호교환 가능하게 사용된다.
[0039] 본원에서 사용되는 바와 같은 "펄스" 또는 "도즈"는 프로세스 챔버 내로 간헐적으로 또는 비-연속적으로 도입되는 소스 가스의 양(quantity)을 지칭하는 것으로 의도된다. 각각의 펄스 내의 특정 화합물의 양은 펄스의 지속기간에 따라 시간이 지남에 따라 변할 수 있다. 특정 프로세스 가스는 단일 화합물, 또는 2개 이상의 화합물들의 혼합물/조합, 예컨대, 아래에서 설명되는 프로세스 가스들을 포함할 수 있다.
[0040] 각각의 펄스/도즈에 대한 지속기간들은 가변적이며, 예컨대 프로세싱 챔버의 볼륨 용량뿐만 아니라 프로세싱 챔버에 커플링된 진공 시스템의 능력들을 수용하도록 조정될 수 있다. 추가적으로, 프로세스 가스의 도즈 시간은 프로세스 가스의 유량, 프로세스 가스의 온도, 제어 밸브의 타입, 이용되는 프로세스 챔버의 타입뿐만 아니라, 기판 표면 상에 흡착되는 프로세스 가스의 성분들의 능력에 따라 변할 수 있다. 도즈 시간들은 또한, 형성되는 층의 타입 및 형성되는 디바이스의 기하학적 구조에 기반하여 변할 수 있다. 도즈 시간은, 실질적으로 기판의 전체 표면 상에 흡착/화학 흡착하고 그 상에 프로세스 가스 성분의 층을 형성하기에 충분한 볼륨의 화합물을 제공하기에 충분히 길어야 한다.
[0041] 420에서 티타늄 나이트라이드 막을 형성하는 프로세스는 기판을 제1 반응성 가스에 노출시킴으로써 시작될 수 있다. 제1 반응성 가스는 티타늄 전구체를 포함하고, 430에 도시된 바와 같이, 제1 시간 기간 동안 기판에 노출된다. 일부 실시예들에서, 티타늄 전구체는 플라즈마의 사용 없이 공급된다.
[0042] 티타늄 전구체는 추후의 반응을 위해 기판 표면 상에 티타늄 원자들의 층을 흡착시키기 위한 임의의 적절한 화합물일 수 있다. 일부 실시예들에서, 티타늄 전구체는 티타늄 테트라클로라이드(TiCl4)를 포함하거나 또는 티타늄 테트라클로라이드(TiCl4)를 필수적 요소로 하여 구성된다. 이와 관련하여 사용되는 바와 같이, 명시된 종을 필수적 요소로 하여 구성된 반응물은, 임의의 불활성 희석 가스들을 제외하고, 몰 기준으로 95%, 98%, 99% 또는 99.5%를 초과하는 명시된 종을 포함한다.
[0043] 기판이 티타늄 전구체에 노출되는 시간 기간은 티타늄 전구체가 기판 표면 위에 적절한 흡착 층을 형성할 수 있게 하기 위해 필요한 임의의 적절한 시간량일 수 있다. 예컨대, 프로세스 가스는 약 0.1초 내지 약 90초의 기간 동안 프로세스 챔버 내로 유동될 수 있다. 일부 시간-도메인 ALD 프로세스들에서, 티타늄 전구체는 약 0.1초 내지 약 90초 범위, 또는 약 0.5초 내지 약 60초 범위, 또는 약 1초 내지 약 30초 범위, 또는 약 2초 내지 약 25초 범위, 또는 약 3초 내지 약 20초 범위, 또는 약 4초 내지 약 15초 범위, 또는 약 5초 내지 약 10초 범위의 시간 동안 기판 표면에 노출된다.
[0044] 일부 실시예들에서, 불활성 가스는 추가적으로, 티타늄 전구체와 동시에 프로세스 챔버에 제공될 수 있다. 불활성 가스는 (예컨대, 희석 가스로서) 티타늄 전구체와 혼합되거나 또는 별개로 제공될 수 있고, 펄싱되거나 또는 일정한 유동을 가질 수 있다. 일부 실시예들에서, 불활성 가스는 약 1 내지 약 10000 sccm 범위의 일정한 유동으로 프로세싱 챔버 내로 유동된다. 불활성 가스는 임의의 불활성 가스, 예컨대, 이를테면, 아르곤, 헬륨, 네온 또는 이들의 조합들일 수 있다.
[0045] 기판을 티타늄 전구체에 노출시키면서 추가적인 프로세스 파라미터들이 또한 조절될 수 있다. 일부 프로세스 파라미터들(예컨대, 기판 온도, 챔버 압력)은 방법(400) 전체에 걸쳐 비교적 일정하게 유지될 수 있다.
[0046] 일부 실시예들에서, 프로세스 챔버는 약 0.2 내지 약 100 Torr, 또는 약 0.3 내지 약 90 Torr 범위, 또는 약 0.5 내지 약 80 Torr 범위, 또는 약 1 내지 약 50 Torr 범위의 압력으로 유지될 수 있다. 일부 실시예들에서, 프로세스 챔버는 50 Torr 이하, 25 Torr 이하, 약 10 Torr 이하, 약 5 Torr 이하, 또는 약 1 Torr 이하의 압력으로 유지된다.
[0047] 일부 실시예들에서, 프로세싱 동안 기판의 온도는 300 ℃ 내지 650 ℃ 범위 또는 400 ℃ 내지 500 ℃ 범위의 온도로 유지될 수 있다. 일부 실시예들에서, 기판은 650 ℃ 미만, 600 ℃ 미만, 550 ℃ 미만 또는 500 ℃ 미만의 온도로 유지된다.
[0048] 다음으로, 435에서, 프로세스 챔버는 (특히, 시간-도메인 ALD에서) 불활성 가스를 사용하여 퍼징될 수 있다. (이는, 공간 ALD 프로세스들에서는 반응성 가스들을 분리하는 가스 커튼들이 있기 때문에 필요하지 않을 수 있다.) 불활성 가스는 임의의 불활성 가스, 예컨대, 이를테면, 아르곤, 헬륨, 네온 등일 수 있다. 일부 실시예들에서, 불활성 가스는, 430에서 티타늄 전구체에 대한 기판의 노출 동안 프로세스 챔버에 제공되는 불활성 가스와 동일할 수 있거나 또는 대안적으로 상이할 수 있다. 불활성 가스가 동일한 실시예들에서, 퍼지는, 프로세스 챔버로부터의 제1 반응성 가스를 전환시켜서, 불활성 가스가 프로세스 챔버를 통해 유동하여서, 프로세스 챔버에서 임의의 과도한 제1 반응성 가스 성분들 또는 반응 부산물들을 퍼징할 수 있게 함으로써 수행될 수 있다. 일부 실시예들에서, 불활성 가스는 위에서 설명된 제1 반응성 가스와 함께 사용되는 것과 동일한 유량으로 제공될 수 있거나, 또는 일부 실시예들에서, 유량은 증가되거나 또는 감소될 수 있다. 예컨대, 일부 실시예들에서, 불활성 가스는 프로세스 챔버를 퍼징하기 위해 약 0 내지 약 10000 sccm의 유량으로 프로세스 챔버에 제공될 수 있다.
[0049] 공간 ALD에서, 퍼지 가스 커튼들이 반응성 가스들의 유동들 사이에서 유지되며, 프로세스 챔버를 퍼징할 필요가 없을 수 있다. 공간 ALD 프로세스의 일부 실시예들에서, 프로세스 챔버 또는 프로세스 챔버의 구역은 불활성 가스를 이용하여 퍼징될 수 있다.
[0050] 불활성 가스의 유동은 제1 반응성 가스와 제2 반응성 가스의 원하지 않는 가스상(gas phase) 반응들을 방지하기 위해 프로세스 챔버로부터 임의의 과도한 제1 반응성 가스 성분들 및/또는 과도한 반응 부산물들을 제거하는 것을 가능하게 할 수 있다.
[0051] 다음으로, 440에서, 기판은 선택적으로, 제2 시간 기간 동안 제2 반응성 가스에 노출된다. 제2 반응성 가스는 질소 전구체를 포함한다. 일부 실시예들에서, 질소 전구체는 기판 표면 상의 티타늄 전구체의 흡착된 층과 반응하여, 티타늄 나이트라이드 막을 증착한다.
[0052] 질소 전구체는 기판 상의 흡착된 티타늄 층과 반응하기 위한 임의의 적절한 전구체일 수 있다. 일부 실시예들에서, 질소 전구체는 암모니아를 포함하거나 또는 암모니아를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 질소 전구체는 플라즈마의 사용 없이 공급된다.
[0053] 기판이 질소 전구체에 노출되는 시간 기간은 질소 전구체가 기판 표면 또는 기판 표면 상의 재료들과 반응할 수 있게 하기 위해 필요한 임의의 적절한 시간량일 수 있다. 예컨대, 프로세스 가스는 약 0.1초 내지 약 90초의 기간 동안 프로세스 챔버 내로 유동될 수 있다. 일부 시간-도메인 ALD 프로세스들에서, 질소 전구체는 약 0.1초 내지 약 90초 범위, 또는 약 0.5초 내지 약 60초 범위, 또는 약 1초 내지 약 30초 범위, 또는 약 2초 내지 약 25초 범위, 또는 약 3초 내지 약 20초 범위, 또는 약 4초 내지 약 15초 범위, 또는 약 5초 내지 약 10초 범위의 시간 동안 기판 표면에 노출된다.
[0054] 일부 실시예들에서, 불활성 가스는 추가적으로, 질소 전구체와 동시에 프로세스 챔버에 제공될 수 있다. 불활성 가스는 (예컨대, 희석 가스로서) 질소 전구체와 혼합되거나 또는 별개로 제공될 수 있고, 펄싱되거나 또는 일정한 유동을 가질 수 있다. 일부 실시예들에서, 불활성 가스는 약 1 내지 약 10000 sccm 범위의 일정한 유동으로 프로세싱 챔버 내로 유동된다. 불활성 가스는 임의의 불활성 가스, 예컨대, 이를테면, 아르곤, 헬륨, 네온 또는 이들의 조합들일 수 있다.
[0055] 다음으로, 445에서, 프로세스 챔버는 불활성 가스를 사용하여 퍼징될 수 있다. 445에서의 퍼지 단계는 435에서 위에서 설명된 퍼지 단계와 유사하다.
[0056] 다음으로, 450에서, 기판은 플라즈마 가스로부터 생성된 다이렉트 마이크로파 플라즈마에 노출된다. 일부 실시예들에서, 플라즈마 가스는 프로세싱 챔버 내로 유동된 다음 점화되어 다이렉트 플라즈마를 형성한다. 일부 실시예들에서, 플라즈마는 유전체 공진 캐비티를 갖는 애플리케이터를 포함하는 하나 이상의 마이크로파 소스들을 이용하여 점화된다. 예시적인 마이크로파 소스가 위에서 설명되었다.
[0057] 이론에 얽매이지 않으면서, 본원에서 설명 및 활용되는 마이크로파 소스들은 기판 표면에 매우 근접하게 플라즈마를 생성할 수 있는 것으로 여겨진다. 일부 실시예들에서, 다이렉트 마이크로파 플라즈마는 기판 표면의 25 mm, 20 mm, 15 mm, 10 mm 또는 5 mm 내에서 생성된다. 일부 실시예들에서, 애플리케이터 하우징(355)의 개방 단부와 기판 표면 사이의 거리는 100 mm 이하, 80 mm 이하, 60 mm 이하 또는 50 mm 이하이다.
[0058] 본원에서 설명되는 마이크로파 소스들은 다른 플라즈마 소스들보다 더 높은 플라즈마 밀도 및/또는 더 낮은 이온 에너지를 갖는 플라즈마를 생성할 수 있다. 예컨대, 마이크로파 모듈들은 통상적인 RF 플라즈마 프로세싱 시스템들보다 대략 5배 이상 더 큰 전력 밀도를 가능하게 할 수 있다. 예컨대, 플라즈마 강화 화학 기상 증착 프로세스로의 통상적인 전력은 대략 3,000 W이고, 300 mm 직경 웨이퍼에 대해 대략 4 W/cm²의 전력 밀도를 제공한다. 대조적으로, 일부 실시예들에 따른 마이크로파 모듈들은 대략 24 W/cm²의 전력 밀도를 제공하기 위해 4 cm 직경 애플리케이터를 갖는 300 W 전력 증폭기를 사용할 수 있다.
[0059] 일부 실시예들에서, 다이렉트 플라즈마는 250 W 내지 5000 W 범위, 400 W 내지 4000 W 범위, 또는 약 500 W 내지 3000 W 범위의 전력을 갖는다. 일부 실시예들에서, 다이렉트 플라즈마는 400 W 이상, 500 W 이상 또는 600 W 이상의 전력을 갖는다.
[0060] 이론에 얽매이지 않으면서, 일부 실시예들에서, 다이렉트 플라즈마는 티타늄 전구체와 반응하여, 티타늄 나이트라이드 막을 형성한다. 일부 실시예들에서, 다이렉트 플라즈마는 티타늄 전구체(430에서 공급됨) 및 질소 전구체(440 및/또는 460에서 공급됨)와 반응하여, 티타늄 나이트라이드 막을 형성한다. 일부 실시예들에서, 다이렉트 플라즈마는, 티타늄 전구체(430에서 공급됨)와 반응하여 티타늄 나이트라이드 막을 형성하는 N* 및/또는 NH* 라디칼들을 함유한다. 일부 실시예들에서, 다이렉트 플라즈마는, 불순물 레벨들, 비저항 및 막 응력을 감소시키기 위해, 티타늄 전구체(430에서 공급됨)와 질소 전구체(440 및/또는 460에서 공급됨)의 반응에 의해 형성된 티타늄 나이트라이드 막과 반응한다.
[0061] 플라즈마 가스는 수소 가스(H2), 아르곤, 암모니아 또는 질소 가스(N2) 중 하나 이상을 포함한다. 일부 실시예들에서, 플라즈마 가스는 아르곤 또는 수소 가스(H2) 중 하나 이상을 더 포함한다. 일부 실시예들에서, 플라즈마 가스는 질소 가스(N2), 수소 가스(H2) 또는 암모니아 중 적어도 하나, 그리고 아르곤을 포함한다. 일부 실시예들에서, 플라즈마 가스는 아르곤 또는 암모니아 중 적어도 하나, 그리고 질소 가스(N2) 및 수소 가스(H2)를 포함한다.
[0062] 일부 실시예들에서, 450에서, 기판은 복수의 다이렉트 플라즈마들에 노출된다. 일부 실시예들에서, 제1 다이렉트 플라즈마는 제1 플라즈마 가스로부터 형성되는 한편, 제2 다이렉트 플라즈마는 제2 플라즈마 가스로부터 형성된다. 일부 실시예들에서, 제1 다이렉트 플라즈마는 NH* 라디칼들이 없는 N* 라디칼들을 포함한다. 일부 실시예들에서, 제1 다이렉트 플라즈마 및 제2 다이렉트 플라즈마 둘 모두는 N* 및 NH* 라디칼들을 포함한다. 일부 실시예들에서, 제1 플라즈마 가스 및 제2 플라즈마 가스는 수소 가스(H2), 아르곤, 암모니아 또는 질소 가스(N2) 중 하나 이상을 포함한다. 일부 실시예들에서, 제1 플라즈마 가스는 질소 가스(N2) 및 아르곤을 포함하거나, 또는 질소 가스(N2) 및 아르곤을 필수적 요소로 하여 구성된다. 일부 실시예들에서, 제1 플라즈마 가스 및/또는 제2 플라즈마 가스는 아르곤 및 암모니아, 또는 아르곤, 질소 가스(N2) 및 수소 가스(H2)를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 제1 플라즈마 가스 및/또는 제2 플라즈마 가스는 아르곤, 암모니아, 질소 가스(N2) 및 수소 가스(H2)를 포함하거나 또는 이들을 필수적 요소로 하여 구성된다.
[0063] 다음으로, 455에서, 프로세스 챔버는 불활성 가스를 사용하여 퍼징될 수 있다. 455에서의 퍼지 단계는 435에서 위에서 설명된 퍼지 단계와 유사하다.
[0064] 다음으로, 460에서, 기판은 선택적으로, 제3 시간 기간 동안 제3 프로세스 가스에 노출된다. 제3 프로세스 가스는 질소 전구체를 포함한다. 제3 프로세스 가스에서 활용되는 질소 전구체는 제2 반응성 가스에서 활용되는 질소 전구체와 동일하거나 또는 상이할 수 있다. 제2 반응성 가스와 제3 프로세스 가스에서 활용되는 질소 전구체가 동일한 경우, 상이한 프로세싱 단계들은 질소 전구체의 제1 펄스 및 질소 전구체의 제2 펄스로서 설명될 수 있다.
[0065] 이론에 얽매이지 않으면서, 일부 실시예들에서, 질소 전구체는 기판 표면 상의 티타늄 전구체의 임의의 남아 있는 흡착된 층과 반응하여, 티타늄 나이트라이드 막을 증착한다. 일부 실시예들에서, 질소 전구체는 후속하는 프로세스 사이클들에서의 가능한 반응을 위해 기판 표면 상에 흡착될 수 있다.
[0066] 일부 실시예들에서, 제3 프로세스 가스의 질소 전구체는 암모니아를 포함하거나 또는 암모니아를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 질소 전구체는 플라즈마의 사용 없이 공급된다.
[0067] 다음으로, 465에서, 프로세스 챔버는 불활성 가스를 사용하여 퍼징될 수 있다. 465에서의 퍼지 단계는 435에서 위에서 설명된 퍼지 단계와 유사하다.
[0068] 도 3에 도시된 프로세싱 방법의 일반적인 실시예가 반응성 가스들의 2개, 3개 또는 4개의 펄스들을 포함하지만, 이는 단지 예시적이며, 반응성 가스들의 추가적인 펄스들이 사용될 수 있다는 것이 이해될 것이다. 420의 서브 프로세스들은 사이클을 포함한다. 반응성 가스들이 프로세싱 챔버의 퍼지에 의해 분리되는 한, 사이클이 임의의 순서로 수행될 수 있다.
[0069] 다음으로, 470에서, 티타늄 나이트라이드 막이 미리 결정된 두께를 달성했는지 여부가 결정된다. 미리 결정된 두께가 달성되지 않았다면, 방법(400)은 미리 결정된 두께에 도달할 때까지 티타늄 나이트라이드 막을 계속 형성하기 위해 420으로 되돌아간다. 일단 미리 결정된 두께에 도달했다면, 방법(400)은 선택적인 추가적인 프로세싱(예컨대, 금속 막의 벌크 증착)을 위해 480으로 진행되거나 또는 종료될 수 있다.
[0070] 증착된 티타늄 나이트라이드 막들은 다른 플라즈마 프로세스들 및/또는 열적 프로세스들에 의해 증착된 티타늄 나이트라이드 막들보다 우세하다. 일부 실시예들에서, 본 개시내용의 티타늄 나이트라이드 막들은 미리 결정된 티타늄:질소(Ti:N) 비를 갖는다. 일부 실시예들에서, 미리 결정된 Ti:N 비는 약 1 이상이다. 일부 실시예들에서, 미리 결정된 비는 약 1 이하이다.
[0071] 일부 실시예들에서, 본 개시내용의 티타늄 나이트라이드 막들은 더 낮은 염소(Cl-) 함량을 갖는다. 일부 실시예들에서, 본 개시내용의 티타늄 나이트라이드 막들은 더 낮은 막 응력을 갖는다. 일부 실시예들에서, 본 개시내용의 티타늄 나이트라이드 막들은 더 낮은 비저항을 갖는다.
[0072] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정 특징들, 구조들, 재료들 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.
[0073] 본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 당업자들은, 설명된 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들을 예시한다는 것을 이해할 것이다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 행해질 수 있다는 것이 당업자들에게 자명할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함할 수 있다.

Claims (20)

  1. 티타늄 전구체, 및 플라즈마 가스로부터 생성된 다이렉트 마이크로파 플라즈마(direct microwave plasma)에 기판 표면을 순차적으로 노출시키는 단계를 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  2. 제1 항에 있어서,
    상기 다이렉트 마이크로파 플라즈마는 상기 기판 표면의 20 mm 내에서 생성되는,
    티타늄 나이트라이드를 증착하는 방법.
  3. 제1 항에 있어서,
    상기 다이렉트 마이크로파 플라즈마는 유전체 공진 캐비티를 갖는 애플리케이터를 포함하는 하나 이상의 마이크로파 소스들에 의해 생성되는,
    티타늄 나이트라이드를 증착하는 방법.
  4. 제3 항에 있어서,
    상기 하나 이상의 마이크로파 소스들과 상기 기판 표면 사이의 거리는 50 mm 이하인,
    티타늄 나이트라이드를 증착하는 방법.
  5. 제1 항에 있어서,
    상기 플라즈마 가스는 암모니아 또는 질소 가스(N2)를 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  6. 제4 항에 있어서,
    상기 플라즈마 가스는 아르곤 또는 수소 중 하나 이상을 더 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  7. 제1 항에 있어서,
    상기 플라즈마 가스에 전달되는 마이크로파 플라즈마 전력은 500 W 이상인,
    티타늄 나이트라이드를 증착하는 방법.
  8. 제1 항에 있어서,
    상기 기판 표면은 600 ℃ 이하의 온도로 유지되는,
    티타늄 나이트라이드를 증착하는 방법.
  9. 티타늄 전구체, 암모니아 가스, 및 플라즈마 가스로부터 생성된 다이렉트 마이크로파 플라즈마에 기판 표면을 순차적으로 노출시키는 단계를 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  10. 제9 항에 있어서,
    상기 다이렉트 마이크로파 플라즈마는 유전체 공진 캐비티를 갖는 애플리케이터를 포함하는 하나 이상의 마이크로파 소스들에 의해 생성되는,
    티타늄 나이트라이드를 증착하는 방법.
  11. 제9 항에 있어서,
    상기 플라즈마 가스는 아르곤을 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  12. 제11 항에 있어서,
    상기 플라즈마 가스는 수소 가스(H2), 암모니아 또는 질소 가스(N2)를 더 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  13. 제9 항에 있어서,
    상기 플라즈마 가스는 수소 가스(H2) 및 질소 가스(N2)를 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  14. 제13 항에 있어서,
    상기 플라즈마 가스는 아르곤 또는 암모니아 중 적어도 하나를 더 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  15. 티타늄 전구체, 제1 플라즈마 가스로부터 생성된 제1 다이렉트 플라즈마, 및 제2 플라즈마 가스로부터 생성된 제2 다이렉트 마이크로파 플라즈마에 기판 표면을 순차적으로 노출시키는 단계를 포함하는,
    티타늄 나이트라이드를 증착하는 방법.
  16. 제15 항에 있어서,
    상기 제1 플라즈마 가스는 질소 가스(N2)를 필수적 요소로 하여 구성되는(consists essentially of),
    티타늄 나이트라이드를 증착하는 방법.
  17. 제15 항에 있어서,
    상기 제1 플라즈마 가스는 질소 가스 및 아르곤을 필수적 요소로 하여 구성되는,
    티타늄 나이트라이드를 증착하는 방법.
  18. 제15 항에 있어서,
    상기 제2 플라즈마 가스는 암모니아 및 아르곤을 필수적 요소로 하여 구성되는,
    티타늄 나이트라이드를 증착하는 방법.
  19. 제15 항에 있어서,
    상기 제2 플라즈마 가스는 질소 가스, 수소 가스 및 아르곤을 필수적 요소로 하여 구성되는,
    티타늄 나이트라이드를 증착하는 방법.
  20. 제15 항에 있어서,
    상기 제2 플라즈마 가스는 질소 가스, 수소 가스, 암모니아 및 아르곤을 필수적 요소로 하여 구성되는,
    티타늄 나이트라이드를 증착하는 방법.
KR1020227007854A 2019-08-13 2020-08-11 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드 KR20220051192A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962886334P 2019-08-13 2019-08-13
US62/886,334 2019-08-13
PCT/US2020/045741 WO2021030332A1 (en) 2019-08-13 2020-08-11 Peald titanium nitride with direct microwave plasma

Publications (1)

Publication Number Publication Date
KR20220051192A true KR20220051192A (ko) 2022-04-26

Family

ID=74568414

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227007854A KR20220051192A (ko) 2019-08-13 2020-08-11 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드

Country Status (4)

Country Link
US (2) US11823870B2 (ko)
KR (1) KR20220051192A (ko)
TW (1) TW202113130A (ko)
WO (1) WO2021030332A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220167017A (ko) * 2021-06-11 2022-12-20 주성엔지니어링(주) 배리어층의 형성 방법

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US6338880B1 (en) * 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US8742308B2 (en) 2010-12-15 2014-06-03 Teledyne Scientific & Imaging, Llc Imaging array device structure with separate charge storage capacitor layer
US9036956B2 (en) 2012-02-17 2015-05-19 Haynes and Boone, LLP Method of fabricating a polymer waveguide
US8975184B2 (en) * 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9177824B2 (en) * 2013-06-12 2015-11-03 Applied Materials, Inc. Photoresist treatment method by low bombardment plasma
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9245793B2 (en) * 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
JP6423953B2 (ja) 2014-08-26 2018-11-14 株式会社村田製作所 ペロブスカイト誘電体層を有する巻回型コンデンサおよびその製造方法
WO2016031077A1 (en) 2014-08-26 2016-03-03 Murata Manufacturing Co., Ltd. Capacitor and process for producing thereof
WO2017134698A1 (en) 2016-02-04 2017-08-10 Murata Manufacturing Co., Ltd. Roll-up capacitor and process for producing the same
US10151029B2 (en) * 2016-08-08 2018-12-11 Tokyo Electron Limited Silicon nitride film forming method and silicon nitride film forming apparatus
US10748745B2 (en) * 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
US10707058B2 (en) 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
US11037764B2 (en) * 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
KR102369630B1 (ko) 2018-01-03 2022-03-03 삼성전자주식회사 메모리 소자 및 이의 제조방법
US11393661B2 (en) * 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
KR102084608B1 (ko) 2018-04-25 2020-03-04 한국과학기술연구원 유전막 및 이를 구비하는 반도체 메모리 소자와 이들의 형성 방법

Also Published As

Publication number Publication date
US11823870B2 (en) 2023-11-21
US20230253186A1 (en) 2023-08-10
TW202113130A (zh) 2021-04-01
US20210050186A1 (en) 2021-02-18
WO2021030332A1 (en) 2021-02-18

Similar Documents

Publication Publication Date Title
US9012336B2 (en) Method for conformal treatment of dielectric films using inductively coupled plasma
JP4439860B2 (ja) 半導体基板上への成膜方法
KR20200143254A (ko) 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR101244850B1 (ko) 인장 응력 및 압축 응력을 받은 반도체용 재료
US6756318B2 (en) Nanolayer thick film processing system and method
US7816205B2 (en) Method of forming non-volatile memory having charge trap layer with compositional gradient
US7902018B2 (en) Fluorine plasma treatment of high-k gate stack for defect passivation
US9595466B2 (en) Methods for etching via atomic layer deposition (ALD) cycles
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US20120248583A1 (en) Method for forming germanium oxide film and material for electronic device
US20150140834A1 (en) al2o3 surface nucleation preparation with remote oxygen plasma
JP2005150637A (ja) 処理方法及び装置
KR102538510B1 (ko) 얇은 산화하프늄 막들 중의 도펀트 농도의 튜닝성
WO2020072203A2 (en) A thin film treatment process
US20230253186A1 (en) Peald titanium nitride with direct microwave plasma
US20050227500A1 (en) Method for producing material of electronic device
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
WO2024112433A1 (en) Batch processing chambers for plasma-enhanced deposition
US8426288B2 (en) Method for improving capacitance uniformity in a MIM device
US9373516B2 (en) Method and apparatus for forming gate stack on Si, SiGe or Ge channels
TW201905228A (zh) 用於無孔隙之氮化矽縫隙填充之方法與設備
WO2021055780A1 (en) Peald nitride films
US20210388497A1 (en) Thin layer deposition with plasma pulsing
US11359281B2 (en) Selective deposition of SiCON by plasma ALD

Legal Events

Date Code Title Description
E902 Notification of reason for refusal