KR102298038B1 - 금속 합금 막을 증착하는 방법들 - Google Patents

금속 합금 막을 증착하는 방법들 Download PDF

Info

Publication number
KR102298038B1
KR102298038B1 KR1020157031083A KR20157031083A KR102298038B1 KR 102298038 B1 KR102298038 B1 KR 102298038B1 KR 1020157031083 A KR1020157031083 A KR 1020157031083A KR 20157031083 A KR20157031083 A KR 20157031083A KR 102298038 B1 KR102298038 B1 KR 102298038B1
Authority
KR
South Korea
Prior art keywords
metal
substrate
alloy film
depositing
reducing agent
Prior art date
Application number
KR1020157031083A
Other languages
English (en)
Other versions
KR20160024846A (ko
Inventor
데이비드 톰슨
제프리 더블유. 안티스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160024846A publication Critical patent/KR20160024846A/ko
Application granted granted Critical
Publication of KR102298038B1 publication Critical patent/KR102298038B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

제 1 금속과 제 2 금속의 합금 또는 혼합물을 형성하기 위해, 기판 상에 제 1 금속을 제공하기 위한 금속 전구체, 및 기판 상에 제 2 금속을 증착하기 위한 유기금속 환원제에 기판의 적어도 일부를 노출시키는 단계를 포함하는, 막들을 증착하는 방법들이 제공된다. 금속 전구체 및 유기금속 환원제에 대한 노출은 순서대로 또는 동시에 이루어질 수 있다.

Description

금속 합금 막을 증착하는 방법들{METHODS OF DEPOSITING A METAL ALLOY FILM}
[0001] 본 발명의 실시예들은 일반적으로, 기판 표면 상에 막을 증착하기 위한 방법들 및 환원제들에 관한 것이다. 특히, 본 발명의 실시예들은, 기판 상에 티타늄 막을 증착하기 위한 방법들 및 환원제들에 관한 것이다.
[0002] 기판 표면 상의 박막들의 증착은, 반도체 프로세싱, 확산 배리어 코팅들, 및 자기 판독/기록 헤드들을 위한 유전체들을 포함하는 다양한 산업들에서 중요한 프로세스이다. 반도체 산업에서, 특히, 소형화는, 고 애스펙트 구조(high aspect structure)들 상에 등각(conformal) 코팅들을 생성하기 위해, 박막 증착의 원자 레벨 제어를 요구한다. 예컨대, TiAl은 45 nm 내지 20 nm 노드들에서의 평면(planar) 고-k 금속 게이트들에서 사용되었던 막이다.
[0003] 금속 막들(예컨대, 티타늄)은, 화학 기상 증착(CVD) 또는 순환 증착 프로세스들에 의해 증착될 수 있다. 예컨대, 기판은 환원제와 티타늄 유기금속 전구체의 혼합물에 노출될 수 있다. 환원제와 티타늄 유기금속 전구체의 반응은 기판 표면 상의 금속성 티타늄의 증착을 발생시킨다. 원자 층 증착(ALD)과 같은 순환 프로세스에서, 기판은, 예컨대, 기판의 표면에 흡착 또는 화학흡착(chemisorb)하는 티타늄 유기금속 전구체에 노출된다. 티타늄 유기금속 착물(complex)은, 적합한 환원제에 의해, 금속성 티타늄으로 환원될 수 있다. ALD 프로세스들에서, 금속 전구체 및 환원제는, CVD 프로세스에서 사용되는 가스 상 반응들을 방지하기 위해, 기판에 개별적으로 노출된다. 원자 층 증착 프로세스들은 알려진 두께를 갖는 막의 제어되는 증착을 발생시킬 수 있다.
[0004] 티타늄 합금 막들의 증착은 종종, 유기금속 환원제에 의한, 염화물을 함유하는 티타늄 전구체의 환원에 의해 달성된다. TiAl 합금을 증착하기 위한 하나의 일반적인 프로세스는, 알루미늄 및 티타늄을 포함하는 막을 제공하기 위해, 유기알루미늄(organoaluminum) 전구체와 티타늄 사염화물(TiCl4)의 반응을 수반한다. 그러나, 상이한 금속간(intermetallic) 막들을 증착하기 위한 방법들 및 전구체들에 대한 필요성이 본 기술분야에 존재한다.
[0005] 본 발명의 하나 또는 그 초과의 실시예들은 프로세싱 챔버에서 합금 막을 증착하는 방법들에 관한 것이다. 프로세싱 챔버 내에 기판이 위치된다. 기판 상에 제 1 금속을 제공하기 위해, 금속 할로겐화물 전구체에 기판의 적어도 일부가 노출된다. 프로세싱 챔버로부터, 반응되지 않은 금속 할로겐화물 전구체가 퍼징된다(purged). 기판 상에 제 2 금속을 증착하여, 제 1 금속과 제 2 금속의 합금을 생성하기 위해, 제 1 금속과 상이한 제 2 금속을 포함하는 유기금속 환원제에 기판 표면의 일부가 노출된다.
[0006] 본 발명의 부가적인 실시예들은 프로세싱 챔버에서 기판 상에 합금 막을 증착하는 방법들에 관한 것이다. 프로세싱 챔버 내에 기판이 위치된다. 제 1 금속 및 제 2 금속을 포함하는 합금 막을 증착하기 위해, 제 1 금속을 제공하기 위한 금속 할로겐화물 전구체, 및 제 1 금속과 상이한 제 2 금속을 포함하는 유기금속 환원제가 프로세싱 챔버 내로 동시에 유동된다.
[0007] 본 발명의 추가적인 실시예들은 프로세싱 챔버에서 기판 상에 합금 막을 증착하는 방법들에 관한 것이다. 프로세싱 챔버 내에 기판이 위치된다. 기판의 일부와 접촉하기 위해, 제 1 금속을 제공하기 위한 금속 할로겐화물 전구체가 프로세싱 챔버 내로 유동된다. 기판의 일부와 접촉하기 위해, 제 1 금속과 상이한 제 2 금속을 포함하는 유기금속 환원제가 프로세싱 챔버 내로 유동된다. 금속 할로겐화물 및 유기금속 환원제는, 동시에, 프로세싱 챔버의 상이한 구역들 내로 유동되고, 비활성 가스 커튼(curtain)에 의해 분리되어, 금속 할로겐화물과 유기금속 환원제의 가스 상 반응이 방지된다.
[0008] 본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안에 프로세싱이 수행되는, 임의의 기판 또는 재료 표면, 또는 기판 상에 형성된 막을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 예컨대, 실리콘, 실리콘 산화물, 스트레인드(strained) 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 예컨대, 금속들, 금속 질화물들, 금속 합금들, 유전체들, 고-k 유전체들, 및 다른 전도성 재료들을 포함한다. 기판들은, 제한되지 않으면서, 반도체 웨이퍼들을 포함한다. 기판들은, 예컨대, 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화(hydroxylate), 어닐링, 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대한 직접적인 막 프로세싱에 부가하여, 개시되는 막 프로세싱 단계들 중 임의의 것이 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥이 나타내는 바와 같이, 그러한 하층을 포함하도록 의도된다. "기판 표면"이라는 용어는, 전체 기판 표면, 또는 기판 표면의 일부를 지칭할 수 있다.
[0009] 기판들은, 다양한 치수들, 예컨대 200 mm 또는 300 mm 직경의 웨이퍼들, 뿐만 아니라, 직사각형 또는 정사각형 페인(pane)들을 가질 수 있다. 본 발명의 실시예들이 유용할 수 있는 기판들은, 반도체 웨이퍼들, 예컨대, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, III-V 재료들, 예컨대, GaAs, GaN, InP 등, 및 패터닝된 또는 패터닝되지 않은 웨이퍼들을 포함하지만, 이에 제한되지는 않는다. 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다.
[0010] 본 발명의 다양한 실시예들에 따르면, N-금속 막들로서 적합할 수 있는 금속 합금들의 증착에 관련된 방법들이 제공된다. 하나 또는 그 초과의 실시예들에서, 합금 막은 조정가능한 일 함수(work function)를 갖는다.
[0011] 막 증착은, 화학 기상 증착(CVD) 및 원자 층 증착(ALD)을 포함하지만 이에 제한되지는 않는 임의의 적합한 기법에 의해 이루어질 수 있다. 하나 또는 그 초과의 실시예들에서, 제공되는 막들은, 저 탄소 함유량을 갖는, TiAl, TaAl, 또는 HfAl 중 하나 또는 그 초과를 포함한다.
[0012] 하나 또는 그 초과의 실시예들에서, 막들은 ALD, CVD, PVD 프로세스를 사용하여 증착된다. CVD 프로세스에 관련된 실시예들에서, 기판은, 적절하게, 연속적으로 동시에, 또는 실질적으로 동시에, 하나 초과의 전구체에 노출될 수 있다. 본원에서 사용되는 바와 같이, "실질적으로 동시에"라는 용어는, 하나의 컴포넌트와 다른 컴포넌트가 함께 유동되지(co-flowed) 않는 약간의 시간이 존재할 수 있지만, 하나의 컴포넌트의 대부분의 유동이 다른 컴포넌트의 유동과 오버랩핑(overlap)하는 것을 의미한다.
[0013] 다른 실시예들에서, 막들은, 원자 층 증착(ALD) 프로세스를 사용하여 증착된다. 따라서, 일 실시예에서, 2개 또는 그 초과의 전구체들과의 기판 표면의 접촉은 순차적으로 또는 실질적으로 순차적으로 발생한다. 본원에서 사용되는 바와 같이, "실질적으로 순차적으로"는, 약간의 오버랩이 존재할 수 있지만, 하나의 컴포넌트의 대부분의 유동이 다른 컴포넌트의 유동과 동시에 발생하지 않는 것을 의미한다.
[0014] ALD 프로세스의 예시적인 실시예들에서, 예컨대 TiCl4와 같은 제 1 화학 전구체("A")가 제 1 반 반응에서 기판 표면으로 펄싱(pulse) 또는 유동된다. 과도한 반응물들 및 반응 부산물들은, 전형적으로, 진공배기-펌프 다운(evacuation-pump down)에 의해, 그리고/또는 비활성 퍼지 가스를 유동시킴으로써 제거된다. 그 후에, 예컨대 알루미늄 유기금속 착물과 같은 전구체 "B"가 표면에 전달되고, 여기에서, 제 1 반 반응의 전구체들이 "B" 전구체와 반응되어, 혼합된 금속 막이 생성된다. "B" 공반응물은 또한, 포화(saturating) 제 2 반 반응을 제공하기 위해, 아래놓인(underlying) 반응성 종과 자기-포화(self-saturating) 결합들을 형성한다. 제 2 퍼지 기간은 전형적으로, 사용되지 않은 반응물들 및 반응 부산물들을 제거하기 위해 활용된다. 그 후에, "C" 전구체가, 아래놓인 종과 반응하기 위해 유동될 수 있고, 그 후에, 과도한 "C" 전구체가 제거된다. 그 후에, "A", "B", 및 "C" 전구체들 및 퍼지 가스들이 다시 유동될 수 있다.
[0015] 본 발명의 몇몇 실시예들은 합금 막을 증착하는 방법들에 관한 것이다. 프로세싱 챔버(예컨대, ALD 또는 CVD 챔버) 내에 기판이 위치된다. 기판 상에 제 1 금속을 제공하기 위해, 금속 전구체 또는 제 1 금속 전구체에 기판의 적어도 일부가 노출된다. "기판의 일부"에 대한 언급은, 전체 기판 표면을 포함하는 임의의 사이즈의 부분을 의미할 수 있다. 프로세싱 챔버로부터, 반응되지 않은 금속 전구체 또는 제 1 금속 전구체가 퍼징된다. 그 후에, 기판 상에 제 2 금속을 증착하여, 증착되고 있는 금속들의 합금 또는 혼합물을 생성하기 위해, 금속 전구체에 노출되었던 기판의 일부가 유기금속 환원제에 노출된다. 금속 전구체에서의 금속과 유기금속 환원제에서의 금속은 동일한 금속 또는 상이한 금속들일 수 있다. 몇몇 실시예들에서, 금속 전구체로부터의 제 1 금속과 유기금속 환원제로부터의 제 2 금속은 상이하여, 혼합된 금속 또는 합금 막의 증착을 발생시킨다. 예컨대, 금속 전구체는 티타늄을 함유할 수 있고, 유기금속 환원제는 알루미늄을 함유할 수 있어서, 티타늄 알루미늄 합금 막의 형성이 발생될 수 있다. 몇몇 실시예들에서, 유기금속 환원제, 또는 더 구체적으로, 반응되지 않은 유기금속 환원제 및 반응 부산물들이 프로세싱 챔버로부터 퍼징된다.
[0016] 금속 전구체는 임의의 적합한 금속 원자를 포함하는 임의의 적합한 화합물일 수 있다. 적합한 금속 원자들은, 전이 금속들, 3 족 내지 6 족의 전이 금속들을 포함하지만 이에 제한되지는 않는다. 몇몇 실시예들에서, 금속 전구체의 금속은, 티타늄, 탄탈, 텅스텐, 및 알루미늄 중 하나 또는 그 초과이다. 하나 또는 그 초과의 실시예들에서, 금속 전구체의 금속은 티타늄이다. 금속 전구체는, 기판 상에 금속을 증착하도록 환원될 수 있는 화합물일 수 있다. 예컨대, 금속 전구체는 금속 할로겐화물일 수 있다. 하나 또는 그 초과의 실시예들에서, 금속 할로겐화물은, 염화물, 플루오르화물, 브롬화물, 요오드화물, 및 이들의 혼합물들 중 하나 또는 그 초과이다. 몇몇 실시예들에서, 금속 할로겐화물은 티타늄 사염화물을 포함한다. 적합한 금속 할로겐화물들은, 전이 금속 할로겐화물들, 3 족 내지 6 족 전이 금속 할로겐화물들을 포함한다. 몇몇 6 족 금속 할로겐화물들에 대해, 금속 할로겐화물 전구체는 포화되지 않은 금속 할로겐화물(예컨대, WCl5)을 포함할 수 있다.
[0017] 유기금속 환원제는, 금속을 증착하도록 금속 전구체를 환원시킬 수 있는 임의의 적합한 화합물일 수 있다. 유기금속 환원제에서의 금속은, 금속 전구체의 금속과 동일한 금속을 포함하는 임의의 적합한 금속일 수 있다. 몇몇 실시예들에서, 금속 전구체는 제 1 금속을 갖고, 유기금속 환원제는 제 2 금속을 갖고, 여기에서, 제 1 금속 및 제 2 금속 양자 모두는 동일한 금속이다. 예컨대, 금속들 양자 모두가 티타늄일 수 있어서, 실질적으로 순수한 티타늄 막의 증착을 발생시킬 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 순수한"이라는 용어는, 원자 기초로 약 5 % 미만의 불순물들, 또는 원자 기초로 약 2 % 미만의 불순물들, 또는 원자 기초로 약 1 % 미만의 불순물들이 존재하는 것을 의미한다. 몇몇 실시예들에서, 금속 전구체는 제 1 금속을 갖고, 유기금속 환원제는 제 1 금속과 상이한 제 2 금속을 갖는다. 예컨대, 제 1 금속은 티타늄일 수 있고, 제 2 금속은 알루미늄일 수 있어서, 혼합된 티타늄-알루미늄 막 또는 티타늄-알루미늄 합금의 증착을 발생시킬 수 있다.
[0018] 제 2 금속이라고 또한 지칭되는, 유기금속 전구체에서의 금속은 임의의 적합한 금속일 수 있다. 적합한 금속들은, 게르마늄, 인듐, 주석, 안티몬, 탈륨, 납, 비스무트, 아연, 알루미늄, 갈륨, 및 이들의 혼합물들을 포함하지만 이에 제한되지는 않는다.
[0019] 유기금속 환원제의 유기 컴포넌트는, 예컨대, 제 1 금속과의 반응의 레이트, 및 환원제의 환원 전위에 현저한 영향을 미칠 수 있다. 몇몇 실시예들에서, 유기금속 환원제는 금속 알킬을 포함한다. 하나 또는 그 초과의 실시예들에서, 제 2 금속의 알킬은, 디메틸-금속 수소화물, 디에틸하이드리도-금속(diethylhydrido-metal), 메틸디하이드로-금속(methyldihydro-metal), 및 화학식 [(CxHy)z-aMHa]n의 알킬 금속 수소화물들 중 하나 또는 그 초과를 포함할 수 있고, 여기에서, x는 1 내지 3의 값을 갖고, y는 2x+1의 값을 갖고, z는 2 내지 5의 값을 갖고, a는 1 내지 2의 값을 갖고, n은 1 내지 4의 값을 갖는다. 몇몇 실시예들에서, 제 2 금속의 알킬은 아민을 포함한다. 유기금속 환원제는 임의의 적합한 유기금속 환원제일 수 있다. 몇몇 실시예들의 유기금속 환원제는, 테트라에틸 주석, 트리메틸 인듐, 트리메틸 갈륨, 트리메틸 알루미늄, 알란(alane)들, 금속 알란들(예컨대, 알루미늄 알란), 및 디에틸 아연 중 하나 또는 그 초과를 포함한다.
[0020] 제 1 금속(예컨대, 금속 할로겐화물)에 대한 기판의 노출은, 유기금속 환원제에 대한 노출과 동시에 이루어질 수 있거나, 또는 그로부터 분리될 수 있다. 몇몇 실시예들에서, 제 1 금속 전구체에 대한 노출은, 유기금속 환원제에 대한 기판의 노출과 적어도 부분적으로 오버랩핑한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "적어도 부분적으로 오버랩핑"이라는 용어는, 금속 전구체 및 유기금속 환원제 양자 모두가, 프로세싱 기간의 적어도 일부 부분 동안, 동시에, 프로세싱 챔버 내로 기판 표면으로 유동되는 것을 의미한다. 예컨대, 통상적인 CVD 프로세스에서, 금속 전구체 및 유기금속 환원제 양자 모두는, 프로세싱 챔버 내로 동시에 유동될 수 있고, 기판 표면 상의 증착 전에 가스 상으로 혼합/반응하게 허용될 수 있다. 덜 통상적인 방법에서, 금속 전구체 및 유기금속 환원제는, 가스들 중 하나만이 시간 기간 동안 기판과 접촉하고, 가스들 양자 모두가 개별적인 시간 기간 동안 기판과 접촉하거나 또는 혼합되도록, 프로세싱 챔버 내로 개별적으로 유동될 수 있다.
[0021] 몇몇 실시예들에서, 기판은, 유기금속 환원제 및 금속 전구체(예컨대, 금속 할로겐화물)에 순차적으로 노출된다. 순차적인 노출은, 임의의 주어진 시간에서, 금속 전구체와 유기금속 환원제 중 하나에만 기판의 특정 부분이 노출되는 것을 의미한다. 그 후에, 기판의 특정 부분은, 상이한 시간에서, 금속 전구체와 유기금속 환원제 중 다른 하나에 노출된다. 예컨대, 공간적 원자 층 증착 프로세스에서, 기판 표면의 개별적인 부분들은 유기금속 환원제 및 금속 전구체의 스트림들에 노출된다. 기판은, 기판의 각각의 별개의 부분이 가스 스트림들 양자 모두에 노출되도록, 가스 분배 어셈블리에 관하여 (또는 반대로) 이동된다. 몇몇 실시예들에서, 금속 전구체 및 유기금속 환원제는, 프로세싱 챔버의 상이한 구역들 내로 동시에 유동된다. 이러한 개별적인 가스 유동들은, 유기금속 환원제와 금속 전구체의 가스 상 반응을 방지하기 위해, 비활성 가스 커튼에 의해 분리될 수 있다. 비활성 가스 커튼은, 반응성 가스들을 분리된 상태로 유지하기 위한 임의의 적합한 가스 유동, 진공 유동, 또는 가스 유동과 진공 유동의 조합일 수 있다. 예컨대, 가스 커튼은, 가스 커튼의 양 측 상의 반응성 가스들이 가스 상으로 반응할 수 없도록 보장하기 위해, 진공 유동, 퍼지 가스 유동, 및 제 2 진공 유동을 포함할 수 있다.
[0022] 금속 전구체 및 유기금속 환원제에 대한 노출의 순서는, 특정한 프로세스에 따라 변화될 수 있다. 몇몇 실시예들에서, 기판, 또는 기판의 일부는, 유기금속 환원제에 노출되기 전에, 금속 전구체에 노출된다. 하나 또는 그 초과의 실시예들에서, 기판, 또는 기판의 일부는, 금속 전구체에 노출되기 전에, 유기금속 환원제에 노출된다. 하나 또는 그 초과의 실시예들에서, 기판, 또는 기판의 일부는, 금속 전구체 및 유기금속 전구체에 동시에 노출된다.
[0023] 본원에서 설명되는 방법들은 또한, 부가적인 서브-프로세스들을 포함할 수 있다. 하나 또는 그 초과의 실시예들에서, 방법들은, 증착된 막을 합금제(alloying agent)로 소킹(soaking)하는 단계를 더 포함한다. 예컨대, 제 1 금속 및 제 2 금속을 포함하는 혼합된 금속 막은, 합금을 형성하도록 합금제에 노출될 수 있다. 본원에서 사용되는 바와 같이, "소크" 또는 "소킹" 등은, 기판, 또는 기판의 일부가 반응물 가스에 노출되고, 가스가 표면과 반응하지만, 층을 증착하지는 않는 프로세스들을 지칭한다. 몇몇 실시예들은, 합금 막을 합금제로 소킹하는 것을 더 포함하고, 여기에서, 합금제는, SiH4, GeH4, 트리메틸갈륨, 및 B2H6 중 하나 또는 그 초과를 포함한다.
[0024] 설명되는 방법들에 의해 증착된 막은, 다른 방법들에 의해 증착된 유사한 막보다 더 낮은 탄소 오염을 가질 수 있다. 예컨대, 청구되는 방법들에 의해 증착된 합금 막은 약 20 % 미만의 탄소를 함유한다.
[0025] 반응물들에 대한 표면의 교번하는 노출은, 가장 예상되는 애플리케이션들에 대해, 대략 5 Å 내지 100 Å, 그리고 더 구체적으로, 약 15 Å, 20 Å, 25 Å, 또는 30 Å으로부터 약 45 Å, 50 Å, 55 Å, 또는 60 Å까지의 범위에 있을 원하는 두께의 막에 도달될 때까지, 계속된다. 반응물들 및 퍼지 가스들이 동시에 유동할 수 있고, 기판 및/또는 가스 유동 노즐은, 원하는 대로, 기판이 반응물 및 퍼지 가스들에 순차적으로 노출되도록, 왕복(oscillate)할 수 있다는 것이 이해될 것이다. 물론, 전술된 ALD 사이클들은 단지, 공반응물들 및/또는 전구체들의 층들을 교번시킴으로써, 증착된 층이 형성되는 매우 다양한 ALD 프로세스 사이클들의 예일 뿐이다.
[0026] 이러한 시퀀스들은 단지 예시적이고, 위에서 논의된 바와 같이, 다수의 변형들이 존재하는 것이 유의되어야 한다. 퍼지 단계는, 프로세싱 챔버 내의 임의의 과도한 전구체, 부산물들, 및 다른 오염물들을 제거한다. 캐리어 가스, 퍼지 가스, 또는 다른 프로세스 가스는, 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합들을 함유할 수 있다.
[0027] 전구체들 및/또는 반응물들은, 가스 또는 증기의 상태, 또는 기상 증착 프로세스에 대해 유용한 다른 물질 상태에 있을 수 있다. 퍼지 동안에, 반응 구역을 퍼징하기 위해, 또는 그렇지 않으면, 반응 구역으로부터 임의의 잔여의 반응성 화합물 또는 부산물들을 제거하기 위해, 전형적으로, 비활성 가스가 프로세싱 챔버 내로 도입된다. 대안적으로, 퍼지 가스는, 공반응물들 및 전구체의 펄스들 사이의 시간 지연 동안에만 퍼지 가스가 유동하도록, 증착 프로세스 전반에 걸쳐 연속적으로 유동할 수 있다.
[0028] 본원에서 사용되는 바와 같은 증착 가스 또는 프로세스 가스는, 단일 가스, 다수의 가스들, 플라즈마를 함유하는 가스, 플라즈마(들) 및/또는 가스(들)의 조합들을 지칭한다. 증착 가스는 기상 증착 프로세스를 위한 적어도 하나의 반응성 화합물을 함유할 수 있다. 반응성 화합물들은, 기상 증착 프로세스 동안에, 가스, 플라즈마, 증기의 상태에 있을 수 있다. 또한, 프로세스는 퍼지 가스 또는 캐리어 가스를 포함할 수 있고, 반응성 화합물을 포함하지 않을 수 있다.
[0029] 몇몇 실시예들에서, 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안에, 하나 또는 그 초과의 층들이 형성될 수 있다. 몇몇 프로세스들에서, 플라즈마의 사용은 종을 여기된 상태로 촉진하기에 충분한 에너지를 제공하고, 그러한 여기된 상태에서, 표면 반응들이 유리하게 되고 가능성이 있게 된다. 프로세스로의 플라즈마의 도입은 연속적일 수 있거나 또는 펄싱될 수 있다. 몇몇 실시예들에서, 플라즈마 및 전구체들(또는 반응성 가스들)의 순차적인 펄스들이 층을 프로세싱하기 위해 사용된다. 몇몇 실시예들에서, 시약(reagent)들은, 근거리에서(즉, 프로세싱 영역 내에서) 또는 원격으로(즉, 프로세싱 영역 외부에서) 이온화될 수 있다. 몇몇 실시예들에서, 원격 이온화는, 이온들 또는 다른 에너제틱(energetic) 또는 발광(light emitting) 종이, 증착되는 막과 직접적으로 접촉하지 않도록, 증착 챔버의 상류에서 발생할 수 있다. 몇몇 PEALD 프로세스들에서, 플라즈마는, 예컨대, 원격 플라즈마 생성기 시스템에 의해, 프로세싱 챔버 외부에서 생성된다. 플라즈마는, 당업자에게 알려져 있는 임의의 적합한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예컨대, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종에 따라 조정될 수 있다. 적합한 주파수들은, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz를 포함하지만 이에 제한되지는 않는다. 본원에서 개시되는 증착 프로세스들 동안에 플라즈마들이 사용될 수 있지만, 플라즈마들이 요구되는 것은 아니라는 것이 유의되어야 한다.
[0030] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에 그리고/또는 층을 형성한 후에, 프로세싱을 받는다. 이러한 프로세싱은, 동일한 챔버에서, 또는 하나 또는 그 초과의 개별적인 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터 개별적인 제 2 챔버로 이동된다. 기판은, 제 1 챔버로부터 개별적인 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에 원하는 개별적인 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는, "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0031] 일반적으로, 클러스터 툴은, 기판 중심-발견(center-finding) 및 배향(orientation), 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 락 챔버들과 프로세싱 챔버들 간에서 또는 사이에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 전형적으로, 진공 조건으로 유지되고, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전단에 위치된 로드 락 챔버로 기판들을 셔틀링하기 위한 중간 스테이지를 제공한다. 본 발명에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은, Centura® 및 Endura®이고, 이들 양자 모두는, 캘리포니아, 산타 클라라의 Applied Materials, Inc.로부터 입수가능하다. 하나의 그러한 스테이지형-진공 기판 프로세싱 장치의 세부사항들은, 1993년 2월 16일자로 발행된, Tepman 등에 의한, 발명의 명칭이 "Staged-Vacuum Wafer Processing Apparatus and Method"인 미국 특허 번호 제 5,186,718 호에서 개시된다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정(pre-clean), 화학 세정, 열 처리, 예컨대 RTP, 플라즈마 질화(nitridation), 탈기(degas), 배향, 수산화, 및 다른 기판 프로세스들을 포함하지만 이에 제한되지는 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 막을 증착하기 전의 산화 없이, 방지될 수 있다.
[0032] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 계속, 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 아래로 "펌핑 다운(pump down)"된다. 이송 챔버들 또는 프로세싱 챔버들에, 비활성 가스들이 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 반응물들이 증착 챔버로부터 이송 챔버 및/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 퍼지 가스는 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0033] 기판은, 단일 기판이 로딩되고, 프로세싱되고, 다른 기판이 프로세싱되기 전에 언로딩되는 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 다수의 기판이 별개로, 챔버의 제 1 부분 내로 로딩되고, 챔버를 통해 이동하고, 챔버의 제 2 부분으로부터 언로딩되는 컨베이어 시스템과 같이, 연속적인 방식으로 프로세싱될 수 있다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 휘어진 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는, 다수의 기판들이 중앙 축을 중심으로 이동되고, 캐러셀(carousel) 경로를 통해, 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
[0034] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지는 않는 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키기 위해 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되고 있는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키기 위해 가열 또는 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 위치된다.
[0035] 기판은 또한, 프로세싱 동안에, 정지되어 있을 수 있거나 또는 회전될 수 있다. 기판의 회전은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출 사이에 소량(small amount) 회전될 수 있다. 프로세싱 동안에 기판을 (연속적으로 또는 단계들로) 회전시키는 것은, 예컨대, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0036] 원자 층 증착 타입 챔버들에서, 기판은, 공간적으로 또는 시간적으로 분리된 프로세스들에서 제 1 및 제 2 전구체들에 노출될 수 있다. 시간-도메인 ALD는, 제 1 전구체가 표면과 반응하기 위해 챔버 내로 유동하는 통상적인 프로세스이다. 제 1 전구체는, 제 2 전구체를 유동시키기 전에, 챔버로부터 퍼징된다. 공간적 ALD에서, 제 1 및 제 2 전구체들 양자 모두는, 챔버로 동시에 유동되지만, 전구체들의 혼합을 방지하는, 유동들 사이의 구역이 존재하도록 공간적으로 분리된다. 공간적 ALD에서, 기판은 가스 분배 플레이트에 관하여 이동될 수 있거나, 또는 그 반대로 이동될 수 있다.
[0037] 본 명세서 전반에 걸쳐 "일 실시예", "특정한 실시예들", "하나 또는 그 초과의 실시예들", 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정한 피처(feature), 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 곳들에서의 "하나 또는 그 초과의 실시예들에서", "특정한 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은, 반드시, 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은, 하나 또는 그 초과의 실시예들에서, 임의의 적합한 방식으로 조합될 수 있다.
[0038] 본원에서 본 발명이 특정한 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지, 본 발명의 원리들 및 애플리케이션들의 예일 뿐이라는 것이 이해되어야 한다. 본 발명의 사상 및 범위로부터 벗어나지 않으면서, 본 발명의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 명백할 것이다. 따라서, 본 발명은, 첨부된 청구항들 및 이들의 균등물들의 범위에 속하는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 합금 막을 증착하는 방법으로서,
    프로세싱 챔버 내에 기판을 위치시키는 단계;
    상기 기판 상에 제 1 금속을 제공하기 위해, 금속 할로겐화물 전구체에 상기 기판의 적어도 일부를 노출시키는 단계;
    상기 프로세싱 챔버로부터, 반응되지 않은 금속 할로겐화물 전구체를 퍼징(purging)하는 단계; 및
    상기 기판 상에 제 2 금속을 증착하여, 상기 제 1 금속과 상기 제 2 금속의 합금을 생성하기 위해, 상기 제 1 금속과 상이한 상기 제 2 금속을 포함하는 유기금속 환원제에 상기 기판의 표면의 일부를 노출시키는 단계
    를 포함하고,
    상기 유기금속 환원제는 상기 제 2 금속의 알킬을 포함하며, 상기 제 2 금속은, In, Sb, Tl, Pb, Bi, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되고, 상기 제 2 금속의 알킬은, 디메틸-금속 수소화물, 디에틸하이드리도-금속(diethylhydrido-metal), 메틸디하이드로-금속(methyldihydro-metal), 및 화학식 [(CxHy)z-aMHa]n의 알킬 금속 수소화물들 중 하나 또는 그 초과를 포함하고, 상기 x는 1 내지 3의 값을 갖고, 상기 y는 2x+1의 값을 갖고, 상기 z는 2 내지 5의 값을 갖고, 상기 a는 1 내지 2의 값을 갖고, 상기 n은 1 내지 4의 값을 갖는,
    합금 막을 증착하는 방법.
  2. 합금 막을 증착하는 방법으로서,
    프로세싱 챔버 내에 기판을 위치시키는 단계; 및
    제 1 금속 및 제 2 금속을 포함하는 합금 막을 증착하기 위해, 상기 제 1 금속을 제공하기 위한 금속 할로겐화물 전구체, 및 상기 제 1 금속과 상이한 상기 제 2 금속을 포함하는 유기금속 환원제를 상기 프로세싱 챔버 내로 동시에 유동시키는 단계
    를 포함하고,
    상기 유기금속 환원제는 상기 제 2 금속의 알킬을 포함하며, 상기 제 2 금속은, In, Sb, Tl, Pb, Bi, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되고, 상기 제 2 금속의 알킬은, 디메틸-금속 수소화물, 디에틸하이드리도-금속, 메틸디하이드로-금속, 및 화학식 [(CxHy)z-aMHa]n의 알킬 금속 수소화물들 중 하나 또는 그 초과를 포함하고, 상기 x는 1 내지 3의 값을 갖고, 상기 y는 2x+1의 값을 갖고, 상기 z는 2 내지 5의 값을 갖고, 상기 a는 1 내지 2의 값을 갖고, 상기 n은 1 내지 4의 값을 갖는,
    합금 막을 증착하는 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 금속 할로겐화물은 티타늄 사염화물을 포함하는,
    합금 막을 증착하는 방법.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 제 2 금속의 알킬은 아민을 포함하는,
    합금 막을 증착하는 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 유기금속 환원제는, 테트라에틸 주석, 트리메틸 인듐, 및 디에틸 아연 중 하나 또는 그 초과를 포함하는,
    합금 막을 증착하는 방법.
  9. 제 1 항에 있어서,
    상기 금속 할로겐화물에 대한 상기 기판의 노출은, 상기 유기금속 환원제에 대한 상기 기판의 노출과 적어도 부분적으로 오버랩핑(overlap)하는,
    합금 막을 증착하는 방법.
  10. 제 1 항에 있어서,
    상기 유기금속 환원제를 퍼징하는 단계를 더 포함하는,
    합금 막을 증착하는 방법.
  11. 제 1 항에 있어서,
    상기 기판은, 상기 금속 할로겐화물 및 상기 유기금속 환원제에 순차적으로 노출되는,
    합금 막을 증착하는 방법.
  12. 제 11 항에 있어서,
    상기 기판은, 상기 유기금속 환원제에 노출되기 전에, 상기 금속 할로겐화물에 노출되는,
    합금 막을 증착하는 방법.
  13. 제 11 항에 있어서,
    상기 기판은, 상기 금속 할로겐화물에 노출되기 전에, 상기 유기금속 환원제에 노출되는,
    합금 막을 증착하는 방법.
  14. 제 1 항에 있어서,
    상기 합금 막을 합금제(alloying agent)로 소킹(soaking)하는 단계를 더 포함하고, 상기 합금제는, SiH4, GeH4, 트리메틸갈륨, 및 B2H6 중 하나 또는 그 초과를 포함하는,
    합금 막을 증착하는 방법.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 합금 막은 20 % 미만의 탄소를 함유하는,
    합금 막을 증착하는 방법.
KR1020157031083A 2013-06-26 2014-06-26 금속 합금 막을 증착하는 방법들 KR102298038B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361839521P 2013-06-26 2013-06-26
US61/839,521 2013-06-26
PCT/US2014/044369 WO2014210328A1 (en) 2013-06-26 2014-06-26 Methods of depositing a metal alloy film

Publications (2)

Publication Number Publication Date
KR20160024846A KR20160024846A (ko) 2016-03-07
KR102298038B1 true KR102298038B1 (ko) 2021-09-02

Family

ID=52115843

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157031083A KR102298038B1 (ko) 2013-06-26 2014-06-26 금속 합금 막을 증착하는 방법들

Country Status (6)

Country Link
US (1) US10036089B2 (ko)
EP (1) EP3014651B8 (ko)
KR (1) KR102298038B1 (ko)
CN (2) CN105164791A (ko)
TW (1) TWI630281B (ko)
WO (1) WO2014210328A1 (ko)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150357236A1 (en) * 2014-06-08 2015-12-10 International Business Machines Corporation Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106567053B (zh) * 2015-10-09 2019-03-15 中国科学院微电子研究所 一种制备钛铝合金薄膜的方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10943780B2 (en) 2017-11-19 2021-03-09 Applied Materials, Inc. Methods for ALD of metal oxides on metal surfaces
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) * 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) * 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11505865B2 (en) * 2018-07-12 2022-11-22 Basf Se Process for the generation of metal- or semimetal-containing films
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110777436A (zh) * 2019-11-05 2020-02-11 中国科学院半导体研究所 硅基iv族合金材料及其外延方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11286556B2 (en) * 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11359282B2 (en) * 2020-08-12 2022-06-14 Applied Materials, Inc. Methods for forming impurity free metal alloy films
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230399743A1 (en) * 2022-06-13 2023-12-14 Tokyo Electron Limited Cyclic Film Deposition Using Reductant Gas

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4330433A (en) * 1980-02-27 1982-05-18 Exxon Research & Engineering Co. Titanium trihalide catalyst and process for its production
US4734514A (en) * 1984-10-25 1988-03-29 Morton Thiokol, Inc. Hydrocarbon-substituted analogs of phosphine and arsine, particularly for metal organic chemical vapor deposition
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
WO2003025260A1 (en) 2001-09-19 2003-03-27 Evergreen Solar, Inc. High yield method for preparing silicon nanocrystals with chemically accessible surfaces
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7645484B2 (en) * 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
KR101342594B1 (ko) * 2006-05-08 2013-12-17 삼성에스디아이 주식회사 금속 나노결정의 제조 방법
CN101473382A (zh) * 2006-05-12 2009-07-01 高级技术材料公司 相变化记忆体材料的低温沉积
CN101454872B (zh) * 2006-05-26 2011-04-06 Lg化学株式会社 光刻胶剥离剂组合物和用该光刻胶剥离剂组合物剥离光刻胶的方法
TW200810019A (en) * 2006-06-08 2008-02-16 Tokyo Electron Ltd Film forming apparatus, film forming method, computer program and storage medium
US8318252B2 (en) * 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
WO2009111488A2 (en) 2008-03-04 2009-09-11 Lockheed Martin Corporation Tin nanoparticles and methodology for making same
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2011056519A2 (en) * 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
JP5621258B2 (ja) * 2009-12-28 2014-11-12 ソニー株式会社 成膜装置および成膜方法
TWI508176B (zh) * 2011-06-20 2015-11-11 Applied Materials Inc 具有起始層之n型金屬薄膜沉積
US8927059B2 (en) * 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors

Also Published As

Publication number Publication date
WO2014210328A1 (en) 2014-12-31
CN110592554A (zh) 2019-12-20
EP3014651A4 (en) 2016-11-23
TWI630281B (zh) 2018-07-21
US10036089B2 (en) 2018-07-31
EP3014651A1 (en) 2016-05-04
EP3014651B1 (en) 2018-11-07
KR20160024846A (ko) 2016-03-07
TW201504468A (zh) 2015-02-01
EP3014651B8 (en) 2018-12-26
CN105164791A (zh) 2015-12-16
US20150004316A1 (en) 2015-01-01

Similar Documents

Publication Publication Date Title
KR102298038B1 (ko) 금속 합금 막을 증착하는 방법들
US9145612B2 (en) Deposition of N-metal films comprising aluminum alloys
WO2015103358A1 (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US8895443B2 (en) N-metal film deposition with initiation layer
CN103946957A (zh) 使用铝烷基的前驱物的金属薄膜沉积
US9683287B2 (en) Deposition of films comprising aluminum alloys with high aluminum content
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
WO2019213207A1 (en) Methods of increasing selectivity for selective etch processes
US9982345B2 (en) Deposition of metal films using beta-hydrogen free precursors
US9721787B2 (en) Film deposition using tantalum precursors
US20200362458A1 (en) Deposition of rhenium-containing thin films
US9269584B2 (en) N-metal film deposition with initiation layer
US20220380897A1 (en) Methods of Lowering Deposition Rate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant