KR102182005B1 - 레지스트 변형을 결정하는 방법들 - Google Patents

레지스트 변형을 결정하는 방법들 Download PDF

Info

Publication number
KR102182005B1
KR102182005B1 KR1020207003415A KR20207003415A KR102182005B1 KR 102182005 B1 KR102182005 B1 KR 102182005B1 KR 1020207003415 A KR1020207003415 A KR 1020207003415A KR 20207003415 A KR20207003415 A KR 20207003415A KR 102182005 B1 KR102182005 B1 KR 102182005B1
Authority
KR
South Korea
Prior art keywords
deformation
resist layer
characteristic
cost function
substrate
Prior art date
Application number
KR1020207003415A
Other languages
English (en)
Other versions
KR20200015843A (ko
Inventor
팽 리우
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200015843A publication Critical patent/KR20200015843A/ko
Application granted granted Critical
Publication of KR102182005B1 publication Critical patent/KR102182005B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

제 1 방향에 대해 수직인 여하한의 방향으로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로 레지스트 층(1050)의 변형의 적어도 하나의 특성을 얻는 단계; 제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계 - 제 2 방향은 제 1 방향과 상이한 방향으로 수직임 -; 제 1 방향으로의 변형의 특성 및 제 2 방향으로의 변형의 특성에 기초하여, 레지스트 층의 3-차원 변형의 적어도 하나의 특성을 얻는 단계를 포함하는 컴퓨터-구현 방법이 개시된다.

Description

레지스트 변형을 결정하는 방법들{METHODS FOR DETERMINING RESIST DEFORMATION}
본 출원은 2015년 3월 16일에 출원된 미국 출원 62/133,782의 우선권을 주장하며, 이는 본 명세서에서 전문이 인용참조된다.
본 발명은 리소그래피 장치들 및 공정들에 관한 것이며, 더 구체적으로는 리소그래피 장치 또는 공정에 의해 변형이 야기되는 레지스트 층의 변형을 결정하는 방법들에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별 층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트") 층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟부 상으로 전사될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 하나의 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들은 점진적으로 하나의 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
또한, 리소그래피 투영 장치는 2 이상의 기판 테이블(및/또는 2 이상의 패터닝 디바이스 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 테이블이 노광에 사용되고 있는 동안 하나 이상의 다른 테이블에서는 준비 작업 단계들이 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 투영 장치는, 예를 들어 본 명세서에서 인용참조되는 US 5,969,441에서 설명된다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별 층을 구성하는 기초로서 사용된다. 이후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마, 메트롤로지(예를 들어, SEM) 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별 층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 이후, 이러한 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고 핀에 연결되는 등의 단계를 거칠 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성되는 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능적 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical system) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능적 요소들의 치수가 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능적 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수를 갖는 개별적인 기능적 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수를 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" - 일반적으로, 프린트되는 최소 피처 크기 - 이고, k1은 실험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수와 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination scheme), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접성 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 칭함), 또는 일반적으로 "분해능 향상 기술"(resolution enhancement technique: RET)로 정의되는 다른 방법들을 포함하며, 이로 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
본 명세서에서는, 제 1 방향에 대해 수직인 여하한의 방향으로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계; 제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계 - 제 2 방향은 제 1 방향과 상이한 방향으로 수직임 -; 제 1 방향으로의 변형의 특성 및 제 2 방향으로의 변형의 특성에 기초하여, 레지스트 층의 3-차원 변형의 적어도 하나의 특성을 얻는 단계를 포함하는 컴퓨터-구현 방법이 개시된다.
일 실시예에 따르면, 제 2 방향은 제 1 방향에 대해 수직이다.
일 실시예에 따르면, 본 방법은 제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 3 방향으로 변형의 적어도 하나의 특성을 얻는 단계를 더 포함한다.
일 실시예에 따르면, 3-차원 변형의 적어도 하나의 특성을 얻는 단계는 추가적으로 제 3 방향으로의 변형의 특성에 기초한다.
일 실시예에 따르면, 제 1, 제 2 및 제 3 방향들은 상호 수직이다.
일 실시예에 따르면, 3-차원 변형의 특성은 에지 변위 오차의 변화이다.
일 실시예에 따르면, 제 1 방향으로의 변형의 특성은 제 1 방향으로의 레지스트 층의 위치의 변위이다.
일 실시예에 따르면, 제 2 방향으로의 변형의 특성은 제 2 방향으로의 레지스트 층의 위치의 변위이다.
일 실시예에 따르면, 레지스트 층은 기판 상에 있다.
일 실시예에 따르면, 제 1 방향은 기판에 대해 수직이다.
일 실시예에 따르면, 제 2 방향은 기판에 대해 평행하다.
일 실시예에 따르면, 기판은 제 1 방향 및 제 2 방향으로의 변형의 특성들 중 적어도 하나를 제약한다(constraint).
일 실시예에 따르면, 기판과 직접적으로 접촉하는 레지스트 층의 부분은 제 1 방향으로 0의 변위를 갖는다.
일 실시예에 따르면, 기판과 직접적으로 접촉하는 레지스트 층의 부분은 제 2 방향으로 0의 변위를 갖는다.
일 실시예에 따르면, 제 1 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계는 제 1 방향으로 거리에 걸쳐(over a distance) 공학적 변형률(engineering strain)을 적분(integrate)하는 단계를 포함한다.
일 실시예에 따르면, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계는 제 2 방향으로 수직 응력 및 전단 응력(sheer stress)을 밸런싱하는 단계를 포함한다.
일 실시예에 따르면, 레지스트 층은 포지티브 톤 디벨로퍼(positive tone developer)로 현상된다.
일 실시예에 따르면, 레지스트 층은 네거티브 톤 디벨로퍼(negative tone developer)로 현상된다.
일 실시예에 따르면, 현상에서 용해된 레지스트 층의 부분들은 0의 영률(Young's modulus)을 갖는다.
일 실시예에 따르면, 본 방법은 3-차원 변형의 특성에 기초하여 디바이스 제조 공정 또는 디바이스 제조 장치의 파라미터를 조정하는 단계를 포함한다.
일 실시예에 따르면, 레지스트 층은 디바이스 제조 공정 중에 또는 디바이스 제조 장치를 이용하여 하나 이상의 물리적 또는 화학적 처리를 거칠 것이다.
일 실시예에 따르면, 디바이스 제조 공정은 리소그래피, 에칭, 증착, 도핑, 메트롤로지 및 이의 조합으로 구성된 그룹으로부터 선택된다.
일 실시예에 따르면, 디바이스 제조 장치는 스테퍼(stepper), 에처(etcher), 스피너(spinner), 오븐, 광학 메트롤로지 툴, 전자 현미경, 이온 임플란터(ion implanter), 증착 챔버 및 이의 조합으로 구성된 그룹으로부터 선택된다.
일 실시예에 따르면, 파라미터는 디자인 레이아웃의 파라미터이다.
일 실시예에 따르면, 본 방법은 파라미터를 조정한 후 마스크를 제조하는 단계를 더 포함한다.
일 실시예에 따르면, 본 방법은 3-차원 변형의 특성에 기초하여 디자인 레이아웃의 복수의 패턴들의 중첩 공정 윈도우(overlapping process window: OPW)를 결정하는 단계를 더 포함한다.
일 실시예에 따르면, 본 방법은 OPW에 기초하여 복수의 패턴들로부터 생성된 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합을 결정하거나 예측하는 단계를 더 포함한다.
본 명세서에서는 리소그래피 투영 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하기 위해 리소그래피 공정을 개선하는 컴퓨터-구현 방법이 개시되고, 본 방법은 다변수 비용 함수(multi-variable cost function)를 연산(compute)하는 단계 - 다변수 비용 함수는 리소그래피 공정의 특성들인 복수의 디자인 변수들 및 기판 상의 레지스트 층의 변형의 적어도 하나의 특성의 함수임 -; 특정 종료 조건이 만족될 때까지 디자인 변수들 중 하나 이상을 조정함으로써 리소그래피 공정의 특성들 중 하나 이상을 재구성하는 단계를 포함한다.
일 실시예에 따르면, 변형의 특성은 디자인 변수들의 적어도 몇몇의 함수이다.
일 실시예에 따르면, 비용 함수는 에지 배치 오차(edge placement error)의 함수이다.
일 실시예에 따르면, 특성들 중 하나 이상은 디자인 레이아웃의 적어도 하나의 파라미터를 포함한다.
일 실시예에 따르면, 본 방법은 디자인 레이아웃의 파라미터를 재구성한 후 마스크를 제조하는 단계를 더 포함한다.
일 실시예에 따르면, 레지스트 층의 변형의 특성은 레지스트 층 내의 위치에서의 변형률이다.
일 실시예에 따르면, 레지스트 층의 변형의 특성은 레지스트 층 내의 위치의 변위이다.
일 실시예에 따르면, 다변수 비용 함수를 연산하는 단계는: 제 1 방향에 대해 수직인 여하한의 방향으로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로 레지스트 층의 변형의 적어도 하나의 제 1 특성을 얻는 단계; 제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 제 2 특성을 얻는 단계 - 제 2 방향은 제 1 방향과 상이함 -; 제 1 특성 및 제 2 특성에 기초하여, 변형의 특성을 얻는 단계를 포함한다.
일 실시예에 따르면, 다변수 비용 함수를 연산하는 단계는 3-차원 변형의 특성에 기초하여, 디자인 레이아웃의 복수의 패턴들의 중첩 공정 윈도우(OPW)를 결정하는 단계를 더 포함한다.
일 실시예에 따르면, 본 방법은 OPW에 기초하여 복수의 패턴들로부터 생성된 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합을 결정하거나 예측하는 단계를 더 포함한다.
또한, 복수의 조건들에서 또한 디자인 변수들의 복수의 값들에서, 확률적 변동(stochastic variation)의 값들, 또는 확률적 변동의 함수이거나 확률적 변동에 영향을 주는 변수를 갖는 비-일시적(non-transitory) 컴퓨터-판독가능한 매체가 개시된다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록도;
도 2는 도 1의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록도;
도 3은 공학적 변형률의 개념을 예시하는 도면;
도 4는 리소그래피 공정의 특성(D)과 국부적 변형(s)(예를 들어, 이 예시에서 공학적 변형률) 간의 예시적인 관계를 나타내는 도면;
도 5a, 도 5b, 도 5c 및 도 5d는 기판 상의 레지스트 층의 예시적인 변형을 개략적으로 나타내는 도면;
도 6은 일 실시예에 따른 기판 상의 레지스트 층 내의 3-차원 변형의 적어도 하나의 특성을 얻는(예를 들어, 결정하거나 추산하는) 방법에 대한 흐름도;
도 7a 및 도 7b는 측방향들로 변형이 존재하지 않는 것으로 여기고, 기판 상의 레지스트 층의 수직 방향으로의 변형의 적어도 하나의 특성을 얻는 방법을 개략적으로 나타내는 도면;
도 8a 및 도 8b는 수직 방향들로 변형이 존재하지 않는 것으로 여기고, 기판 상의 레지스트 층의 측방향들로의 변형의 적어도 하나의 특성을 얻는 방법을 개략적으로 나타내는 도면;
도 9a, 도 9b, 도 9c 및 도 9d는 레지스트 층의 현상에 의해 야기된 예시적인 추가 변형을 개략적으로 나타내는 도면;
도 10a 및 도 10b는 예시적인 레지스트 층 상의 도 6의 방법의 결과를 나타내는 도면;
도 10c 및 도 10d는 본 명세서에 개시된 방법이 현상에 의해 야기된 변형을 결정하는 데 사용될 수 있음을 나타내는 도면;
도 11은 공동 최적화(joint optimization)의 예시적인 방법의 실시형태들을 나타내는 흐름도;
도 12는 일 실시예에 따른 또 다른 최적화 방법의 일 실시예를 나타내는 도면;
도 13 및 도 14는 다양한 최적화 공정들의 예시적인 흐름도;
도 15는 예시적인 컴퓨터 시스템의 블록도;
도 16은 리소그래피 투영 장치의 개략도;
도 17은 또 다른 리소그래피 투영 장치의 개략도;
도 18은 도 17의 장치의 상세도; 및
도 19는 도 17 및 도 18의 장치의 소스 컬렉터 모듈(SO)의 상세도이다.
본 명세서에서는, IC의 제조에 있어서 특정 사용예에 대하여 언급되지만, 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 한다는 것을 이해할 것이다.
"마스크", "레티클", "패터닝 디바이스"라는 용어는 본 명세서에서 교환가능하게 이용된다는 것을 유의한다. 또한, 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 당업자라면 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크"/"패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 알 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 리소그래피의 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃들의 더 정확한 투영, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성들을 갖도록 리소그래피 투영 장치를 조정하는 것을 의미한다. "최적화하는" 및 "최적화"라는 용어는 리소그래피의 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃들의 가장 정확한 투영, 가장 큰 공정 윈도우 등과 같은 가장 바람직한 특성들을 반드시 가져야 함을 요구하는 것은 아니다.
앞서 언급된 패터닝 디바이스는 디자인 레이아웃들을 포함하거나 형성할 수 있다. 디자인 레이아웃들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수"(CD)라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 5,296,891 및 5,523,193으로부터 얻을 수 있으며, 이들은 본 명세서에서 인용참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 5,229,872에서 주어지며, 이는 본 명세서에서 인용참조된다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있는 방사선 소스(12A)(앞서 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하고, 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상에 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(aperture: 20A)가 기판 평면(22A) 상에 부딪히는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수를 정의한다[NA = sin(Θmax)].
시스템의 최적화 공정에서, 시스템의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 공정은 비용 함수를 최소화하는 시스템의 파라미터들(디자인 변수들)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들[평가 포인트(evaluation point)들]의 편차들의 가중 RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값(즉, 가장 심한 편차)일 수도 있다. 본 명세서에서 "평가 포인트들"이라는 용어는 시스템의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 구현의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조가능 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트, 그리고 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 조명(즉, 광)을 제공하고; 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 가용성의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 일반적으로 승인된 미국 특허 출원 일련번호 12/315,849에서 찾아볼 수 있고, 이는 본 명세서에서 그 전문이 인용참조된다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)은 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 예시된다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(35)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들[주어진 디자인 레이아웃(33)에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함]을 나타낸다. 디자인 레이아웃 모델(35), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(35)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상(예를 들어, 환형, 쿼드러폴 및 다이폴 등과 같은 오프-액시스 방사선 소스들)을 포함하는 소스의 광학적 특성들을 나타낼 수 있으며, 이로 제한되지는 않는다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(35)은, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 7,587,704에서 설명되는 바와 같은 물리적 패터닝 디바이스의 물리적 속성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치들, 에어리얼 이미지 세기 기울기들, 및 CD들을 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 전-OPC 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 하나 이상의 부분이 식별될 수 있으며, 이는 "클립들"이라고 칭해진다. 일 실시예에서, 클립들의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 당업자라면 이해하는 바와 같이, 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말하면, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 임계 피처들이 식별되는 디자인 레이아웃의 부분들일 수 있거나, 또는 디자인 레이아웃의 부분들과 유사할 수 있거나, 디자인 레이아웃의 부분들과 유사한 동작을 가질 수 있다. 클립들은 통상적으로 하나 이상의 테스트 패턴 또는 게이지 패턴(gauge pattern)을 포함한다.
클립들의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 알려진 임계 피처 영역들에 기초하여 고객에 의해 선험적으로 제공될 수 있다. 대안적으로, 또 다른 실시예에서 클립들의 더 큰 초기 세트는 임계 피처 영역들을 식별하는 어떤 종류의 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
레지스트 층은 방사선 감응재 층이며, 다양한 화학적 조성을 가질 수 있다. 통상적으로, 레지스트 층은 크기에 있어서 레지스트 상으로 이미징되는 패턴들과 필적할 수 있는 작지만 유한한 두께를 갖는다. 레지스트 층은 리소그래피 공정에서 다양한 처리를 거칠 수 있다. 예를 들어, 레지스트는 EUV 또는 DUV와 같은 방사선에 노출될 수 있으며, 레지스트에 화학적 반응들을 유도한다. 레지스트는 노광후 베이크(PEB), 현상(예를 들어, 포지티브 톤 현상 또는 네거티브 톤 현상), 및/또는 하드 베이크를 거칠 수 있다. 이러한 처리들의 각각은 레지스트가 3 개의 차수 모두로 변형되게 할 수 있으며, 변형은 3-차원 위치 종속적일 수 있다. 레지스트의 변형은 재료 증착 및 에칭과 같은 하류 처리(downstream treatment)에 영향을 줄 수 있다. 네거티브 톤 현상을 이용하는 리소그래피 공정에서, 레지스트 최상부 손실 및 임계 치수에 관한 레지스트 변형의 영향이 특히 중요할 수 있다. 그러므로, 레지스트의 변형을 예측하는 능력을 갖는 레지스트 모델(37)이 더 정확한 리소그래피 및 더 높은 수율에 유리하다. 또한, 레지스트 모델(37)은 리소그래피 공정에서 다양한 다른 물리적 및 화학적 처리들에 대한 레지스트 층의 반응을 예측할 수 있다.
레지스트 층의 변형은 유한 요소 해석(finite element analysis)과 같은 다양한 방법들을 이용하여 결정될 수 있다. 이 방법들은 연산적으로 비싸거나(computationally expensive), 변형의 충분히 정확한 예측을 산출하지 않는다. 레지스트 변형을 결정하고 정확성과 속도를 밸런싱하는 방법은 리소그래피 공정을 위해 기존의 알고리즘들 내로의 레지스트 변형 예측의 더 용이한 통합을 허용한다.
레지스트의 변형은 레지스트 내의 변형장(strain field)에 의해 나타내어질 수 있다. 상이한 물리적/수학적 등가 선택들이 변형장의 표현에 대해 만들어질 수 있다. 변형률의 양, 또는 국부적 변형에 따라, 변형의 분석이 3 개의 변형 이론: 유한 변형률 이론, 무한소 변형률 이론(Infinitesimal strain theory), 및 큰-변위 또는 큰-회전 이론으로 나뉠 수 있다.
큰 변형률 이론, 큰 변형 이론이라고도 하는 유한 변형률 이론은 회전과 변형률 둘 모두가 임의적으로 큰 변형들을 다룬다. 이 경우, 연속체(continuum)의 비-변형된 및 변형된 구성들이 상당히 상이하며, 이들 간에 명확한 구별이 이루어져야 한다. 유한 변형률 이론은 엘라스토머, 소성 변형 재료(plastically-deforming material) 및 다른 유체 및 생물학적 연조직에서의 변형의 분석에 적합하다.
작은 변형률 이론, 작은 변형 이론, 작은 변위 이론 또는 작은 변위-구배 이론(displacement-gradient theory)이라고도 하는 무한소 변형률 이론은 변형률과 회전 둘 모두가 작은 변형들을 다룬다. 이 경우, 몸체의 비-변형된 및 변형된 구성들은 동일한 것으로 가정될 수 있다. 무한소 변형률 이론은 기계 및 토목 공학 분야에 알려진 재료들, 예컨대 콘크리트 및 강철과 같이 탄성 거동(elastic behavior)을 나타내는 재료들의 변형들의 분석에 적합하다.
큰-변위 또는 큰-회전 이론은, 변형률은 작지만 회전 및 변위가 크다고 가정한다.
본 명세서의 설명은 변형률 또는 특정 변형률 이론의 특정한 표현으로 제한되지 않는다. 간명함을 위해, 공학적 변형률은 본 설명에서 일 예시로서 아래에 사용된다. 공학적 변형률[코시 변형률(Cauchy strain)이라고도 함]은 몸체의 초기 치수에 대한 전체 변형의 비로서 표현된다.
도 3은 공학적 변형률의 개념을 예시한다. 몸체(300)는 상호 직교 방향들로 그 폭 x, y z에 의해 나타내어지는 초기 치수를 갖는다. 몸체(300)가 변형되어 3 개의 방향으로의 폭이 각각 x', y', z'로 변한다. 공학적 변형률은 벡터 ((x'-x)/x, (y'-y)/y, (z'-z)/z)이다.
도 4는 리소그래피 공정의 특성(D)과 국부적 변형(s)(예를 들어, 이 예시에서는 공학적 변형률) 간의 예시적인 관계를 나타낸다. 특성(D)은 국부적 도즈, 국부적 방사선 세기, PEB의 국부적 온도 및 기간, 국부적 현상 시간, 화학 종[예를 들어, 산, 탈보호 종(deprotection species)]의 농도 등일 수 있다. 국부적 변형(s)은 특성(D)과 비-선형 관계를 가질 수 있다. 도 3의 예시에서, 특성(D)이 임계값(D0)에 도달할 때 국부적 변형(s)이 크게 증가한다; 국부적 변형(s)은 최대 값(smax)에서 포화된다. 리소그래피 공정의 특성(D)과 국부적 변형(s) 간의 관계는 실험 데이터로부터, 경험적으로, 시뮬레이션에 의해 결정될 수 있거나, 데이터베이스로부터 얻어질 수 있다.
도 5a, 도 5b, 도 5c 및 도 5d는 기판(520) 상의 레지스트 층(510)의 예시적인 변형을 개략적으로 나타낸다. 레지스트 층(510)은 패터닝 디바이스(540)를 통해 방사선(530)에 노출된다. 레지스트 층(510)은 PEB와 같은 노광후 처리를 거칠 수 있으며, 변형된 레지스트 층(550)으로 변형된다. 이 예시에서, 레지스트 층(510)의 변형은 방사선에 노출되는 변형된 레지스트 층(550)의 부분이 변형된 레지스트 층(550)의 잔여부보다 작은 두께를 갖도록 되어 있다. 변형된 레지스트 층(550)의 부분(555)은 네거티브 톤 현상 후 기판(520) 상에 남도록 노광 시 충분히 높은 도즈를 수용하였고, 변형된 레지스트 층(550)의 잔여부[예를 들어, 부분들(556)]는 네거티브 톤 현상 후 용해된다. 대안적으로, 변형된 레지스트 층(550)의 부분(555)은 포지티브 톤 현상 시 용해되도록 노광 시 충분히 높은 도즈를 수용하였고, 변형된 레지스트 층(550)의 잔여부는 포지티브 톤 현상 후 기판(520) 상에 남는다. 레지스트의 화학적 조성 및 디벨로퍼의 화학적 조성에 따라 부분(555)이 남거나 용해된다.
레지스트 층의 변형의 엄밀한 계산(rigorous calculation)은 매우 시간 소모적일 수 있다. 도 6은 일 실시예에 따른 기판 상의 레지스트 층의 3-차원 변형의 적어도 하나의 특성을 얻는(예를 들어, 결정하거나 추산하는) 방법에 대한 흐름도를 나타낸다. 이 방법은 독립적으로 제 1 방향(예를 들어, 수직 방향, 즉 기판에 대해 수직인 방향)으로의 레지스트 층의 변형의 적어도 하나의 특성, 제 1 방향에 대해 수직인 제 2 방향(예를 들어, 측방향, 즉 기판에 대해 평행한 방향)으로의 변형의 적어도 하나의 특성, 및 선택적으로는 제 1 및 제 2 방향 둘 모두에 대해 수직인 제 3 방향으로의 변형의 적어도 하나의 특성을 얻는다(예를 들어, 결정하거나 추산한다). 이후, 본 방법은 제 1 방향으로의 변형의 특성 및 제 2 방향으로의 변형의 특성, 및 선택적으로는 제 3 방향으로의 변형의 특성에 기초하여 3-차원 변형의 적어도 하나의 특성을 얻는다(예를 들어, 결정하거나 추산한다). 즉, 단계 610에서, 제 1 방향에 대해 수직인 여하한의 방향들로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로의 변형의 적어도 하나의 특성을 얻고(예를 들어, 결정하거나 추산하고); 단계 620에서, 제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로의 변형의 적어도 하나의 특성을 얻으며(예를 들어, 결정하거나 추산하며); 선택적인 단계 630에서, 제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 3 방향으로의 변형의 적어도 하나의 특성을 얻는다(예를 들어, 결정하거나 추산한다). 단계 640에서, 제 1 방향으로의 변형의 특성 및 제 2 방향으로의 변형의 특성, 및 선택적으로는 제 3 방향으로의 변형의 특성에 기초하여 레지스트 층의 3-차원 변형의 적어도 하나의 특성을 얻는다. 단계 620에서, 일 실시예에 따르면, 제 1 방향에 대해 수직인 방향들(모든 측방향들, 즉 기판에 평행한 모든 방향들)의 변형의 적어도 하나의 특성이 얻어질 수 있다.
수직 방향으로의 변형 또는 측방향들로의 변형의 3-차원 변형의 특성은 레지스트 층의 하나 이상의 위치에서 또는 심지어는 레지스트 층의 전체 변형장에서 병진, 회전, 경사, 수축, 확장 등을 포함할 수 있다. 특성은 제약들(예를 들어, 물리적, 기하학적 또는 기계적 제약들)을 가질 수 있다. 예를 들어, 기판과 바로 접촉해 있는 레지스트 층의 부분은 기판에 대해 슬립가능하지 않을 수 있다. 제약들은 경계 조건들에 의해 나타내어질 수 있다.
도 7a 및 도 7b는 측방향들로의 변형이 존재하지 않는 것으로 여기고, 기판(720) 상의 레지스트 층(790)의 수직 방향으로의 변형의 적어도 하나의 특성을 얻는 방법을 개략적으로 나타낸다. 여기서 특성은 레지스트 층(790)의 위치(790T)의 수직 방향[즉, 기판(720)까지의 거리]으로의 변위이다. 측방향들의 변형이 존재하지 않는 것으로 여기고, 레지스트 층(790)이 수직 방향으로 변형되는 경우, 위치 790T가 790T'로 이동되며, 변위(790T'-790T)는 높이(790T)와 기판(720) 간의 레지스트 층(790)의 모든 층들(예를 들어, 790a, 790b, 790c)의 두께 변화의 합이다. 그러므로, 특성[이 예시에서는 수직 방향으로의 위치(790T)의 변위]은
Figure 112020011985167-pat00001
으로서 쓰여지며, SZ(x,y,z')는 레지스트 층(790)의 위치 (x,y,z')에서 수직 방향으로의 공학적 변형률이다. 0보다 큰 SZ는 수축을 의미하고, 0보다 낮은 SZ는 확장을 의미한다. 일반적인 형태로, 위치 (x,y,z)의 변위 w(x,y,z)는
Figure 112020011985167-pat00002
으로서 쓰여질 수 있다. 기판(720)은 기판(720) 바로 위의 레지스트 층(790)의 부분의 변위가 0인 물리적 제약을 부과할 수 있다. 즉, 경계 조건은 w(x,y,0) = 0. SZ는 처리 파라미터들의 함수일 수 있으며, 이는 측정되거나 시뮬레이션되거나 연산될 수 있다. 예를 들어, SZ는 국부적 도즈의 함수일 수 있다. 레지스트 층의 위치의 "변위"라는 용어는 레지스트 층의 재료 부피(예를 들어, 무한소 재료 부피)의 위치의 변화를 의미한다. 위치의 변화는 레지스트 층의 변형의 결과일 수 있다.
도 8a 및 도 8b는 수직 방향들로의 변형이 존재하지 않는 것으로 여기고, 기판(720) 상의 레지스트 층(790)의 측방향들의 변형의 적어도 하나의 특성을 얻는 방법을 개략적으로 나타낸다. 여기서 특성은 레지스트 층(790)의 위치(790L)의 측방향들의 변위이다. 수직 방향으로의 변형이 존재하지 않는 것으로 여기고, 레지스트 층(790)이 측방향들로 변형되는 경우, 위치 790L은 790L'로 이동하며, 변위(790L'-790L)는 측방향들로의 수직 응력과 전단 응력의 밸런스에 의해 유도된다. 그러므로, 특성[이 예시에서는 측방향들로의 위치(790L)의 변위]은 [측방향들로의 변형이 언커플링(uncouple)되는 경우] 다음의 방정식들을 풀어 얻어질 수 있으며:
Figure 112020011985167-pat00003
Figure 112020011985167-pat00004
.
(u,v)는 측방향들로의 변위이다. z는 위치(790L)의 수직 방향으로의 좌표이다. G는 레지스트의 전단 탄성률(shear modulus)이다. E는 레지스트의 영률이다. E 및 G는 처리 파라미터들의 함수일 수 있으며, 이는 측정되거나 시뮬레이션되거나 연산될 수 있다. 예를 들어, E 및 G는 국부적 도즈의 함수일 수 있다. SX 및 SY는 측방향들의 공학적 변형률이다. 이 방정식들은 근사의 유일한 형태가 아니다. 다른 적절한 형태의 근사가 가능하다. 기판(720)은 기판(720) 바로 위의 레지스트(790)의 부분의 변위가 0인 물리적 제약을 부과할 수 있다. 즉, 경계 조건은 u(x,y,0) = 0. E, G, u, v, SX, SY의 각각은 위치의 함수일 수 있다.
Figure 112020011985167-pat00005
이라는 용어는 필연적으로 전단 응력에 대한 유일한 형태가 아니다. 전단 응력은 z에 대하여 다른 형태의 의존성을 가질 수 있다. 정확한 형태는 레지스트 층의 조성, 치수, 처리 등에 의해 영향을 받을 수 있으며, 기판에 의해 영향을 받을 수 있다. 일 실시예에서, 전단 응력 항은 z가 증가함에 따라 감소한다. 더 큰 z는 기판으로부터 더 멀어짐을 의미한다.
측방향들로의 변형이 커플링되는 경우, 특성(이 예시에서는 측방향들로의 위치(790L)의 변위)은 다음의 방정식들을 풀어 얻어질 수 있다:
Figure 112020011985167-pat00006
Figure 112020011985167-pat00007
. 이 방정식들은 근사의 유일한 형태가 아니다. 다른 적절한 형태의 근사가 가능하다.
Figure 112020011985167-pat00008
이라는 용어는 필연적으로 전단 응력에 대한 유일한 형태가 아니다. 전단 응력은 z에 대하여 다른 형태의 의존성을 가질 수 있다. 정확한 형태는 레지스트 층의 조성, 치수, 처리 등에 의해 영향을 받을 수 있으며, 기판에 의해 영향을 받을 수 있다. 일 실시예에서, 전단 응력 항은 z가 증가함에 따라 감소한다. 더 큰 z는 기판으로부터 더 멀어짐을 의미한다. 레지스트 층의 현상은 레지스트 층의 변형에 영향을 줄 수 있다. 디벨로퍼는 2 개의 그룹: 포지티브 톤 디벨로퍼 및 네거티브 톤 디벨로퍼로 분류될 수 있다. 특정 임계값 이상의 방사선 도즈를 수용하는 레지스트 층의 부분은 포지티브 톤 디벨로퍼에 가용성(soluble)인 한편, 임계값 이하의 방사선 도즈를 수용하는 레지스트 층의 부분은 포지티브 톤 디벨로퍼에 불용성(insoluble)으로 유지된다. 특정 임계값 이상의 방사선 도즈를 수용하는 레지스트 층의 부분은 네거티브 톤 디벨로퍼에 불용성인 한편, 임계값 이하의 방사선 도즈를 수용하는 레지스트 층의 부분은 네거티브 톤 디벨로퍼에 가용성으로 유지된다. 네거티브 톤 디벨로퍼는 다크-필드 마스크를 이용하여 통상적으로 이미징되는 피처들을 브라이트-필드 마스크를 이용하여 이미징하도록 허여하고, 더 양호한 이미지 콘트라스트를 야기한다.
디벨로퍼에 가용성인 레지스트 층의 부분들은 현상 시 제거된다. 이 부분들의 제거는 레지스트 층의 나머지 부분들의 추가 변형을 유도할 수 있다. 도 9a, 도 9b, 도 9c 및 도 9d는 이러한 예시적인 추가 변형을 개략적으로 나타낸다. 도 9a는 노광 시 충분히 높은 도즈를 수용하였고 따라서 포지티브 톤 디벨로퍼에 가용성인 부분(555) 및 충분히 높은 도즈를 수용하지 않았고 따라서 포지티브 톤 디벨로퍼에 불용성인 부분들(556)을 갖는, 도 5의 변형된 레지스트 층(550)을 나타낸다. 도 9b는 현상 시 부분(555)이 용해되고 부분들(556)이 남는 것을 나타낸다. 부분(555)의 제거는 부분들(556)이 부분들(557)로 더 변형되게 할 수 있다. 점선은 부분들(556)의 윤곽을 나타낸다. 본 예시에서, 부분들(556)은 수축하며 부분들(557)이 된다. 또한, 도 9c는 노광 시 충분히 높은 도즈를 수용하였고 따라서 네거티브 톤 디벨로퍼에 불용성인 부분(555) 및 충분히 높은 도즈를 수용하지 않았고 따라서 네거티브 톤 디벨로퍼에 가용성인 부분들(556)을 갖는, 도 5의 변형된 레지스트 층(550)을 나타낸다. 도 9d는 현상 시 부분(555)이 남고 부분들(556)이 용해되는 것을 나타낸다. 부분들(556)의 제거는 부분(555)이 부분(554)으로 더 변형되게 할 수 있다. 점선은 부분(555)의 윤곽을 나타낸다. 본 예시에서, 부분(555)은 수축하며 부분(554)이 된다. 일 실시예에 따르면, 레지스트의 추가 변형의 적어도 하나의 특성은 현상된(즉, 용해된) 부분들의 영률을 0으로 설정함으로써 얻어질 수 있다.
도 10a 및 도 10b는 예시적인 레지스트 층(1010) 상의 도 6의 방법의 결과를 나타낸다. 이 예시에서, 레지스트 층(1010)은 100 nm의 두께를 갖고 기판(1020) 상에 증착되었다. 레지스트 층(1010)은 마스크(1040)의 개구부를 통해 방사선(1030)에 노출된다. 실선으로 에워싸인 부분(1015)은 충분한 방사선 도즈를 수용하여 포지티브 톤 디벨로퍼에 가용성인 또는 충분한 방사선 도즈를 수용하여 네거티브 톤 디벨로퍼에 불용성인 부분이다. 실선 외부의 부분들(1016)은 충분한 방사선 도즈를 수용하지 않았고, 따라서 포지티브 톤 디벨로퍼에 불용성이거나 네거티브 톤 디벨로퍼에 가용성이다. 도 10b에 도시된 바와 같이, PEB 후 레지스트 층(1010)은 변형된 레지스트 층(1050)으로 변형된다. 부분(1015)은 부분(1055)으로 변형되며; 부분(1016)은 부분들(1056)로 변형된다.
도 10c 및 도 10d는 현상에 의해 유도된 변형을 결정하기 위해 사용될 수 있는 본 명세서에 기술된 방법을 나타낸다. 도 10c는 포지티브 톤 현상 후 부분(1055)이 제거되고, 부분들(1056)이 남으며, 부분들(1057)로 더 변형된다. 점선은 부분들(1056)의 경계를 나타낸다. 도 10d는 네거티브 톤 현상 후 부분들(1056)이 제거되고, 부분(1055)이 남으며, 부분(1054)으로 더 변형된다. 점선들은 부분(1055)의 경계를 나타낸다.
수직 방향, 측방향들로의 변형, 또는 3-차원 변형의 특성은 레지스트 층이 처리되는 공칭 또는 실제 처리 조건들을 이용하여 얻어질 수 있다. 변형의 특성은 위치 의존적일 수 있다.
레지스트 변형이 개별 패턴으로부터 생성된 레지스트 이미지에 영향을 줄 수 있기 때문에, 레지스트 변형은 디자인 레이아웃의 개별 패턴의 공정 윈도우에 영향을 줄 수 있다. 그러므로, 레지스트 변형은 디자인 레이아웃의 패턴들의 그룹의 중첩 공정 윈도우(OPW)에 영향을 줄 수 있는데, 이는 OPW가 그룹의 개별 패턴들의 공정 윈도우들의 중첩이기 때문이다. 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합은 레지스트 변형에 의해 영향을 받는 OPW를 이용하여 결정되거나 예측될 수 있다. 공정 파라미터의 값들이 레지스트 변형에 의해 영향을 받는 OPW 내에 속하는 경우, 결함은 존재하지 않거나 존재할 가능성이 매우 희박하다. 레지스트 변형의 고려는 OPW의 더 정확한 결정을 허용한다.
레지스트 층이 처리되는 실제 처리 조건들을 이용하여 얻어지는 수직 방향, 측방향들로의 변형, 또는 3-차원 변형의 특성은 디바이스 제조 공정으로 생성되는 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합을 결정하거나 예측하기 위해 사용될 수 있다.
레지스트 변형을 결정하는 능력은 리소그래피에 수반되는 다수의 공정들에 유익할 수 있다. 예를 들어, 이 공정들은 OPC, RET, 소스-마스크 최적화, 소스-마스크-렌즈 최적화, 마스크 최적화, 수율 최적화, 공정 윈도우 최적화 등을 포함할 수 있다. 이는 변형이 이러한 최적화들에 사용되는 비용 함수에 영향을 줄 수 있기 때문이다.
리소그래피 투영 장치 또는 공정을 위한 최적화에서, 비용 함수는 다음과 같이 표현될 수 있다:
Figure 112020011985167-pat00009
(수학식 1)
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이다. fP(z1,z2,…,zN)은 (z1,z2,…,zN)의 디자인 변수들의 값들의 세트에 대한 평가 포인트에서의 특성의 실제 값과 의도된 값 간의 차와 같은 디자인 변수들 (z1,z2,…,zN)의 함수일 수 있다. wP는 fP(z1,z2,…,zN)와 연계된 가중치 상수이다. 다른 것들보다 더 임계적인 평가 포인트 또는 패턴에 더 높은 wP 값이 할당될 수 있다. 발생 수가 더 큰 패턴들 및/또는 평가 포인트들에도 더 높은 wP 값이 할당될 수 있다. 평가 포인트들의 예시들은 기판 상의 여하한의 물리적 포인트 또는 패턴, 가상 디자인 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 여하한의 포인트, 또는 그 조합일 수 있다. 일 실시예에서, 디자인 변수들 (z1,z2,…,zN)은 도즈, 패터닝 디바이스의 전역적 바이어스, 소스로부터의 조명의 형상, 또는 그 조합을 포함한다. 흔히 기판 상의 회로 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 레지스트 이미지의 몇몇 특성을 나타내는 함수를 포함한다. 예를 들어, 이러한 평가 포인트의 fP(z1,z2,…,zN)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPEP(z1,z2,…,zN)]일 수 있다. 레지스트 변형이 에지의 위치를 이동시킬 수 있고, 이에 따라 에지와 그 의도된 위치 간의 거리[즉, EPEP(z1,z2,…,zN)]를 변화시킬 수 있기 때문에, 레지스트 변형은 에지 배치 오차 EPEP(z1,z2,…,zN)에 영향을 줄 수 있다. 레지스트 층의 변형의 적어도 하나의 특성은 디자인 변수들의 함수일 수 있다.
디자인 변수들은 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터와 같은 여하한의 조정가능한 파라미터일 수 있다. 투영 광학기는 집합적으로 "파면 머니퓰레이터(wavefront manipulator)"라 하는 구성요소들을 포함할 수 있으며, 이는 조사 빔의 위상 시프트 및/또는 세기 분포 및 파면의 형상을 조정하는 데 사용될 수 있다. 투영 광학기는 패터닝 디바이스 전, 퓨필 평면 부근, 이미지 평면 부근, 초점면 부근과 같은 리소그래피 투영 장치의 광학 경로를 따르는 여하한의 위치에서 파면 및 세기 분포를 조정할 수 있다. 투영 광학기는, 예를 들어 소스, 패터닝 디바이스, 리소그래피 투영 장치 내의 온도 변동, 리소그래피 투영 장치의 구성요소들의 열팽창 등에 의해 야기된 파면 및 세기 분포의 특정 왜곡들을 보정 또는 보상하는 데 사용될 수 있다. 파면 및 세기 분포를 조정하는 것이 비용 함수 및 평가 포인트들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션되거나, 또는 실제로 측정될 수 있다. 물론, CF(z1,z2,…,zN)는 수학식 1의 형태로 제한되지 않는다. CF(z1,z2,…,zN)는 여하한의 다른 적절한 형태일 수 있다.
디자인 변수들은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 세트이다. 디자인 변수들에 대한 한가지 가능한 제약은 리소그래피 투영 장치의 요구되는 스루풋에 의해 부과될 수 있다. 요구되는 스루풋의 하한계는 도즈에 대한 상한계를 초래하며, 이에 따라 확률적 변동에 대한 함축(implication)을 갖는다(예를 들어, 확률적 변동에 하한계를 부과함). 더 짧은 노광 시간 및/또는 더 낮은 도즈가 일반적으로 더 높은 스루풋을 초래하지만, 더 큰 확률적 변동을 초래한다. 확률적 변동의 최소화 및 기판 스루풋의 고려가 디자인 변수들의 가능한 값들을 제한할 수 있는데, 이는 확률적 변동이 디자인 변수들의 함수이기 때문이다. 요구되는 스루풋에 의해 부과되는 이러한 제약 없이, 최적화는 비현실적인 디자인 변수들의 값들의 세트를 산출할 수 있다. 예를 들어, 이러한 제약 없이 도즈가 디자인 변수인 경우, 최적화는 경제적으로 불가능한 스루풋을 구성하는 도즈 값을 산출할 수 있다. 하지만, 제약들의 유용성은 필요성으로 해석되어서는 안 된다. 스루풋은 퓨필 충진율(pupil fill ratio)에 의해 영향을 받을 수 있다. 몇몇 일루미네이터 디자인들에 대해, 낮은 퓨필 충진율은 광을 버려 더 낮은 스루풋을 초래할 수 있다. 또한, 스루풋은 레지스트 화학적 성질에 의해 영향을 받을 수 있다. 더 느린 레지스트(즉, 적절히 노광되기 위해 더 많은 양의 광을 필요로 하는 레지스트)가 더 낮은 스루풋을 초래한다.
그러므로, 최적화 공정은 제약들 (z1,z2,…,zN) ∈ Z 하에서 비용 함수를 최적화하는 디자인 변수들의 값들의 세트를 발견, 즉 다음을 발견하는 것이다:
Figure 112020011985167-pat00010
(수학식 2)
일 실시예에 따른 리소그래피 공정 장치를 최적화하는 일반적인 방법이 도 11에 예시된다. 이 방법은 복수의 디자인 변수들의 다변수 비용 함수를 정의하는 단계(302)를 포함한다. 디자인 변수들은 조명 소스의 특성들(300A)(예를 들어, 퓨필 충진율, 즉 퓨필 또는 어퍼처를 통과하는 소스의 방사선의 백분율), 투영 광학기의 특성들(300B), 및 디자인 레이아웃의 특성들(300C)로부터 선택되는 여하한의 적절한 조합을 포함할 수 있다. 예를 들어, 디자인 변수들은 조명 소스의 특성들(300A) 및 디자인 레이아웃의 특성들(300C)(예를 들어, 전역적 바이어스)을 포함하지만, 투영 광학기의 특성들(300B)은 포함하지 않을 수 있으며, 이는 SMO를 초래한다. 대안적으로, 디자인 변수들은 조명 소스의 특성들(300A), 투영 광학기의 특성들(300B), 및 디자인 레이아웃의 특성들(300C)을 포함할 수 있고, 이는 소스-마스크-렌즈 최적화(SMLO)를 초래한다. 단계 304에서, 디자인 변수들은 비용 함수가 수렴을 향해 이동되도록 동시에 조정된다. 단계 306에서, 사전설정된 종료 조건을 만족하는지가 판단된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며, 즉 비용 함수의 값이 임계값과 동일하거나 임계값을 넘었을 때, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달했을 때, 미리 조정된 반복 수에 도달할 때, 또는 사용되는 수치해석 기술(numerical technique)의 요구에 따라 비용 함수가 최소화 또는 최대화될 수 있다. 단계 306에서의 조건들 중 어느 것이 만족되는 경우 방법이 종료된다. 단계 306에서의 조건들 중 어느 것도 만족되지 않는 경우, 원하는 결과가 얻어질 때까지 단계 304 및 단계 306이 반복적으로 되풀이된다. 최적화는 반드시 디자인 변수들에 대한 값들의 단일 세트를 초래하지는 않는데, 이는 퓨필 충진율, 레지스트 화학적 성질, 스루풋 등과 같은 인자들에 의해 야기되는 물리적 한계가 존재할 수 있기 때문이다. 최적화는 디자인 변수들에 대한 값들의 다수 세트들 및 연계된 성능 특성들(예를 들어, 스루풋)을 제공할 수 있고, 리소그래피 장치의 사용자로 하여금 하나 이상의 세트를 고르게 할 수 있다.
리소그래피 투영 장치에서, 조명, 패터닝 디바이스 및 투영 광학기는 교대로(alternatively) 최적화될 수 있거나[교대 최적화(Alternative Optimization)라 칭함], 또는 동시에 최적화될 수 있다(동시 최적화라 칭함). 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "공동(joint)" 및 "공동으로"라는 용어들은, 소스, 패터닝 디바이스, 투영 광학기의 특성들의 디자인 변수들 및/또는 여하한의 다른 디자인 변수들이 동일한 시간에 변화되도록 허용된다는 것을 의미한다. 본 명세서에서 사용되는 바와 같은 "교대" 및 "교대로"라는 용어는, 디자인 변수들 모두가 동일한 시간에 변화되도록 허용되지는 않는다는 것을 의미한다.
도 11에서, 모든 디자인 변수들의 최적화는 동시에 실행된다. 이러한 흐름은 동시 흐름 또는 공동-최적화 흐름(co-optimization flow)이라 칭해질 수 있다. 대안적으로, 모든 디자인 변수들의 최적화는 도 12에 예시된 바와 같이 교대로 실행된다. 이 흐름에서는, 각각의 단계에서 몇몇 디자인 변수들은 고정되는 한편, 다른 디자인 변수들은 비용 함수를 최소화하도록 최적화된다; 그 후, 다음 단계에서 변수들의 상이한 세트가 고정되는 한편, 다른 것들은 비용 함수를 최소화하도록 최적화된다. 이 단계들은 수렴 또는 특정 종료 조건들이 충족될 때까지 교대로 실행된다. 비-제한적인 예시의 도 12의 흐름도에 나타낸 바와 같이, 우선 디자인 레이아웃(단계 402)이 얻어진 후, 소스 최적화의 단계가 단계 404에서 실행되며, 이때 조명 소스의 모든 디자인 변수가 비용 함수를 최소화하도록 최적화되는 한편(SO), 다른 모든 디자인 변수들은 고정된다. 그 후, 다음 단계 406에서 마스크 최적화(MO)가 수행되며, 이때 패터닝 디바이스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편, 다른 모든 디자인 변수들은 고정된다. 이 두 단계들은 단계 408에서 특정 종료 조건들이 충족될 때까지 교대로 실행된다. 비용 함수의 값이 임계값과 동일하게 되는 것, 비용 함수의 값이 임계값을 넘는 것, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달하는 것, 또는 미리 조정된 반복 수에 도달하는 것 등과 같은 다양한 종료 조건들이 사용될 수 있다. 교대 흐름에 대한 일 예시로서 SO-MO-교대-최적화가 사용된다는 것을 유의한다. 교대 흐름은 많은 상이한 형태, 예를 들어 SO-LO-MO-교대-최적화를 취할 수 있으며, 이때 SO, LO(렌즈 최적화), 및 MO가 교대로 및 반복적으로 실행된다; 또는 우선 SMO가 한 번 실행된 후, LO 및 MO가 교대로 및 반복적으로 실행될 수 있다; 그 밖에도 여러 가지가 있다. 최종적으로, 최적화 결과의 출력이 단계 410에서 얻어지고, 공정이 정지된다.
도 13a는 비용 함수가 최소화되는 한가지 예시적인 최적화 방법을 나타낸다. 단계 S502에서, 만약에 있다면, 조절 범위를 포함하는 디자인 변수들의 초기 값들이 얻어진다. 단계 S504에서, 다변수 비용 함수가 설정된다. 단계 S506에서, 제 1 반복 단계(i=0)에 대해 디자인 변수들의 시작점 값 주위의 충분히 작은 일대(small enough neighborhood) 내에서 비용 함수가 확장된다. 단계 S508에서, 표준 다변수 최적화 기술들이 비용 함수를 최소화하기 위해 적용된다. 최적화 문제는 S508에서 최적화 공정 동안 또는 최적화 공정의 추후 단계에서 조절 범위와 같은 제약들을 적용할 수 있다는 것을 유의한다. 단계 S520은 리소그래피 공정을 최적화하기 위해 선택되었던 식별된 평가 포인트들에 대한 주어진 테스트 패턴들("게이지들"이라고도 알려짐)에 대해 각각의 반복이 행해짐을 나타낸다. 단계 S510에서, 리소그래피 반응이 예측된다. 단계 S512에서, 단계 S510의 결과가 단계 S522에서 얻어지는 원하는 또는 이상적인 리소그래피 반응 값과 비교된다. 단계 S514에서 종료 조건이 만족되면, 즉 최적화가 원하는 값에 충분히 근접한 리소그래피 반응 값을 생성하면, 단계 S518에서 디자인 변수들의 최종 값이 출력된다. 또한, 출력 단계는 퓨필 평면(또는 다른 평면들)에서의 파면 수차-조정된 맵, 최적화된 소스 맵, 및/또는 최적화된 디자인 레이아웃 등을 출력하는 단계와 같이, 디자인 변수들의 최종 값들을 이용하여 다른 함수들을 출력하는 단계를 포함할 수 있다. 종료 조건이 만족되지 않은 경우, 단계 S516에서 디자인 변수의 값들이 i-번째 반복의 결과로 업데이트되며, 공정은 단계 S506으로 되돌아간다. 도 13a의 공정은 아래에서 상세히 설명된다.
예시적인 최적화 공정에서, fp(z1,z2,…,zN)가 충분히 평활한[예를 들어, 1차 도함수
Figure 112020011985167-pat00011
,(n = 1,2,…N)가 존재함] 것을 제외하고는, 디자인 변수들 (z1,z2,…,zN)과 fp(z1,z2,…,zN) 간의 관계가 가정되거나 근사화되지 않으며, 이는 일반적으로 리소그래피 투영 장치에서 유효하다.
Figure 112020011985167-pat00012
를 찾기 위해, 가우스-뉴턴 알고리즘, 레벤버그-마쿼트 알고리즘, 기울기 하강 알고리즘, 시뮬레이션된 어닐링, 유전적 알고리즘과 같은 알고리즘이 적용될 수 있다.
여기서, 일 예시로서 가우스-뉴턴 알고리즘이 사용된다. 가우스-뉴턴 알고리즘은 일반적인 비선형 다변수 최적화 문제에 적용가능한 반복 방법이다. 디자인 변수들 (z1,z2,…,zN)이 (z1i,z2i,…,zNi)의 값들을 취하는 i-번째 반복에서, 가우스-뉴턴 알고리즘은 (z1i,z2i,…,zNi)의 부근에서 fp(z1,z2,…,zN)를 선형화하고, 그 후 CF(z1,z2,…,zN)의 최소값을 제공하는 (z1i,z2i,…,zNi)의 부근에서의 (z1(i+1),z2(i+1),…,zN(i+1)) 값들을 계산한다. 디자인 변수들 (z1,z2,…,zN)은 (i+1)-번째 반복에서 (z1(i+1),z2(i+1),…,zN(i+1))의 값들을 취한다. 이 반복은 수렴[즉, CF(z1,z2,…,zN)가 더 이상 감소하지 않음] 또는 미리 조정된 수의 반복에 도달할 때까지 계속된다.
구체적으로는, i-번째 반복에서, (z1i,z2i,…,zNi)의 부근에서,
Figure 112020011985167-pat00013
(수학식 3)
수학식 3의 근사치 하에서, 비용 함수는 다음과 같다:
Figure 112020011985167-pat00014
(수학식 4)
이는 디자인 변수들 (z1,z2,…,zN)의 이차 함수이다. 디자인 변수들 (z1,z2,…,zN)을 제외한 모든 항은 상수이다.
디자인 변수들 (z1,z2,…,zN)이 어떠한 제약들 하에 있지 않은 경우, (z1(i+1),z2(i+1),…,zN(i+1))는 N 개의 선형 방정식들을 풀어서 도출될 수 있다:
Figure 112020011985167-pat00015
, 이때 n = 1,2,…,N.
디자인 변수들 (z1,z2,…,zN)이 제약들 하에서 J 개의 부등식[예를 들어, (z1,z2,…,zN)의 조절 범위들]
Figure 112020011985167-pat00016
(j = 1,2,…,J); 및 K 개의 등식(예를 들어, 디자인 변수들 간의 상호의존성)
Figure 112020011985167-pat00017
(k = 1,2,…,K)의 형태로 있는 경우, 최적화 공정은 전형적인 이차 프로그래밍 문제가 되며, 이때 Anj, Bj, Cnk, Dk는 상수들이다. 각각의 반복에 대하여 추가적인 제약들이 부과될 수 있다. 예를 들어, 수학식 3의 근사치가 유지되도록 (z1(i+1),z2(i+1),…,zN (i+1))와 (z1i,z2i,…,zNi) 간의 차이를 제한하기 위해 "감쇠 인자(damping factor)" ΔD가 도입될 수 있다. 이러한 제약들은 zniD ≤ zn ≤ zniD로서 표현될 수 있다. (z1(i+1),z2(i+1),…,zN(i+1))는, 예를 들어 Jorge Nocedal 및 Stephen J. Wright의 Numerical Optimization(제 2 판)(Berlin New York: Vandenberghe. Cambridge University Press)에 기술된 방법들을 이용하여 도출될 수 있다.
fp(z1,z2,…,zN)의 RMS를 최소화하는 대신에, 최적화 공정은 평가 포인트들 사이에서 그들의 의도된 값들에 대해 가장 큰 편차(최악의 결함)의 크기를 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
Figure 112020011985167-pat00018
(수학식 5)
여기서, CLp는 fp(z1,z2,…,zN)에 대한 최대 허용 값이다. 이 비용 함수는 평가 포인트들 중에 최악의 결함을 나타낸다. 이 비용 함수를 이용하는 최적화는 최악의 결함의 크기를 최소화한다. 이 최적화를 위해 반복적인 그리디 알고리즘(greedy algorithm)이 사용될 수 있다.
수학식 5의 비용 함수는 다음과 같이 근사화될 수 있다:
Figure 112020011985167-pat00019
(수학식 6)
이때, q는 적어도 4, 바람직하게는 적어도 10과 같은 양의 짝수 정수(even positive integer)이다. 수학식 6은 수학식 5의 형태(behavior)와 흡사하지만, 최적화가 분석적으로 실행되게 하고, 극심 하강 방법(deepest descent method), 공액 구배 방법(conjugate gradient method) 등과 같은 방법들을 이용함으로써 가속되게 한다.
또한, 최악의 결함 크기를 최소화하는 것은 fp(z1,z2,…,zN)의 선형화와 조합될 수 있다. 구체적으로, fp(z1,z2,…,zN)는 수학식 3에서와 같이 근사화된다. 이때, 최악의 결함 크기에 대한 제약들은 부등식들 ELp ≤ fp(z1,z2,…,zN) ≤ EUp로서 쓰여지며, 여기서 ELp 및 EUp는 fp(z1,z2,…,zN)에 대한 최소 및 최대 허용 편차를 특정하는 두 개의 상수들이다. 수학식 3을 대입하면, 이러한 제약들은 p=1,…,P에 대하여 다음으로 변환된다:
Figure 112020011985167-pat00020
(수학식 6')
Figure 112020011985167-pat00021
(수학식 6")
수학식 3이 일반적으로 (z1,z2,…,zN)의 부근에서만 유효하기 때문에, 원하는 제약들 ELp ≤ fp(z1,z2,…,zN) ≤ EUp이 이러한 부근에서 달성될 수 없는 경우 - 이는 부등식들 사이에서 여하한의 상충(conflict)에 의해 결정될 수 있음 - , 상수들 ELp 및 EUp는 제약들이 달성가능할 때까지 완화될 수 있다. 이러한 최적화 공정은 (z1,z2,…,zN),i의 부근에서의 최악의 결함 크기를 최소화한다. 이때, 각각의 단계가 최악의 결함 크기를 점진적으로 감소시키며, 특정 종료 조건들이 충족될 때까지 각각의 단계가 반복적으로 실행된다. 이는 최악의 결함 크기의 최적의 감소를 유도할 것이다.
최악의 결함을 최소화하는 또 다른 방식은 각각의 반복에서 가중치 wp를 조정하는 것이다. 예를 들어, i-번째 반복 후, r-번째 평가 포인트가 최악의 결함인 경우, 그 평가 포인트의 결함 크기의 감소에 더 높은 우선순위가 주어지도록 wr이 (i+1)-번째 반복에서 증가될 수 있다.
또한, 수학식 4 및 수학식 5의 비용 함수들은 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 사이에 절충을 달성하기 위해 라그랑주 승수(Lagrange multiplier)를 도입함으로써 수정될 수 있으며, 즉 다음과 같다:
Figure 112020011985167-pat00022
(수학식 6'")
이때, λ는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 조화를 특정하는 사전설정된 상수이다. 특히, λ=0인 경우, 이는 수학식 4가 되고, 결함 크기의 RMS만이 최소화되는 한편; λ=1인 경우, 이는 수학식 5가 되고, 최악의 결함 크기만이 최소화되며; 0<λ<1인 경우에는, 둘 모두의 최적화가 고려된다. 이러한 최적화는 다수 방법을 이용하여 구할 수 있다. 예를 들어, 이전에 설명된 것과 유사하게 각각의 반복에서의 가중이 조정될 수 있다. 대안적으로, 부등식들로부터 최악의 결함 크기를 최소화하는 것과 유사하게, 수학식 6' 및 6"의 부등식들은 이차 프로그래밍 문제의 해결 동안 디자인 변수들의 제약들로서 여겨질 수 있다. 이후, 최악의 결함 크기에 대한 한계들은 증분적으로(incrementally) 완화되거나 최악의 결함 크기에 대한 가중치를 증분적으로 증가시킬 수 있고, 달성가능한 모든 최악의 결함 크기에 대한 비용 함수 값을 연산할 수 있으며, 다음 단계를 위한 초기 지점으로서 총 비용 함수를 최소화하는 디자인 변수 값들을 선택할 수 있다. 이를 반복적으로 수행함으로써, 이 새로운 비용 함수의 최소화가 달성될 수 있다.
리소그래피 투영 장치를 최적화하는 것이 공정 윈도우를 확장할 수 있다. 더 큰 공정 윈도우는 공정 디자인 및 칩 디자인에 더 많은 유연성을 제공한다. 공정 윈도우는 한 세트의 포커스 및 도즈 값들로서 정의될 수 있으며, 이에 대해 레지스트 이미지는 레지스트 이미지의 디자인 타겟의 특정 한계 내에 있다. 본 명세서에 설명된 모든 방법들은, 노광 도즈 및 디포커스 이외에 상이한 또는 추가적인 기저 파라미터들에 의해 확립될 수 있는 일반화된 공정 윈도우 정의로 연장될 수도 있다는 것을 유의한다. 이들은 광학 세팅들, 예컨대 NA, 시그마, 수차, 편광, 또는 레지스트 층의 광학 상수들을 포함할 수 있으며, 이로 제한되지는 않는다. 예를 들어, 앞서 설명된 바와 같이, PW가 상이한 마스크 바이어스를 포함하는 경우, 최적화는 MEEF(Mask Error Enhancement Factor)의 최소화를 포함하며, 이는 기판 EPE와 유도된 마스크 에지 바이어스 간의 비로서 정의된다. 포커스 및 도즈 값들에 대해 정의된 공정 윈도우는 단지 본 명세서에서 일 예시로서 제공된다. 일 실시예에 따라 공정 윈도우를 최대화하는 방법이 아래에 설명된다.
제 1 단계에서, 공정 윈도우의 알려진 조건(f00)으로부터 시작하며, f0는 공칭 포커스이고, ε0는 공칭 도즈이며, 부근 (f0±Δf,ε0±ε)에서 아래의 비용 함수들 중 하나를 최소화한다:
Figure 112020011985167-pat00023
(수학식 27)
또는
Figure 112020011985167-pat00024
(수학식 27')
또는
Figure 112020011985167-pat00025
(수학식 27")
공칭 포커스(f0) 및 공칭 도즈(ε0)가 시프트하도록 허용되는 경우, 이들은 디자인 변수들 (z1,z2,…,zN)과 공동으로 최적화될 수 있다. 다음 단계에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN,f,ε)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±ε)가 용인된다.
대안적으로, 포커스 및 도즈가 시프트하도록 허용되지 않는 경우, 디자인 변수들 (z1,z2,…,zN)은 공칭 포커스(f0) 및 공칭 도즈(ε0)에 고정된 포커스 및 도즈로 최적화된다. 대안적인 실시예에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±ε)가 용인된다.
본 명세서에서 이전에 설명된 방법들은 수학식들 27, 27' 또는 27"의 각각의 비용 함수들을 최소화하기 위해 사용될 수 있다. 디자인 변수들이 제르니케 계수와 같은 투영 광학기의 특성들인 경우, 수학식들 27, 27' 또는 27"의 비용 함수들을 최소화하는 것은 투영 광학기 최적화, 즉 LO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 투영 광학기의 특성들에 추가하여 소스 및 패터닝 디바이스의 특성들인 경우, 수학식들 27, 27' 또는 27"의 비용 함수를 최소화하는 것은 도 11에 예시된 바와 같은 SMLO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 소스 및 패터닝 디바이스의 특성들인 경우, 수학식들 27, 27' 또는 27"의 비용 함수들을 최소화하는 것은 SMO에 기초한 공정 윈도우 최대화를 유도한다. 또한, 수학식들 27, 27' 또는 27"의 비용 함수들은 수학식 7 또는 수학식 8에서와 같이 적어도 하나의 fp(z1,z2,…,zN)를 포함할 수 있으며, 이는 LWR 또는 2D 피처들의 국부적 CD 변동 및 스루풋과 같은 하나 이상의 확률적 변동의 함수이다.
도 14는 동시 SMLO 공정이 최적화를 위한 가우스 뉴턴 알고리즘을 사용할 수 있는 방식의 특정한 일 예시를 나타낸다. 단계 S702에서, 디자인 변수들의 시작 값들이 식별된다. 또한, 각각의 변수에 대한 조절 범위가 식별될 수 있다. 단계 S704에서, 디자인 변수들을 이용하여 비용 함수가 정의된다. 단계 S706에서, 비용 함수는 디자인 레이아웃의 모든 평가 포인트들에 대한 시작 값들 주위에서 확장된다. 단계 S708에서, 표준 다변수 최적화 기술들이 비용 함수를 최적화하기 위해 적용된다. 최적화 문제는 S708에서 최적화 공정 동안 또는 최적화 공정의 추후 단계에서 조절 범위들과 같은 제약들을 적용할 수 있다는 것을 유의한다. 선택적인 단계 S710에서, 풀-칩 디자인 레이아웃의 모든 임계 패턴들을 포괄하도록 풀-칩 시뮬레이션이 실행된다. 단계 S714에서 (CD 또는 EPE와 같은) 원하는 리소그래피 반응 메트릭이 얻어지며, 단계 S712에서 이러한 양들의 예측 값들과 비교된다. 단계 S716에서, 공정 윈도우가 결정된다. 단계들 S718, S720 및 S722는 도 13a를 참조하여 설명된 바와 같은 대응하는 단계들 S514, S516 및 S518과 유사하다. 앞서 언급된 바와 같이, 최종 출력은 원하는 이미징 성능을 생성하도록 최적화된, 퓨필 평면에서의 파면 수차 맵일 수 있다. 또한, 최종 출력은 최적화된 소스 맵 및/또는 최적화된 디자인 레이아웃일 수 있다.
앞서 설명된 최적화 방법들은 리소그래피 투영 장치의 스루풋을 증가시키기 위해 사용될 수 있다. 예를 들어, 비용 함수는 노광 시간의 함수인 fp(z1,z2,…,zN)를 포함할 수 있다. 이러한 비용 함수의 최적화는 바람직하게 확률적 변동의 측정 또는 다른 메트릭에 의해 제약되거나 영향을 받는다. 구체적으로는, 리소그래피 공정의 스루풋을 증가시키는 컴퓨터-구현 방법이 노광 시간을 최소화하기 위해 기판의 노광 시간의 함수 및 리소그래피 공정의 하나 이상의 확률적 변동의 함수인 비용 함수를 최적화하는 단계를 포함할 수 있다.
도 15는 본 명세서에 개시된 최적화 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable) 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축인 제 1 축(예를 들어, x) 및 제 2 축(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 하나 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합으로 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이로 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지, 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 하나 이상의 명령어의 하나 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다. 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상의 데이터를 수신할 수 있으며, 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 하나 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지들을 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 예를 들어, 하나의 이러한 다운로드된 어플리케이션은 실시예의 조명 최적화에 대해 제공될 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 16은 본 명세서에 설명된 방법들을 이용하여 조명 소스가 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) - 이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함 -;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.
본 명세서에 서술된 바와 같이, 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 장치는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 장치는 전형적인 마스크의 사용에 대한 대안으로서 또 다른 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser)]는 방사선 빔을 생성한다. 이 빔은 곧바로 또는, 예를 들어 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 16과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 빔(PB)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 빔(B)은 렌즈(PL)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭계 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 빔(PB)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 16에 명확히 도시되지는 않는다. 하지만, [스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로] 웨이퍼 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단지 단-행정 액추에이터에 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(PB)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 17은 본 명세서에 설명된 방법들을 이용하여 조명 소스가 최적화될 수 있는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시한다.
리소그래피 투영 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 마스크는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 위의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 17을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 하나 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이로 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 17에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스(facetted field and pupil mirror device)들과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 18은 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부(opening) 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광축을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 18에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 18에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광축(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 19에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 첨단(emerging) 이미징 기술들로 유용할 수 있다. 이미 사용중인 첨단 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 발명은 다음의 조항들을 이용하여 더욱 설명될 수 있다:
1. 컴퓨터-구현 방법에서,
제 1 방향에 대해 수직인 여하한의 방향으로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계;
제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계 - 제 2 방향은 제 1 방향과 상이함 -;
제 1 방향으로의 변형의 특성 및 제 2 방향으로의 변형의 특성에 기초하여, 레지스트 층의 3-차원 변형의 적어도 하나의 특성을 얻는 단계를 포함한다.
2. 조항 1의 방법에서, 제 2 방향은 제 1 방향에 대해 수직이다.
3. 조항 1 또는 2의 방법에서, 제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 3 방향으로 변형의 적어도 하나의 특성을 얻는 단계를 더 포함한다.
4. 조항 3의 방법에서, 3-차원 변형의 적어도 하나의 특성을 얻는 단계는 추가적으로 제 3 방향으로의 변형의 특성에 기초한다.
5. 조항 3 또는 4의 방법에서, 제 1, 제 2 및 제 3 방향들은 상호 수직이다.
6. 조항 1 내지 5 중 어느 한 조항의 방법에서, 3-차원 변형의 특성은 에지 변위 오차의 변화이다.
7. 조항 1 내지 6 중 어느 한 조항의 방법에서, 제 1 방향으로의 변형의 특성은 제 1 방향으로의 레지스트 층의 위치의 변위이다.
8. 조항 1 내지 7 중 어느 한 조항의 방법에서, 제 2 방향으로의 변형의 특성은 제 2 방향으로의 레지스트 층의 위치의 변위이다.
9. 조항 1 내지 8 중 어느 한 조항의 방법에서, 레지스트 층은 기판 상에 있다.
10. 조항 9의 방법에서, 제 1 방향은 기판에 대해 수직이다.
11. 조항 9의 방법에서, 제 2 방향은 기판에 대해 평행하다.
12. 조항 9의 방법에서, 기판은 제 1 방향 및 제 2 방향으로의 변형의 특성들 중 적어도 하나를 제약한다.
13. 조항 9의 방법에서, 기판과 직접적으로 접촉하는 레지스트 층의 부분은 제 1 방향으로 0의 변위를 갖는다.
14. 조항 9의 방법에서, 기판과 직접적으로 접촉하는 레지스트 층의 부분은 제 2 방향으로 0의 변위를 갖는다.
15. 조항 1 내지 14 중 어느 한 조항의 방법에서, 제 1 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계는 제 1 방향으로 거리에 걸쳐 공학적 변형률을 적분하는 단계를 포함한다.
16. 조항 1 내지 15 중 어느 한 조항의 방법에서, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계는 제 2 방향으로 수직 응력 및 전단 응력을 밸런싱하는 단계를 포함한다.
17. 조항 1 내지 16 중 어느 한 조항의 방법에서, 레지스트 층은 포지티브 톤 디벨로퍼로 현상된다.
18. 조항 1 내지 16 중 어느 한 조항의 방법에서, 레지스트 층은 네거티브 톤 디벨로퍼로 현상된다.
19. 조항 1 내지 18 중 어느 한 조항의 방법에서, 현상으로 용해된 레지스트 층의 부분들은 0의 영률을 갖는다.
20. 조항 1 내지 19 중 어느 한 조항의 방법에서, 3-차원 변형의 특성에 기초하여 디바이스 제조 공정 또는 디바이스 제조 장치의 파라미터를 조정하는 단계를 더 포함한다.
21. 조항 20의 방법에서, 레지스트 층은 디바이스 제조 공정 중에 또는 디바이스 제조 장치를 이용하여 하나 이상의 물리적 또는 화학적 처리를 거칠 것이다.
22. 조항 20 또는 21의 방법에서, 디바이스 제조 공정은 리소그래피, 에칭, 증착, 도핑, 메트롤로지 및 이의 조합으로 구성된 그룹으로부터 선택된다.
23. 조항 20 또는 21의 방법에서, 디바이스 제조 장치는 스테퍼, 에처, 스피너, 오븐, 광학 메트롤로지 툴, 전자 현미경, 이온 임플란터, 증착 챔버 및 이의 조합으로 구성된 그룹으로부터 선택된다.
24. 조항 20 또는 21의 방법에서, 파라미터는 디자인 레이아웃의 파라미터이다.
25. 조항 24의 방법에서, 파라미터를 조정한 후 마스크를 제조하는 단계를 더 포함한다.
26. 조항 1 내지 19 중 어느 한 조항의 방법에서, 3-차원 변형의 특성에 기초하여 디자인 레이아웃의 복수의 패턴들의 중첩 공정 윈도우(OPW)를 결정하는 단계를 더 포함한다.
27. 조항 26의 방법에서, OPW에 기초하여 복수의 패턴들로부터 생성된 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합을 결정하거나 예측하는 단계를 더 포함한다.
28. 리소그래피 투영 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하기 위해 리소그래피 공정을 개선하는 컴퓨터-구현 방법에서,
다변수 비용 함수를 연산하는 단계 - 다변수 비용 함수는 리소그래피 공정의 특성들인 복수의 디자인 변수들 및 기판 상의 레지스트 층의 변형의 적어도 하나의 특성의 함수임 -;
특정 종료 조건이 만족될 때까지 디자인 변수들 중 하나 이상을 조정함으로써 리소그래피 공정의 특성들 중 하나 이상을 재구성하는 단계를 포함한다.
29. 조항 28의 방법에서, 변형의 특성은 디자인 변수들의 적어도 몇몇의 함수이다.
30. 조항 28 또는 29의 방법에서, 비용 함수는 에지 배치 오차의 함수이다.
31. 조항 28 내지 30 중 어느 한 조항의 방법에서, 특성들 중 하나 이상은 디자인 레이아웃의 적어도 하나의 파라미터를 포함한다.
32. 조항 31의 방법에서, 디자인 레이아웃의 파라미터를 재구성한 후 마스크를 제조하는 단계를 더 포함한다.
33. 조항 28 내지 32 중 어느 한 조항의 방법에서, 레지스트 층의 변형의 특성은 레지스트 층 내의 위치에서의 변형률이다.
34. 조항 28 내지 32 중 어느 한 조항의 방법에서, 레지스트 층의 변형의 특성은 레지스트 층 내의 위치의 변위이다.
35. 조항 28 내지 32 중 어느 한 조항의 방법에서, 다변수 비용 함수를 연산하는 단계는:
제 1 방향에 대해 수직인 여하한의 방향으로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로 레지스트 층의 변형의 적어도 하나의 제 1 특성을 얻는 단계;
제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 제 2 특성을 얻는 단계 - 제 2 방향은 제 1 방향과 상이함 -;
제 1 특성 및 제 2 특성에 기초하여, 변형의 특성을 얻는 단계를 포함한다.
36. 조항 35의 방법에서, 다변수 비용 함수를 연산하는 단계는 3-차원 변형의 특성에 기초하여, 디자인 레이아웃의 복수의 패턴들의 중첩 공정 윈도우(OPW)를 결정하는 단계를 더 포함한다.
37. 조항 36의 방법에서, OPW에 기초하여 복수의 패턴들로부터 생성된 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합을 결정하거나 예측하는 단계를 더 포함한다.
38. 비-일시적 컴퓨터-판독가능한 매체는 복수의 조건들에서 또한 디자인 변수들의 복수의 값들에서, 확률적 변동의 값들, 또는 확률적 변동의 함수이거나 확률적 변동에 영향을 주는 변수를 갖는다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
본 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 리소그래피 투영 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 생성하도록 리소그래피 공정을 개선하기 위한 방법에 있어서,
    하드웨어 컴퓨터 시스템에 의하여 다변수 비용 함수를 연산하는 단계 - 상기 다변수 비용 함수는 상기 리소그래피 공정의 특성들인 복수의 디자인 변수들 및 상기 기판 상의 레지스트 층의 변형의 적어도 하나의 특성의 함수임 -; 및
    특정 종료 조건이 만족될 때까지 상기 디자인 변수들 중 하나 이상을 조정하고 상기 다변수 비용 함수를 재-연산함으로써 상기 리소그래피 공정의 특성들 중 하나 이상을 재구성하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 레지스트 층의 변형의 특성은 상기 레지스트 층 내의 위치에서의 변형률이거나, 또는 상기 레지스트 층의 변형의 특성은 상기 레지스트 층 내의 위치의 변위인, 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 다변수 비용 함수를 연산하는 단계는:
    제 1 방향에 대해 수직인 여하한의 방향으로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로 레지스트 층의 변형의 적어도 하나의 제 1 특성을 얻는 단계;
    제 1 방향으로 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로 레지스트 층의 변형의 적어도 하나의 제 2 특성을 얻는 단계 - 제 2 방향은 제 1 방향과 상이함 -;
    상기 제 1 특성 및 상기 제 2 특성에 기초하여, 변형의 특성을 얻는 단계를 포함하는, 방법
  4. 제 3 항에 있어서,
    상기 다변수 비용 함수를 연산하는 단계는, 3-차원 변형의 특성에 기초하여, 디자인 레이아웃의 복수의 패턴들의 중첩 공정 윈도우(OPW)를 결정하는 단계를 더 포함하는, 방법.
  5. 제 4 항에 있어서,
    상기 OPW에 기초하여 복수의 패턴들로부터 생성된 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합을 결정하거나 예측하는 단계를 더 포함하는, 방법.
  6. 제 1 항에 있어서,
    상기 변형의 특성은 디자인 변수들 중 적어도 일부의 함수인, 방법.
  7. 제 1 항에 있어서,
    상기 비용 함수는 에지 배치 오차의 함수인, 방법.
  8. 제 1 항에 있어서,
    상기 리소그래피 공정의 특성들 중 하나 이상은 디자인 레이아웃의 파라미터를 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 디자인 레이아웃의 파라미터를 재구성한 후 마스크를 제조하는 단계를 더 포함하는, 방법.
  10. 명령어들을 포함하는 컴퓨터 프로그램을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
    상기 명령어는 컴퓨터 시스템으로 하여금,
    다변수 비용 함수를 연산하는 단계 - 상기 다변수 비용 함수는 리소그래피 공정의 특성들인 복수의 디자인 변수들 및 기판 상의 레지스트 층의 변형의 적어도 하나의 특성의 함수임 -; 및
    특정 종료 조건이 만족될 때까지 상기 디자인 변수들 중 하나 이상을 조정하고 상기 다변수 비용 함수를 재-연산함으로써 상기 리소그래피 공정의 특성들 중 하나 이상을 재구성하는 단계
    를 수행하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
  11. 제 10 항에 있어서,
    상기 명령어는 컴퓨터 시스템으로 하여금,
    제 1 방향에 대해 수직인 여하한의 방향으로 변형이 존재하지 않는 것으로 여기고, 제 1 방향으로 발생하는 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계;
    제 1 방향으로 발생하는 변형이 존재하지 않는 것으로 여기고, 제 2 방향으로 발생하는 레지스트 층의 변형의 적어도 하나의 특성을 얻는 단계 - 제 2 방향은 제 1 방향과 상이함 -; 및
    상기 제 1 방향으로 발생하는 변형의 특성 및 상기 제 2 방향으로 발생하는 변형의 특성에 기초하여, 상기 레지스트 층의 3 차원 변형의 적어도 하나의 특성을 얻는 단계
    를 더 수행하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
  12. 제 11 항에 있어서,
    상기 레지스트 층의 변형의 특성은 상기 레지스트 층 내의 위치에서의 변형률이거나, 또는 상기 레지스트 층의 변형의 특성은 상기 레지스트 층 내의 위치의 변위인, 비-일시적 컴퓨터 판독가능 매체.
  13. 제 10 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 다변수 비용 함수를 연산하는 단계는, 3-차원 변형의 특성에 기초하여, 디자인 레이아웃의 복수의 패턴들의 중첩 공정 윈도우(OPW)를 결정하는 단계를 더 포함하는, 비-일시적 컴퓨터 판독가능 매체.
  14. 제 13 항에 있어서,
    상기 명령어는 컴퓨터 시스템으로 하여금, 상기 OPW에 기초하여 복수의 패턴들로부터 생성된 결함의 존재, 존재 확률, 하나 이상의 특성 또는 이의 조합을 결정하거나 예측하는 단계를 더 수행하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
  15. 제 10 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 변형의 특성은 디자인 변수들 중 적어도 일부의 함수이거나, 상기 비용 함수는 에지 배치 오차의 함수인, 비-일시적 컴퓨터 판독가능 매체.
KR1020207003415A 2015-03-16 2016-02-24 레지스트 변형을 결정하는 방법들 KR102182005B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562133782P 2015-03-16 2015-03-16
US62/133,782 2015-03-16
PCT/EP2016/053877 WO2016146355A1 (en) 2015-03-16 2016-02-24 Methods for determining resist deformation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177029533A Division KR102077173B1 (ko) 2015-03-16 2016-02-24 레지스트 변형을 결정하는 방법들

Publications (2)

Publication Number Publication Date
KR20200015843A KR20200015843A (ko) 2020-02-12
KR102182005B1 true KR102182005B1 (ko) 2020-11-24

Family

ID=55409854

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207003415A KR102182005B1 (ko) 2015-03-16 2016-02-24 레지스트 변형을 결정하는 방법들
KR1020177029533A KR102077173B1 (ko) 2015-03-16 2016-02-24 레지스트 변형을 결정하는 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177029533A KR102077173B1 (ko) 2015-03-16 2016-02-24 레지스트 변형을 결정하는 방법들

Country Status (8)

Country Link
US (1) US10423076B2 (ko)
EP (1) EP3271783B1 (ko)
JP (1) JP6640236B2 (ko)
KR (2) KR102182005B1 (ko)
CN (1) CN107430351B (ko)
SG (1) SG11201706686YA (ko)
TW (1) TWI620033B (ko)
WO (1) WO2016146355A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016091536A1 (en) * 2014-12-09 2016-06-16 Asml Netherlands B.V. Method and apparatus for image analysis
WO2016091534A1 (en) 2014-12-09 2016-06-16 Asml Netherlands B.V. Method and apparatus for image analysis
WO2017172158A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Integrated metrology and process system for semiconductor substrate local stress and overlay correction
EP3492983A1 (en) * 2017-12-04 2019-06-05 ASML Netherlands B.V. Systems and methods for predicting layer deformation
US11625520B2 (en) 2017-12-04 2023-04-11 Asml Netherlands B.V. Systems and methods for predicting layer deformation
EP3531206A1 (en) * 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
CN113302557A (zh) * 2018-12-31 2021-08-24 Asml荷兰有限公司 用于预测抗蚀剂变形的方法
CN109708590B (zh) * 2019-01-23 2020-04-10 中国科学院长春光学精密机械与物理研究所 一种反射镜绝对加工面形的计算方法及***
KR20220093915A (ko) * 2020-12-28 2022-07-05 삼성전자주식회사 반도체 집적회로 레이아웃의 확률적 취약점 검출 방법 및 이를 수행하는 컴퓨터 시스템

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080239267A1 (en) 2007-03-30 2008-10-02 Fujifilm Corporation Exposure apparatus and exposure method for exposure apparatus
JP2012104823A (ja) 2010-11-10 2012-05-31 Asml Netherlands Bv 光源、マスクおよび投影光学系の最適化
US20120327383A1 (en) 2011-06-22 2012-12-27 Asml Netherlands B.V. System and Method to Ensure Source and Image Stability
US20130139116A1 (en) * 2011-11-28 2013-05-30 Luminescent Technologies, Inc. Lithographically enhanced edge determination
JP2013162125A (ja) * 2012-02-03 2013-08-19 Asml Netherlands Bv 3dレジストプロファイルのシミュレーション用のリソグラフィモデル

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JP2938568B2 (ja) 1990-05-02 1999-08-23 フラウンホファー・ゲゼルシャフト・ツール・フォルデルング・デル・アンゲバンテン・フォルシュング・アインゲトラーゲネル・フェライン 照明装置
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6594012B2 (en) * 1996-07-05 2003-07-15 Canon Kabushiki Kaisha Exposure apparatus
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US6433878B1 (en) 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US20080304034A1 (en) 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8806387B2 (en) * 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
WO2015007511A1 (en) 2013-07-19 2015-01-22 Asml Netherlands B.V. Determination and application of non-monotonic dose sensitivity

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080239267A1 (en) 2007-03-30 2008-10-02 Fujifilm Corporation Exposure apparatus and exposure method for exposure apparatus
JP2012104823A (ja) 2010-11-10 2012-05-31 Asml Netherlands Bv 光源、マスクおよび投影光学系の最適化
US20120327383A1 (en) 2011-06-22 2012-12-27 Asml Netherlands B.V. System and Method to Ensure Source and Image Stability
US20130139116A1 (en) * 2011-11-28 2013-05-30 Luminescent Technologies, Inc. Lithographically enhanced edge determination
JP2013162125A (ja) * 2012-02-03 2013-08-19 Asml Netherlands Bv 3dレジストプロファイルのシミュレーション用のリソグラフィモデル

Also Published As

Publication number Publication date
TW201702747A (zh) 2017-01-16
CN107430351B (zh) 2019-06-11
EP3271783B1 (en) 2021-04-07
CN107430351A (zh) 2017-12-01
EP3271783A1 (en) 2018-01-24
SG11201706686YA (en) 2017-09-28
KR102077173B1 (ko) 2020-02-13
JP6640236B2 (ja) 2020-02-05
TWI620033B (zh) 2018-04-01
JP2018509651A (ja) 2018-04-05
US20180246419A1 (en) 2018-08-30
KR20170141667A (ko) 2017-12-26
KR20200015843A (ko) 2020-02-12
US10423076B2 (en) 2019-09-24
WO2016146355A1 (en) 2016-09-22

Similar Documents

Publication Publication Date Title
US11126090B2 (en) Model for calculating a stochastic variation in an arbitrary pattern
US10459346B2 (en) Flows of optimization for lithographic processes
US9934346B2 (en) Source mask optimization to reduce stochastic effects
US20220179321A1 (en) Method for determining pattern in a patterning process
KR102154206B1 (ko) 이미지 로그 슬로프(ils) 최적화
KR102182005B1 (ko) 레지스트 변형을 결정하는 방법들
US10386727B2 (en) Pattern placement error aware optimization
KR102581877B1 (ko) 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
US20210407112A1 (en) Method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
WO2021078460A1 (en) Method for rule-based retargeting of target pattern

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant