KR101670182B1 - 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR101670182B1
KR101670182B1 KR1020150113942A KR20150113942A KR101670182B1 KR 101670182 B1 KR101670182 B1 KR 101670182B1 KR 1020150113942 A KR1020150113942 A KR 1020150113942A KR 20150113942 A KR20150113942 A KR 20150113942A KR 101670182 B1 KR101670182 B1 KR 101670182B1
Authority
KR
South Korea
Prior art keywords
gas
film
wafer
supplying
substrate
Prior art date
Application number
KR1020150113942A
Other languages
English (en)
Other versions
KR20150099501A (ko
Inventor
다카아키 노다
사토시 시마모토
신고 노하라
요시로 히로세
기요히코 마에다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150099501A publication Critical patent/KR20150099501A/ko
Application granted granted Critical
Publication of KR101670182B1 publication Critical patent/KR101670182B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 과제는, 고 에칭 내성을 유지하면서 우수한 애싱 내성을 갖는 박막을 형성하는 것이다. 기판에 대해 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스와, 촉매 가스를 공급하는 공정과, 기판에 대해 산화 가스와 촉매 가스를 공급하는 d공정과, 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 공정을 갖는다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체{SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM}
본 발명은 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서, 기판에 대해 예를 들어 실리콘을 포함하는 원료 가스나, 산화 가스 등을 공급하여, 기판 상에 실리콘 산화막 등의 박막을 형성하는 공정이 행해지는 경우가 있다. 그때, 예를 들어 촉매 가스를 사용함으로써 비교적 저온에서의 성막이 가능해져, 반도체 장치가 받는 열 이력 등을 개선할 수 있다.
일본 특허공개 제2004-40110호 공보
기판 상에 상술한 바와 같은 박막을 형성할 때, 예를 들어 탄소 등을 박막에 첨가함으로써, 불산(HF 수용액) 등에 의한 습식 에칭에 대한 내성을 향상시킬 수 있다.
그러나, 비교적 저온의 조건하에서는, 막 중에 충분한 양의 탄소가 도입되지 않아, 고 에칭 내성의 박막이 얻어지기 어려운 경우가 있다. 또한, 탄소가 첨가된 박막은 애싱 내성이 떨어지는 경우가 있다.
본 발명의 목적은, 고 에칭 내성 및 고 애싱 내성을 갖는 박막을 형성하는 것에 있다.
본 발명의 일 형태에 따르면, 기판에 대해 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 상기 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면, 기판을 수용하는 처리실과, 상기 처리실 내에 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스를 공급하는 원료 가스 공급계와, 상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와, 상기 처리실 내에 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와, 상기 처리실 내에 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 개질 가스 공급계와, 상기 처리실 내의 기판에 대해 상기 원료 가스와 제1 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 산화 가스와 제2 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 개질 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 개질 가스 공급계를 제어하는 제어부를 갖는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 따르면, 처리실 내의 기판에 대해 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스와, 제1 촉매 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따르면, 고 에칭 내성 및 고 애싱 내성을 갖는 박막을 형성할 수 있다.
도 1은 본 발명의 제1 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도로, 처리로 부분을 종단면도로 도시하는 도면.
도 2는 본 발명의 제1 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도로, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면.
도 3은 본 발명의 제1 실시 형태에서 적절하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도로, 컨트롤러의 제어계를 블록도로 나타내는 도면.
도 4는 본 발명의 제1 실시 형태의 성막 시퀀스에 있어서의 성막 플로우를 나타내는 도면.
도 5의 (a)는 본 발명의 제1 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 나타내는 도면, (b)는 그 변형예를 나타내는 도면.
도 6은 본 발명의 제1 실시 형태의 다른 변형예의 성막 시퀀스에 있어서의 성막 플로우를 나타내는 도면으로, (a)는 SiOC막 형성 공정을 나타내는 도면, (b)는 SiOC막 개질 공정을 나타내는 도면.
도 7은 본 발명의 제2 실시 형태의 성막 시퀀스에 있어서의 성막 플로우를 나타내는 도면.
도 8의 (a)는 본 발명의 제2 실시 형태의 성막 시퀀스에 있어서의 가스 공급 및 RF 전력 공급의 타이밍을 나타내는 도면, (b)는 그 변형예를 나타내는 도면.
도 9는 본 발명의 제3 실시 형태의 성막 시퀀스에 있어서의 성막 플로우를 나타내는 도면.
도 10의 (a)는 본 발명의 제3 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 나타내는 도면, (b)는 그 변형예를 나타내는 도면.
도 11은 본 발명의 제3 실시 형태의 다른 변형예의 성막 시퀀스에 있어서의 성막 플로우를 나타내는 도면.
도 12의 (a)는 본 발명의 제3 실시 형태의 다른 변형예의 성막 시퀀스에 있어서의 가스 공급 및 RF 전력 공급의 타이밍을 나타내는 도면, (b)는 또 다른 변형예를 나타내는 도면.
도 13은 본 발명의 제1 실시 형태의 박막 형성 공정의 촉매 반응의 설명도로, (a)는 스텝 1a에 있어서의 설명도, (b)는 스텝 2a에 있어서의 설명도.
도 14는 촉매 가스로서 사용되는 각종 아민의 명칭, 화학 조성식, 화학 구조식 및 산 해리 상수를 나타내는 도면.
도 15의 (a)∼(e)는 원료 가스로서 사용되는 각종 실란의 화학 구조식을 나타내는 도면으로, 각각, BTCSM, BTCSE, TCDMDS, DCTMDS, MCPMDS의 화학 구조식을 나타내는 도면.
도 16은 본 발명의 실시예 및 비교예의 각종 조건하에서 성막된 박막의 습식 에칭률을 나타내는 그래프.
<제1 실시 형태>
이하에, 본 발명의 제1 실시 형태에 대해 도면을 참조하면서 설명한다.
(1) 기판 처리 장치의 전체 구성
도 1에 도시하는 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 보유 지지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는 가스를 열에 의해 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응관(203)이 배치되어 있다.
반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단부가 폐색되고 하단부가 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 하방에는, 반응관(203)과 동심원 형상으로, 매니폴드(인렛 플랜지)(209)가 배치되어 있다. 매니폴드(209)는, 예를 들어 스테인리스 등의 금속으로 구성되고, 상단부 및 하단부가 개구된 원통 형상으로 형성되어 있다. 매니폴드(209)의 상단부는, 반응관(203)의 하단부에 결합되어 있고, 반응관(203)을 지지하도록 구성되어 있다. 매니폴드(209)와 반응관(203) 사이에는, 시일 부재로서의 O링(220a)이 설치되어 있다. 매니폴드(209)가 히터 베이스에 지지됨으로써, 반응관(203)은 수직으로 설치된 상태로 된다. 주로, 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통 중공부에는 처리실(201)이 형성되어 있고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세에서 수직 방향으로 다단으로 정렬한 상태로 수용 가능하게 구성되어 있다.
처리실(201) 내에는, 노즐(249a∼249d)이, 매니폴드(209)의 측벽을 관통하도록 설치되어 있다. 노즐(249a∼249d)에는, 가스 공급관(232a∼232d)이 각각 접속되어 있다. 가스 공급관(232a)에는, 가스 공급관(232e)이 접속되어 있다. 가스 공급관(232c)에는, 가스 공급관(232f)이 접속되어 있다. 이와 같이, 반응관(203)에는, 4개의 노즐(249a∼249d)과, 복수개의 가스 공급관(232a∼232f)이 설치되어 있어, 처리실(201) 내에 복수 종류의 가스를 공급할 수 있도록 구성되어 있다.
가스 공급관(232a)의 상류 단부에는, 예를 들어 원료 가스 공급원으로서의 (SiCl3)2CH2(BTCSM) 가스 공급원(242a)이 접속되어 있다. 가스 공급관(232e)의 상류 단부에는, 예를 들어 원료 가스 공급원으로서의 Si2Cl6(HCDS) 가스 공급원(242e)이 접속되어 있다. 가스 공급관(232b)의 상류 단부에는, 예를 들어 산화 가스 공급원으로서의 H2O 가스 공급원(242b)이 접속되어 있다. 가스 공급관(232c)의 상류 단부에는, 예를 들어 촉매 가스 공급원으로서의 C5H5N(피리딘) 가스 공급원(242c)이 접속되어 있다. 가스 공급관(232f)의 상류 단부에는, 예를 들어 탄소(C)를 포함하는 개질 가스 공급원으로서의 C3H6 가스 공급원(242f)이 접속되어 있다. 가스 공급관(232d)의 상류 단부에는, 예를 들어 질소(N)를 포함하는 개질 가스 공급원으로서의 NH3 가스 공급원(242d)이 접속되어 있다. 가스 공급관(232a∼232d)에 각각 접속되는 가스 공급관(232g∼232j)의 상류 단부에는, 예를 들어 불활성 가스 공급원으로서의 N2 가스 공급원(242g∼242j)이 접속되어 있다. 가스 공급관(232a∼232j)에는, 각 가스 공급원(242a∼242j)이 접속된 상류 방향으로부터 차례로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a∼241j) 및 개폐 밸브인 밸브(243a∼243j)가 각각 설치되어 있다. 가스 공급관(232a∼232d)의 밸브(243a∼243d)보다도 하류측에, 가스 공급관(232g∼232j)의 하류 단부가 각각 접속되어 있다. 가스 공급관(232a, 232c)의 밸브(243a, 243c)보다도 하류측에는, 가스 공급관(232e, 232f)의 하류 단부도 각각 접속되어 있다.
가스 공급관(232a∼232c)의 선단부에는, 상술한 노즐(249a∼249c)이 각각 접속되어 있다. 노즐(249a∼249c)은, 도 2에 도시하는 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원환상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 수직 상승하도록 각각 설치되어 있다. 즉, 노즐(249a∼249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 노즐(249a∼249c)은 L자형의 롱 노즐로서 각각 구성되어 있고, 그들의 각 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그들의 각 수직부는 적어도 웨이퍼 배열 영역의 일단부측으로부터 타단부측을 향해 수직 상승하도록 설치되어 있다. 노즐(249a∼249c)의 측면에는 가스를 공급하는 가스 공급 구멍(250a∼250c)이 각각 형성되어 있다. 도 2에 도시하는 바와 같이, 가스 공급 구멍(250a∼250c)은 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이들 가스 공급 구멍(250a∼250c)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 설치되어 있다.
가스 공급관(232d)의 선단부에는, 상술한 노즐(249d)이 접속되어 있다. 노즐(249d)은 가스 분산 공간인 버퍼실(237) 내에 설치되어 있다. 버퍼실(237)은, 도 2에 도시하는 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원환상의 공간에, 또한 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에, 웨이퍼(200)의 적재 방향을 따라 설치되어 있다. 즉, 버퍼실(237)은 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부에는, 가스를 공급하는 가스 공급 구멍(250e)이 형성되어 있다. 가스 공급 구멍(250e)은 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250e)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 설치되어 있다.
노즐(249d)은, 도 2에 도시하는 바와 같이, 버퍼실(237)의 가스 공급 구멍(250e)이 형성된 단부와 반대측의 단부에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 수직 상승하도록 설치되어 있다. 즉, 노즐(249d)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 노즐(249d)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단부측으로부터 타단부측을 향해 수직 상승하도록 설치되어 있다. 노즐(249d)의 측면에는 가스를 공급하는 가스 공급 구멍(250d)이 형성되어 있다. 도 2에 도시하는 바와 같이, 가스 공급 구멍(250d)은 버퍼실(237)의 중심을 향하도록 개구되어 있다. 이 가스 공급 구멍(250d)은 버퍼실(237)의 가스 공급 구멍(250e)과 마찬가지로, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되어 있다. 이 복수의 가스 공급 구멍(250d) 각각의 개구 면적은, 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우에는, 상류측(하부)으로부터 하류측(상부)까지, 각각 동일한 개구 면적으로 동일한 개구 피치로 하면 되지만, 차압이 큰 경우에는, 상류측으로부터 하류측을 향해 각각 개구 면적을 크게 하거나, 개구 피치를 작게 하면 된다.
본 실시 형태에 있어서는, 가스 공급 구멍(250d) 각각의 개구 면적이나 개구 피치를, 상류측으로부터 하류측에 걸쳐 상술한 바와 같이 조절함으로써, 우선, 가스 공급 구멍(250d) 각각으로부터, 유속의 차는 있지만, 유량이 거의 동량인 가스를 분출시킨다. 그리고 이 가스 공급 구멍(250d) 각각으로부터 분출하는 가스를, 일단, 버퍼실(237) 내에 도입하여, 버퍼실(237) 내에 있어서 가스의 유속차의 균일화를 행하는 것으로 하고 있다. 즉, 가스 공급 구멍(250d) 각각으로부터 버퍼실(237) 내로 분출된 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 가스 공급 구멍(250e)으로부터 처리실(201) 내로 분출된다. 이에 의해, 가스 공급 구멍(250d) 각각으로부터 버퍼실(237) 내로 분출된 가스는, 가스 공급 구멍(250e) 각각으로부터 처리실(201) 내로 분출될 때에는, 균일한 유량과 유속을 갖는 가스로 된다.
이와 같이, 본 실시 형태에 있어서의 롱 노즐을 사용한 가스 공급의 방법에서는, 반응관(203)의 내벽과, 적재된 복수매의 웨이퍼(200)의 단부로 정의되는 원환상의 세로로 길게 신장된 공간 내, 즉, 원통 형상의 공간 내에 배치한 노즐(249a∼249d) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(249a∼249d) 및 버퍼실(237)에 각각 개구된 가스 공급 구멍(250a∼250e)으로부터 웨이퍼(200)의 근방에서 비로소 반응관(203) 내에 가스를 분출시키고 있고, 반응관(203) 내에 있어서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉, 수평 방향으로 하고 있다. 이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)의 표면 상에 형성되는 막의 막 두께의 균일성을 향상시키는 효과가 있다. 웨이퍼(200)의 표면 상을 흐른 가스, 즉, 반응 후의 잔류 가스는, 배기구, 즉, 후술하는 배기관(231)의 방향을 향해 흐르지만, 이 잔류 가스의 흐름 방향은, 배기구의 위치에 따라 적절하게 특정되고, 수직 방향에 한정된 것은 아니다.
가스 공급관(232a)으로부터는, 실리콘(Si), 탄소(C) 및 할로겐 원소[불소(F), 염소(Cl), 브롬(Br) 등]를 포함하여 Si-C 결합을 갖는 원료 가스로서, 예를 들어 Si, 알킬렌기로서의 메틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸렌기를 포함하는 클로로실란계 원료 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통해 처리실(201) 내에 공급된다. 메틸렌기를 포함하는 클로로실란계 원료 가스라 함은, 메틸렌기 및 클로로기를 포함하는 실란계 원료 가스를 말하며, 적어도 Si와, C를 포함한 메틸렌기와, 할로겐 원소로서의 Cl를 포함하는 원료 가스를 말한다. 가스 공급관(232a)으로부터 공급되는 메틸렌기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 메틸렌비스(트리클로로실란) 가스, 즉, 비스(트리클로로실릴)메탄((SiCl3)2CH2, 약칭 : BTCSM) 가스를 사용할 수 있다.
도 15의 (a)에 나타내는 바와 같이, BTCSM은, 그 화학 구조식 중(1분자 중)에 알킬렌기로서의 메틸렌기를 포함한다. BTCSM에 포함되는 메틸렌기는 2개의 결합수가 각각 Si와 결합되어, Si-C-Si 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 BTCSM에 포함되는 Si-C-Si 결합의 일부이며, BTCSM에 포함되는 메틸렌기는, 이러한 Si-C 결합을 구성하는 C를 포함한다.
또한, Si, C 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스에는, 예를 들어 Si, 알킬렌기로서의 에틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 에틸렌기를 포함하는 클로로실란계 원료 가스가 포함된다. 에틸렌기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 에틸렌비스(트리클로로실란) 가스, 즉, 1,2-비스(트리클로로실릴)에탄((SiCl3)2C2H4, 약칭 : BTCSE) 가스 등을 사용할 수 있다.
도 15의 (b)에 나타내는 바와 같이, BTCSE는, 그 화학 구조식 중(1분자 중)에 알킬렌기로서의 에틸렌기를 포함한다. BTCSE에 포함되는 에틸렌기는 2개의 결합수가 각각 Si와 결합되어, Si-C-C-Si 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 BTCSE에 포함되는 Si-C-C-Si 결합의 일부이며, BTCSE에 포함되는 에틸렌기는, 이러한 Si-C 결합을 구성하는 C를 포함한다.
또한, 알킬렌기라 함은, 일반식 CnH2n +2로 나타내어지는 쇄상 포화 탄화수소(알칸)로부터 수소(H) 원자를 2개 제거한 관능기로, 일반식 CnH2n으로 나타내어지는 원자의 집합체이다. 알킬렌기에는, 상기에 언급한 메틸렌기나 에틸렌기 외에, 프로필렌기나 부틸렌기 등이 포함된다. 이와 같이, Si, C 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스에는, Si, 알킬렌기 및 할로겐 원소를 포함하는 알킬렌할로실란계 원료 가스가 포함된다. 알킬렌할로실란계 원료 가스는, 알킬렌기를 포함하는 할로실란계 원료 가스로, 할로실란계 원료 가스에 있어서의 Si의 결합수에 많은 할로겐 원소가 결합된 상태를 유지한 채, 예를 들어 Si-Si 결합 사이에 알킬렌기가 도입된 구조를 갖는 가스라고도 할 수 있다. BTCSM 가스 및 BTCSE 가스 등은, 알킬렌할로실란계 원료 가스에 포함된다.
또한, Si, C 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스에는, 예를 들어 Si, 알킬기로서의 메틸기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸기를 포함하는 클로로실란계 원료 가스가 포함된다. 메틸기를 포함하는 클로로실란계 원료 가스라 함은, 메틸기 및 클로로기를 포함하는 실란계 원료 가스를 말하며, 적어도 Si와, C를 포함한 메틸기와, 할로겐 원소로서의 Cl를 포함하는 원료 가스를 말한다. 메틸기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 1,1,2,2-테트라클로로-1,2-디메틸디실란((CH3)2Si2Cl4, 약칭: TCDMDS) 가스, 1, 2-디클로로-1,1,2,2-테트라메틸 디실란((CH3)4Si2Cl2, 약칭 : DCTMDS) 가스 및 1-모노클로로-1,1,2,2,2-펜타메틸디실란((CH3)5Si2Cl, 약칭 : MCPMDS) 가스 등을 사용할 수 있다.
도 15의 (c)에 나타내는 바와 같이, TCDMDS는, 그 화학 구조식 중(1분자 중)에 알킬기로서의 메틸기를 2개 포함한다. TCDMDS에 포함되는 2개의 메틸기는 각 결합수가 각각 Si와 결합되어, Si-C 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 TCDMDS에 포함되는 Si-C 결합이며, TCDMDS에 포함되는 2개의 메틸기는, 이러한 Si-C 결합을 구성하는 C를 각각 포함한다.
도 15의 (d)에 나타내는 바와 같이, DCTMDS는, 그 화학 구조식 중(1분자 중)에 알킬기로서의 메틸기를 4개 포함한다. DCTMDS에 포함되는 4개의 메틸기는 각 결합수가 각각 Si와 결합되어, Si-C 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 DCTMDS에 포함되는 Si-C 결합이며, DCTMDS에 포함되는 4개의 메틸기는, 이러한 Si-C 결합을 구성하는 C를 각각 포함한다.
도 15의 (e)에 나타내는 바와 같이, MCPMDS는, 그 화학 구조식 중(1분자 중)에 알킬기로서의 메틸기를 5개 포함한다. MCPMDS에 포함되는 5개의 메틸기는 각 결합수가 각각 Si와 결합되어, Si-C 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 MCPMDS에 포함되는 Si-C 결합의 일부이며, MCPMDS에 포함되는 5개의 메틸기는, 원료 가스가 갖는 Si-C 결합을 구성하는 C를 각각 포함한다. 상술한BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스 등의 원료 가스와는 달리, MCPMDS 가스는, Si를 둘러싸는 메틸기와 클로로기의 배치가 MCPMDS 분자 중(화학 구조식 중)에서 비대칭으로 된 애시메트리(asymmetry) 구조를 갖는다. 이와 같이, 본 실시 형태에서는, 도 15의 (a)∼(d)와 같은 화학 구조식을 시메트리(symmetry)인 원료 가스뿐만 아니라, 화학 구조식이 애시메트리인 원료 가스를 사용할 수도 있다.
또한, 알킬기라 함은, 일반식 CnH2n +2로 나타내어지는 쇄상 포화 탄화수소(알칸)로부터 H 원자를 1개 제거한 관능기로, 일반식 CnH2n +1로 나타내어지는 원자의 집합체이다. 알킬기에는, 상기에 언급한 메틸기 외에, 에틸기, 프로필기, 부틸기 등이 포함된다. 이와 같이, Si, C 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스에는, Si, 알킬기 및 할로겐 원소를 포함하는 알킬할로실란계 원료 가스가 포함된다. 알킬할로실란계 원료 가스는, 알킬기를 포함하는 할로실란계 원료 가스로, 할로실란계 원료 가스의 일부의 할로겐기가 알킬기로 치환된 구조를 갖는 가스라고도 할 수 있다. TCDMDS 가스, DCTMDS 가스 및 MCPMDS 가스 등은, 알킬할로실란계 원료 가스에 포함된다.
BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스는, 1분자 중에 C, 할로겐 원소(Cl) 및 적어도 2개의 Si를 포함하여 Si-C 결합을 갖는 원료 가스라고 할 수 있다. 이들을, 실리콘(Si)원 및 탄소(C)원으로 되는 원료 가스라고 할 수도 있다. 이 타입의 원료 가스를 사용함으로써, 후술하는 바와 같이, 형성하는 박막 중에 C를 고농도로 도입하는 것이 가능해진다. 한편, 후술하는 바와 같이, 가스 분자 중에 C를 포함하지 않는 클로로실란계 원료 가스인 HCDS 가스나, 가스 분자 중에 C를 포함하지만 Si-C 결합을 갖지 않는 아미노실란계 원료 가스인 BTBAS 가스 등은, Si원으로 되지만 C원으로는 되지 않는 원료 가스이다. 이 타입의 원료 가스를 사용해도, 후술하는 바와 같이, 형성하는 박막 중에 C가 도입되는 일은 거의 없다.
가스 공급관(232e)으로부터는, 실리콘(Si) 및 할로겐 원소를 포함하는 원료 가스, 즉, 가스 분자 중에 C를 포함하지 않는 할로실란계 원료 가스로서, 예를 들어 Si 및 할로겐기로서의 클로로기를 포함하고, 가스 분자 중에 C를 포함하지 않는 클로로실란계 원료 가스가, MFC(241e), 밸브(243e), 가스 공급관(232a), 노즐(249a)을 통해 처리실(201) 내에 공급된다. 상기한 바와 같이 가스 분자 중에 C를 포함하지 않는 클로로실란계 원료 가스는, Si원으로 되지만 C원으로는 되지 않는 원료 가스이다. 가스 공급관(232e)으로부터 공급되는, 가스 분자 중에 C를 포함하지 않는 클로로실란계 원료 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 사용할 수 있다.
여기서, 원료 가스라 함은, 기체 상태의 원료, 예를 들어 상온 상압하에서 액체 상태인 원료를 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 원료 등을 말한다. 본 명세서에 있어서 「원료」라고 하는 용어를 사용한 경우는, 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우 또는 그 양쪽을 의미하는 경우가 있다. 따라서, 본 명세서에 있어서 「할로실란계 원료(클로로실란계 원료)」라고 하는 용어를 사용한 경우는, 「액체 상태인 할로실란계 원료(클로로실란계 원료)」를 의미하는 경우, 「기체 상태인 할로실란계 원료 가스(클로로실란계 원료 가스)」를 의미하는 경우 또는 그 양쪽을 의미하는 경우가 있다. BTCSM, BTCSE, TCDMDS, DCTMDS, MCPMDS, HCDS와 같이 상온 상압하에서 액체 상태인 액체 원료를 사용하는 경우는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스, HCDS 가스)로서 공급하게 된다.
가스 공급관(232b)으로부터는, 산화 가스로서, 예를 들어 산소(O)를 포함하는 가스(산소 함유 가스)가 MFC(241b), 밸브(243b), 노즐(249b)을 통해 처리실(201) 내에 공급된다. 가스 공급관(232b)으로부터 공급되는 산화 가스로서는, 예를 들어 수증기(H2O 가스)를 사용할 수 있다. H2O 가스의 공급시에는, 도시하지 않은 외부 연소 장치에, 산소(O2) 가스와 수소(H2) 가스를 공급하여 H2O 가스를 생성하고, 공급하는 구성으로 해도 된다.
가스 공급관(232c)으로부터는, 산 해리 상수(이하, pKa라고도 함)가 1∼11 정도, 바람직하게는 5∼11 정도, 보다 바람직하게는 5∼7인 촉매 가스로서, 예를 들어 고립 전자쌍을 갖는 질소(N)를 포함하는 가스(질소계 가스)가 MFC(241c), 밸브(243c), 노즐(249c를 통해 처리실(201) 내에 공급된다. 여기서, 산 해리 상수(pKa)라 함은, 산의 강도를 정량적으로 나타내는 지표 중 하나이며, 산으로부터 수소 이온이 방출되는 해리 반응에 있어서의 평형 상수 Ka를 부의 상용 로그로 나타낸 것이다. 촉매 가스는, 고립 전자쌍을 갖는 N를 포함함으로써, 그 촉매 작용에 의해 웨이퍼(200)의 표면, 혹은 H2O 가스 등의 산화 가스가 갖는 O-H 결합의 결합력을 약화시켜, 원료 가스 등의 분해를 촉진시키고, 또한 H2O 가스 등에 의한 산화 반응을 촉진시킨다. 고립 전자쌍을 갖는 N를 포함하는 질소계 가스로서는, 예를 들어 암모니아(NH3)가 갖는 수소 원자 중 적어도 1개를 알킬기 등의 탄화수소기로 치환한 아민을 포함하는 아민계 가스를 들 수 있다. 가스 공급관(232c)으로부터 공급되는 촉매 가스로서는, 예를 들어 아민계 가스인 피리딘(C5H5N) 가스를 사용할 수 있다.
도 14에 나타내는 바와 같이, 촉매 가스로서 사용되는 각종 아민은, 예를 들어 피리딘(C5H5N, pKa=5.67) 외에, 아미노피리딘(C5H6N2, pKa=6.89), 피콜린(C6H7N, pKa=6.07), 루티딘(C7H9N, pKa=6.96), 피리미딘(C4H4N2, pKa=1.30), 퀴놀린(C9H7N, pKa=4.97), 피페라진(C4H10N2, pKa=9.80) 및 피페리딘(C5H11N, pKa=11.12) 등을 포함한다. 도 14에 나타내는 각종 아민은, 탄화수소기가 환상으로 된 환상 아민이기도 하다. 이들 환상 아민은, C와 N의 복수 종류의 원소로부터 그 환상 구조가 구성되는 복소환 화합물, 즉, 질소 함유 복소환 화합물이라고도 할 수 있다. 이들의 촉매 가스로서의 아민계 가스는, 아민계 촉매 가스라고도 할 수 있다.
여기서, 아민계 가스라 함은, 기체 상태의 아민, 예를 들어 상온 상압하에서 액체 상태인 아민을 기화시킴으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 아민 등을 포함하는 가스를 말한다. 본 명세서에 있어서 「아민」이라고 하는 용어를 사용한 경우는, 「액체 상태인 아민」을 의미하는 경우, 「기체 상태인 아민계 가스」를 의미하는 경우 또는 그 양쪽을 의미하는 경우가 있다. 피리딘, 아미노피리딘, 피콜린, 루티딘, 피리미딘, 퀴놀린, 피페라진 및 피페리딘과 같이 상온 상압하에서 액체 상태인 아민을 사용하는 경우는, 액체 상태의 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 아민계 가스(피리딘 가스, 아미노피리딘 가스, 피콜린 가스, 루티딘 가스, 피리미딘 가스, 퀴놀린 가스, 피페라진 가스 및 피페리딘 가스)로서 공급하게 된다. 이에 대해, 후술하는 트리메틸아민((CH3)3N, 약칭 : TMA)과 같이, 상온 상압하에서 기체 상태인 아민을 사용하는 경우는, 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화하는 일 없이, 아민계 가스(TMA 가스)로서 공급할 수 있다.
가스 공급관(232f)으로부터는, 탄소(C) 및 질소(N)를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스로서, 예를 들어 C를 포함하는 개질 가스로서의 탄소 함유 가스(C 함유 가스)가 MFC(241f), 밸브(243f), 가스 공급관(232c), 노즐(249c를 통해 처리실(201) 내에 공급된다. C 함유 가스에는, 탄화수소계 가스가 포함된다. 탄화수소는, 포화 탄화수소이어도, 불포화 탄화수소이어도 되고, 또한 쇄상 탄화수소이어도, 환상 탄화수소이어도 된다. 가스 공급관(232f)으로부터 공급되는 C 함유 가스로서는, 예를 들어 이중 결합을 1개 갖는 쇄상 불포화 탄화수소를 포함하는 탄화수소계 가스인 프로필렌(C3H6) 가스를 사용할 수 있다.
가스 공급관(232d)으로부터는, C 및 N를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스로서, 예를 들어 N를 포함하는 개질 가스로서의 질소 함유 가스(N 함유 가스)가 MFC(241d), 밸브(243d), 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. N 함유 가스에는, 비아민계 가스가 포함된다. 가스 공급관(232d)으로부터 공급되는 N 함유 가스로서는, 예를 들어 비아민계 가스인 NH3 가스를 사용할 수 있다.
가스 공급관(232g∼232j)으로부터는, 불활성 가스로서, 예를 들어 질소(N2) 가스가, 각각 MFC(241g∼241j), 밸브(243g∼243j), 가스 공급관(232a∼232d), 노즐(249a∼249d), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. 불활성 가스로서의 N2 가스는, 퍼지 가스로서도 작용한다. 가스 공급관(232j)으로부터 공급되는 N2 가스는, 플라즈마의 착화를 어시스트하는 어시스트 가스(착화 가스)로서도 작용하는 경우가 있다.
각 가스 공급관으로부터 상술한 바와 같은 가스를 각각 흘리는 경우, 주로, 가스 공급관(232a, 232e), MFC(241a, 241e), 밸브(243a, 243e)에 의해, 원료 가스를 공급하는 원료 가스 공급계가 구성된다. 노즐(249a), BTCSM 가스 공급원(242a), HCDS 가스 공급원(242e)을 원료 가스 공급계에 포함하여 생각해도 된다. 원료 가스 공급계를 원료 공급계라고 칭할 수도 있다. 또한, 원료 가스 공급계는, 각각 서로 다른 원소의 원소원으로 되는 복수 종류의 원료 가스나, 분자 구조가 각각 서로 다른 복수 종류의 원료 가스를 각각 공급하는 복수개 공급 라인(공급계)의 집합체라고 볼 수도 있다. 즉, 원료 가스 공급계는, 주로 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 구성되는 BTCSM 가스 공급 라인과, 주로 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해 구성되는 HCDS 가스 공급 라인의 집합체라고 할 수 있다. 개개의 공급 라인에, 노즐(249a)이나, 대응하는 각 원료 가스 공급원(242a, 242e)을 포함하여 생각해도 된다.
또한, 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해, 산화 가스 공급계가 구성된다. 노즐(249b), H2O 가스 공급원(242b)을 산화 가스 공급계에 포함하여 생각해도 된다.
또한, 주로, 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해, 촉매 가스 공급계가 구성된다. 노즐(249c), 피리딘 가스 공급원(242c를 촉매 가스 공급계에 포함하여 생각해도 된다. 촉매 가스 공급계를 아민계 촉매 가스 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232d, 232f), MFC(241d, 241f), 밸브(243d, 243f)에 의해, C 및 N을 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 개질 가스 공급계가 구성된다. 노즐(249d), 버퍼실(237), NH3 가스 공급원(242d), C3H6 가스 공급원(242f)을 개질 가스 공급계에 포함하여 생각해도 된다. 또한, 개질 가스 공급계는, 각각 서로 다른 원소를 포함하는 복수 종류의 개질 가스나, 분자 구조가 각각 서로 다른 복수 종류의 개질 가스를 각각 공급하는 복수개 공급 라인(공급계)의 집합체라고 볼 수도 있다. 즉, 개질 가스 공급계는, 주로 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해 구성되는 NH3 가스 공급 라인과, 주로 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해 구성되는 C3H6 가스 공급 라인의 집합체라고 할 수 있다. 개개의 공급 라인에, 대응하는 각 노즐(249d, 249c)이나 각 개질 가스 공급원(242d, 242f), 버퍼실(237)을 포함하여 생각해도 된다.
또한, 주로, 가스 공급관(232g∼232j), MFC(241g∼241j), 밸브(243g∼243j)에 의해, 불활성 가스 공급계가 구성된다. 또한, 가스 공급관(232a∼232d)에 있어서의 가스 공급관(232g∼232j)과의 접속부로부터 하류측, 노즐(249a∼249d), 버퍼실(237), N2 가스 공급원(242g∼242j)을 불활성 가스 공급계에 포함하여 생각해도 된다. 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다. 어시스트 가스로서의 N2 가스를 공급하는 가스 공급관(232j), MFC(241j), 밸브(243j)를 어시스트 가스 공급계라고 칭할 수도 있다. 노즐(249d)이나 버퍼실(237)이나 N2 가스 공급원(242j)을 어시스트 가스 공급계에 포함하여 생각해도 된다.
또한, 산화 가스 공급계나 촉매 가스 공급계 등의, 원료 가스 공급계나 개질 가스 공급계 이외의 공급계에 대해서도, 분자 구조 등이 각각 서로 다른 복수 종류의 가스를 각각 공급하는 공급 라인(공급계)을 복수 설치해도 된다.
버퍼실(237) 내에는, 도 2에 도시하는 바와 같이, 도전체로 이루어지고, 가늘고 긴 구조를 갖는 2개의 막대 형상 전극(269, 270)이, 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배치되어 있다. 막대 형상 전극(269, 270) 각각은, 노즐(249d)과 평행하게 설치되어 있다. 막대 형상 전극(269, 270) 각각은, 상부로부터 하부에 걸쳐 전극 보호관(275)에 의해 덮임으로써 보호되어 있다. 막대 형상 전극(269, 270) 중 어느 한쪽은, 정합기(272)를 통해 고주파 전원(273)에 접속되고, 다른 쪽은, 기준 전위인 접지에 접속되어 있다. 정합기(272)를 통해 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에 고주파(RF) 전력을 인가함으로써, 막대 형상 전극(269, 270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로, 막대 형상 전극(269, 270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원이 구성된다. 정합기(272), 고주파 전원(273)을 플라즈마원에 포함하여 생각해도 된다. 플라즈마원은, 가스를 플라즈마 상태로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
전극 보호관(275)은 막대 형상 전극(269, 270) 각각을 버퍼실(237) 내의 분위기와 격리시킨 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 되어 있다. 여기서, 전극 보호관(275)의 내부의 산소 농도가 외기(대기)의 산소 농도와 동일 정도이면, 전극 보호관(275) 내에 각각 삽입된 막대 형상 전극(269, 270)은, 히터(207)에 의한 열에 의해 산화되어 버린다. 따라서, 전극 보호관(275)의 내부에 N2 가스 등의 불활성 가스를 충전해 두거나, 불활성 가스 퍼지 기구를 사용하여 전극 보호관(275)의 내부를 N2 가스 등의 불활성 가스로 퍼지함으로써 전극 보호관(275)의 내부의 산소 농도를 저감시켜, 막대 형상 전극(269, 270)의 산화를 억제할 수 있도록 구성되어 있다.
반응관(203)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통해, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함하여 생각해도 된다. 배기관(231)은 반응관(203)에 설치하는 경우에 한정되지 않고, 노즐(249a∼249d)과 마찬가지로 매니폴드(209)에 설치해도 된다.
매니폴드(209)의 하방에는, 매니폴드(209)의 하단부 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은 매니폴드(209)의 하단부에 수직 방향 하측으로부터 접촉되도록 구성되어 있다. 시일 캡(219)은 예를 들어 스테인리스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는 매니폴드(209)의 하단부와 접촉하는 시일 부재로서의 O링(220b)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다.
시일 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 즉, 보트 엘리베이터(115)는 보트(217) 및 보트(217)에 지지되는 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는 예를 들어 석영이나 탄화 실리콘 등의 내열성 재료로 이루어지고, 복수매의 웨이퍼(200)를 수평 자세로, 또한 서로 중심을 맞춘 상태에서 정렬시켜 다단으로 지지하도록 구성되어 있다. 보트(217)의 하부에는, 예를 들어 석영이나 탄화 실리콘 등의 내열성 재료로 이루어지는 단열판(218)이 수평 자세로 다단으로 지지되어 있어, 히터(207)로부터의 열이 시일 캡(219)측으로 전달되기 어려워지도록 구성되어 있다. 단, 보트(217)의 하부에 단열판(218)을 설치하지 않고, 석영이나 탄화 실리콘 등의 내열성 재료로 이루어지는 통 형상의 부재로서 구성된 단열통을 설치해도 된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 되도록 구성되어 있다. 온도 센서(263)는 노즐(249a∼249d)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라 설치되어 있다.
도 3에 나타내는 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 박막 형성 등의 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이, 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 박막 형성 공정 등의 기판 처리 공정에 있어서의 각 순서를 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것으로, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히 프로그램이라고도 한다. 본 명세서에 있어서 프로그램이라고 하는 용어를 사용한 경우는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에어리어)으로서 구성되어 있다.
I/O 포트(121d)는 상술한 MFC(241a∼241j), 밸브(243a∼243j), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 온도 센서(263), 히터(207), 정합기(272), 고주파 전원(273), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(121a)는, 판독한 프로세스 레시피의 내용을 따르도록, MFC(241a∼241j)에 의한 각종 가스의 유량 조정 동작, 밸브(243a∼243j)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 정합기(272)에 의한 임피던스 조정 동작, 고주파 전원(273)의 전력 공급 등을 제어하도록 구성되어 있다.
컨트롤러(121)는 전용의 컴퓨터로서 구성되어 있는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)를 준비하고, 이러한 외부 기억 장치(123)를 사용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태에 관한 컨트롤러(121)를 구성할 수 있다. 단, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(123)를 통해 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(123)를 거치지 않고 프로그램을 공급하도록 해도 된다. 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여, 간단히, 기록 매체라고도 한다. 본 명세서에 있어서 기록 매체라고 하는 용어를 사용한 경우는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다.
(2) 박막 형성 공정
다음으로, 상술한 기판 처리 장치의 처리로(202)를 사용하여, 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서, 기판 상에 박막을 형성(성막)하는 시퀀스 예에 대해 설명한다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는, 기판으로서의 웨이퍼(200)에 대해 실리콘(Si)원 및 탄소(C)원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 탄소(C) 및 질소(N)를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, 웨이퍼(200) 상에 실리콘(Si), 산소(O), 탄소(C)를 포함하는 박막 또는 실리콘(Si), 산소(O), 탄소(C) 및 질소(N)를 포함하는 박막을 형성한다.
여기서, 사이클이 「원료 가스와 촉매 가스를 공급하는 공정」과 「산화 가스와 촉매 가스를 공급하는 공정」과 「개질 가스를 공급하는 공정」의 각 공정을 포함한다고 하는 것은, 1 사이클 내에 각 공정이 1회 이상 포함되어 있는 것을 말한다. 따라서, 1 사이클에 있어서, 각 공정을 1회씩 행해도 되고, 혹은 적어도 어느 한쪽의 공정을 복수회 행해도 된다. 1 사이클에 있어서, 각 공정을 동일한 횟수 행해도 되고, 다른 횟수 행해도 된다. 사이클 내에서의 각 공정의 실시 순서는 임의로 결정할 수 있다. 이와 같이, 각 공정을 행하는 횟수, 순서, 조합 등을 적절하게 변경함으로써, 막질이나 막 조성이나 성분 비율 등이 다른 박막을 형성할 수 있다. 또한, 「사이클을 소정 횟수 행한다」고 하는 것은, 이 사이클을 1회 이상 행하는 것, 즉, 이 사이클을 1회 행하는 것, 또는 복수회 반복하는 것을 말한다.
예를 들어, 본 실시 형태의 1 사이클은,
웨이퍼(200)에 대해 원료 가스와 촉매 가스를 공급하는 공정과,
웨이퍼(200)에 대해 산화 가스와 촉매 가스를 공급하는 공정
을 포함하는 세트를 소정 횟수 행함으로써, 웨이퍼(200) 상에 적어도 Si 및 O를 포함하는 제1 박막을 형성하는 공정과,
웨이퍼(200)에 대해 개질 가스를 공급하는 공정을 행함으로써, 제1 박막을 C를 더 포함하는 제2 박막, C를 포함하고 N를 더 포함하는 제2 박막 또는 C와 N를 더 포함하는 제2 박막으로 개질하는 공정을 행하는 것을 포함한다.
또한, 본 실시 형태에서는, 각 공정은, 논 플라즈마의 분위기하에서 행해진다.
본 실시 형태에서는, 형성하는 박막의 조성비가 화학 양론 조성 또는 화학 양론 조성과는 다른 소정의 조성으로 되도록 하는 것을 목적으로 하여, 형성하는 박막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스의 공급 조건을 제어한다. 예를 들어, 형성하는 박막을 구성하는 복수의 원소 중 적어도 1개의 원소가 다른 원소보다도 화학 양론 조성에 대해 과잉으로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어한다. 이하, 형성하는 박막을 구성하는 복수의 원소의 비율, 즉, 박막의 조성비를 제어하면서 성막을 행하는 시퀀스 예에 대해 설명한다.
이하, 본 실시 형태의 성막 시퀀스에 대해, 도 4, 도 5의 (a)를 이용하여 설명한다.
여기서는, 웨이퍼(200)에 대해 실리콘(Si)원 및 탄소(C)원으로 되는 원료 가스로서, 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스로서의 BTCSM 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정과(스텝 1a),
웨이퍼(200)에 대해 산화 가스로서의 H2O 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정(스텝 2a)
을 포함하는 세트를 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에 Si, O 및
C를 포함하는 제1 박막으로서 실리콘 산탄화막(SiOC막)을 형성하는 공정과,
웨이퍼(200)에 대해 C 및 N을 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스로서, N를 포함하는 개질 가스인 N 함유 가스로서의 NH3 가스를 공급하는 공정을 행함으로써,
SiOC막을, C를 포함하고 N를 더 포함하는 제2 박막으로서의 실리콘 산탄질화막(SiOCN막)으로 개질하는 공정
을 포함하는 사이클을 소정 횟수, 예를 들어 1회 행하는 예에 대해 설명한다.
본 성막 시퀀스에 의해, 웨이퍼(200) 상에 Si, O, C 및 N를 포함하는 박막으로서 SiOCN막, 즉, N가 도프(첨가)된 SiOC막이 형성된다. 또한, 이 SiOCN막을, C가 도프(첨가)된 실리콘 산질화막(SiON막)이나, C와 N가 도프된 실리콘 산화막(SiO2막, 이하, SiO막이라고도 함) 등이라고 할 수도 있다.
본 명세서에 있어서 「웨이퍼」라고 하는 용어를 사용한 경우에는, 「웨이퍼 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우, 즉, 표면에 형성된 소정의 층이나 막 등을 포함하여 웨이퍼라 칭하는 경우가 있다. 또한, 본 명세서에 있어서 「웨이퍼의 표면」이라고 하는 용어를 사용한 경우는, 「웨이퍼 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉, 적층체로서의 웨이퍼의 최표면」을 의미하는 경우가 있다.
본 명세서에 있어서 「웨이퍼에 대해 소정의 가스를 공급한다」라고 기재한 경우는, 「웨이퍼 자체의 표면(노출면)에 대해 소정의 가스를 직접 공급하는」것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등에 대해 즉, 적층체로서의 웨이퍼의 최표면에 대해 소정의 가스를 공급하는」것을 의미하는 경우가 있다. 또한, 본 명세서에 있어서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」라고 기재한 경우는, 「웨이퍼 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성하는」것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등의 상, 즉, 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성하는」것을 의미하는 경우가 있다.
본 명세서에 있어서 「기판」이라고 하는 용어를 사용한 경우도, 「웨이퍼」라고 하는 용어를 사용한 경우와 마찬가지이며, 그 경우, 상기 설명에 있어서, 「웨이퍼」를 「기판」으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시하는 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220b)을 통해 매니폴드(209)의 하단부를 시일한 상태로 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)으로 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)에 의해 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 항상 작동시킨 상태를 유지한다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전 상태가 피드백 제어된다(온도 조정). 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 행해진다. 단, 후술하는 바와 같이, 실온에서 웨이퍼(200)에 대한 처리를 행하는 경우는, 히터(207)에 의한 처리실(201) 내의 가열은 행하지 않아도 된다. 계속해서, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 행해진다.
(SiOC막 형성 공정)
그 후, 다음 2개의 스텝, 즉, 스텝 1a, 2a를 순차 실행한다.
[스텝 1a]
(BTCSM 가스+피리딘 가스 공급)
밸브(243a)를 개방하여, 가스 공급관(232a) 내에 BTCSM 가스를 흘린다. BTCSM 가스는, MFC(241a)에 의해 유량 조정되고, 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 BTCSM 가스가 공급되게 된다(BTCSM 가스 공급). 이때 동시에 밸브(243g)를 개방하여, 가스 공급관(232g) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는, MFC(241g)에 의해 유량 조정되고, BTCSM 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
또한, 밸브(243c)를 개방하여, 가스 공급관(232c) 내에 피리딘 가스를 흘린다. 피리딘 가스는, MFC(241c)에 의해 유량 조정되고, 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 피리딘 가스가 공급되게 된다(피리딘 가스 공급). 이때 동시에 밸브(243i)를 개방하여, 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는, MFC(241i)에 의해 유량 조정되고, 피리딘 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
또한, 노즐(249b, 249d) 내나 버퍼실(237) 내로의 BTCSM 가스 및 피리딘 가스의 침입을 방지하기 위해, 밸브(243h, 243j)를 개방하여, 가스 공급관(232h, 232j) 내로 N2 가스를 흘린다. N2 가스는, 가스 공급관(232b, 232d), 노즐(249b, 249d), 버퍼실(237)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1∼13330㎩, 바람직하게는 133∼2666㎩의 범위 내의 압력으로 한다. MFC(241a)로 제어하는 BTCSM 가스의 공급 유량은, 예를 들어 1∼2000sccm, 바람직하게는 10∼1000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은, 예를 들어 1∼2000sccm, 바람직하게는 10∼1000sccm의 범위 내의 유량으로 한다. MFC(241g∼241j)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100∼10000sccm의 범위 내의 유량으로 한다. BTCSM 가스 및 피리딘 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1∼100초, 바람직하게는 5∼60초의 범위 내의 시간으로 한다.
이때 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예를 들어 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 되는 온도로 설정한다. BTCSM 가스 공급시에, 촉매 가스를 공급하지 않는 경우에는, 웨이퍼(200)의 온도가 250℃ 미만으로 되면 웨이퍼(200) 상에 BTCSM이 화학 흡착되기 어려워져, 실용적인 성막률이 얻어지지 않게 되는 경우가 있다. 본 실시 형태와 같이, 촉매 가스로서의 피리딘 가스를 공급함으로써, 웨이퍼(200)의 온도를 250℃ 미만으로 해도, 이것을 해소하는 것이 가능해진다. 피리딘 가스의 존재하에 있어서, 웨이퍼(200)의 온도를 150℃ 이하, 나아가서는 100℃ 이하로 함으로써, 웨이퍼(200)에 가해지는 열량을 저감시킬 수 있어, 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 행할 수 있다. 피리딘 가스의 존재하에서는, 웨이퍼(200)의 온도가 실온 이상의 온도이면, 웨이퍼(200) 상에 BTCSM을 충분히 흡착시킬 수 있어, 충분한 성막률이 얻어지게 된다. 따라서, 웨이퍼(200)의 온도는 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 하는 것이 좋다.
상술한 조건하에서 웨이퍼(200)에 대해 BTCSM 가스를 공급함으로써, 웨이퍼(200)(표면의 기초막) 상에, 제1층으로서, 예를 들어 1 원자층 미만으로부터 수 원자층 정도의 두께의 C 및 Cl를 포함하는 실리콘 함유층(Si 함유층)이 형성된다. C 및 Cl를 포함하는 Si 함유층은, C 및 Cl를 포함하는 실리콘층(Si층)이어도 되고, BTCSM 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
C 및 Cl를 포함하는 Si층이라 함은, Si에 의해 구성되고 C 및 Cl를 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐 생기는 C 및 Cl를 포함하는 실리콘 박막(Si 박막)도 포함하는 총칭이다. Si에 의해 구성되고 C 및 Cl를 포함하는 연속적인 층을, C 및 Cl를 포함하는 Si 박막이라 하는 경우도 있다. C 및 Cl를 포함하는 Si층을 구성하는 Si는, C나 Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, C나 Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
BTCSM 가스의 흡착층은, BTCSM 가스의 가스 분자의 연속적인 흡착층 외에, 불연속인 흡착층도 포함한다. 즉, BTCSM 가스의 흡착층은, BTCSM 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 흡착층을 포함한다. BTCSM 가스의 흡착층을 구성하는 BTCSM((SiCl3)2CH2) 분자는, 도 15의 (a)에 화학 구조식을 나타내는 것뿐만 아니라, Si와 C와의 결합이 일부 끊어진 것이나, Si와 Cl과의 결합이 일부 끊어진 것도 포함한다. 즉, BTCSM 가스의 흡착층은, BTCSM 분자의 화학 흡착층이나, BTCSM 분자의 물리 흡착층을 포함한다.
여기서, 1 원자층 미만의 두께의 층이라 함은, 불연속으로 형성되는 원자층을 의미하고 있고, 1 원자층의 두께의 층이라 함은, 연속적으로 형성되는 원자층을 의미하고 있다. 또한, 1 분자층 미만의 두께의 층이라 함은, 불연속으로 형성되는 분자층을 의미하고 있고, 1 분자층의 두께의 층이라 함은, 연속적으로 형성되는 분자층을 의미하고 있다. 또한, C 및 Cl를 포함하는 Si 함유층은, C 및 Cl를 포함하는 Si층과 BTCSM 가스의 흡착층의 양쪽을 포함할 수 있지만, 상술한 바와 같이, C 및 Cl를 포함하는 Si 함유층에 대해서는 「1 원자층」,「수 원자층」 등의 표현을 사용하는 것으로 한다.
웨이퍼(200) 상에 형성되는 제1층으로서의 C 및 Cl를 포함하는 Si 함유층의 두께가 수 원자층을 초과하면, 후술하는 스텝 2a에서의 산화의 작용이 제1층 전체에 미치지 않게 된다. 또한, 웨이퍼(200) 상에 형성 가능한 제1층의 두께의 최소값은 1 원자층 미만이다. 따라서, 제1층의 두께는 1 원자층 미만으로부터 수 원자층 정도로 하는 것이 바람직하다. 제1층의 두께를 1 원자층 이하, 즉, 1 원자층 또는 1 원자층 미만으로 함으로써, 후술하는 스텝 2a에서의 산화 반응의 작용을 상대적으로 높일 수 있어, 스텝 2a에서의 산화 반응에 필요로 하는 시간을 단축할 수 있다. 스텝 1a에서의 제1층의 형성에 필요로 하는 시간을 단축할 수도 있다. 결과적으로, 1세트당의 처리 시간을 단축할 수 있어, 토탈 처리 시간을 단축하는 것도 가능해진다. 즉, 성막률을 높게 하는 것도 가능해진다. 또한, 제1층의 두께를1 원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
BTCSM 가스가 자기 분해(열분해)되는 조건하, 즉, BTCSM의 열분해 반응이 발생하는 조건하에서는, 웨이퍼(200) 상에 Si가 퇴적됨으로써 C 및 Cl를 포함하는 Si층이 형성된다. BTCSM 가스가 자기 분해(열분해)되지 않는 조건하, 즉, BTCSM의 열분해 반응이 발생하지 않는 조건하에서는, 웨이퍼(200) 상에 BTCSM 가스가 흡착됨으로써 BTCSM 가스의 흡착층이 형성된다. 웨이퍼(200) 상에 BTCSM 가스의 흡착층을 형성하는 것보다도, 웨이퍼(200) 상에 C 및 Cl를 포함하는 Si층을 형성하는 쪽이, 성막률을 높게 할 수 있어, 바람직하다. 단, 본 실시 형태에서는, 웨이퍼(200)의 온도를 예를 들어 150℃ 이하의 저온으로 하고 있으므로, 웨이퍼(200) 상에 C 및 Cl를 포함하는 Si층이 형성되는 것보다도, 웨이퍼(200) 상에 BTCSM 가스의 흡착층이 형성되는 쪽이, 우위로 될 가능성이 있다. 또한, 촉매 가스를 공급하지 않는 경우에는, BTCSM 가스의 흡착층에 있어서는, 웨이퍼(200) 표면 등의 기초에 대한 결합이나 BTCSM 분자끼리의 결합이, 화학 흡착보다도 약한 물리 흡착의 상태가 우위로 되어 버릴 가능성이 있다. 즉, 촉매 가스를 공급하지 않는 경우에는, BTCSM 가스의 흡착층은, 그 대부분이 BTCSM 가스의 물리 흡착층으로 구성되어 버릴 가능성이 있다.
촉매 가스로서의 피리딘 가스는, 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜, BTCSM 가스의 분해를 촉진시키고, BTCSM 분자의 화학 흡착에 의한 제1층의 형성을 촉진시킨다. 즉, 도 13의 (a)에 나타내는 바와 같이, 예를 들어 웨이퍼(200)의 표면에 존재하는 O-H 결합에, 촉매 가스로서의 피리딘 가스가 작용하여 O-H간의 결합력을 약화시킨다. 결합력이 약해진 H와 BTCSM 가스의 Cl가 반응함으로써 염화수소(HCl) 가스가 생성되어 이탈하여, Cl를 잃은 BTCSM 분자(할로겐화물)가 웨이퍼(200)의 표면에 화학 흡착된다. 즉, 웨이퍼(200)의 표면에, BTCSM 가스의 화학 흡착층이 형성된다. 피리딘 가스가 O-H간의 결합력을 약화시키는 것은, 피리딘 분자 중의 고립 전자쌍을 갖는 N 원자가, H를 끌어당기는 작용을 갖기 때문이다. N 원자 등을 포함하는 소정의 화합물이 H를 끌어당기는 작용의 크기는, 예를 들어 상술한 산 해리 상수(pKa)를 하나의 지표로 할 수 있다.
상술한 바와 같이, pKa는, 산으로부터 수소 이온이 방출되는 해리 반응에 있어서의 평형 상수 Ka를 부의 상용 로그로 나타낸 상수이며, pKa가 큰 화합물은 H를 끌어당기는 힘이 강하다.
예를 들어, pKa가 5 이상인 화합물을 촉매 가스로서 사용함으로써 BTCSM 가스의 분해를 촉진시켜 제1층의 형성을 촉진시킬 수 있다. 한편, 촉매 가스의 pKa가 과도하게 크면, BTCSM 분자로부터 빼내어진 Cl과 촉매 가스가 결합되고, 이에 의해, 염화암모늄(NH4Cl) 등의 염(Salt : 이온 화합물)이 발생하여, 파티클원으로 되는 경우가 있다. 이것을 억제하기 위해서는, 촉매 가스의 pKa를 11 정도 이하, 바람직하게는 7 이하로 하는 것이 바람직하다. 피리딘 가스는 pKa가 약 5.67로 비교적 커, H를 끌어당기는 힘이 강하다. 또한, pKa가 7 이하이므로, 파티클도 발생하기 어렵다.
이상과 같이, 촉매 가스로서의 피리딘 가스를 BTCSM 가스와 함께 공급함으로써, 예를 들어 150℃ 이하의 저온 조건하라도, BTCSM 가스의 분해를 촉진시켜, BTCSM 가스의 물리 흡착층의 형성이 아닌 화학 흡착층의 형성이 우세해지도록, 제1층을 형성할 수 있다.
또한, 이상과 같이, Si, C 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스로서 BTCSM 가스를 사용함으로써 예를 들어 150℃ 이하의 비교적 저온의 조건하라도, 제1층 중에 C를 도입할 수 있다. 이 C를 포함하는 제1층이, 그 후에 행해지는 스텝 2a에 있어서 산화되고, 예를 들어 C를 고농도로 포함하는 실리콘 산탄화층(SiOC층)이나, 이러한 SiOC층이 적층되어 이루어지고, C를 고농도로 포함하는 SiOC막을 형성할 수 있다. 또한, SiOC층이나 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다.
(잔류 가스 제거)
제1층으로서의 C 및 Cl를 포함하는 Si 함유층이 웨이퍼(200) 상에 형성된 후, 밸브(243a)를 폐쇄하고, BTCSM 가스의 공급을 정지한다. 또한, 밸브(243c)를 폐쇄하고, 피리딘 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 혹은 제1층의 형성에 기여한 후의 BTCSM 가스 및 피리딘 가스를 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한, 밸브(243g∼243j)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 혹은 제1층의 형성에 기여한 후의 BTCSM 가스 및 피리딘 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 2a에 있어서 악영향이 발생하는 일은 없다. 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)(처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, 스텝 2a에 있어서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
Si, C 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스로서는, BTCSM 가스 외에, BTCSE 가스, TCDMDS 가스, DCTMDS 가스 및 MCPMDS 가스 등을 사용해도 된다. 촉매 가스로서는, 피리딘 가스 외에, 아미노피리딘 가스, 피콜린 가스, 루티딘 가스, 피리미딘 가스, 퀴놀린 가스, 피페라진 가스 및 피페리딘 가스 등의 아민계 촉매 가스를 사용해도 된다. 불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
[스텝 2a]
(H2O 가스+피리딘 가스 공급)
스텝 1a가 종료되어 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243b)를 개방하여, 가스 공급관(232b) 내에 H2O 가스를 흘린다. H2O 가스는, MFC(241b)에 의해 유량 조정되고, 가스 공급 구멍(250b)으로부터 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 이때, 논 플라즈마의 분위기하에서, 웨이퍼(200)에 대해 H2O 가스가 공급되게 된다(H2O 가스 공급). 이때, 동시에 밸브(243h)를 개방하여, 가스 공급관(232h) 내에 불활성 가스로서의 N2 가스를 흘린다. N2 가스는, MFC(241h)에 의해 유량 조정되고, H2O 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
또한, 스텝 1a에 있어서의 피리딘 가스의 공급과 마찬가지로 하여, 웨이퍼(200)에 대해 피리딘 가스를 공급한다(피리딘 가스 공급).
또한, 노즐(249a, 249d) 내나 버퍼실(237) 내로의 H2O 가스 및 피리딘 가스의 침입을 방지하기 위해, 밸브(243g, 243j)를 개방하여 가스 공급관(232g, 232j) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(232a, 232d), 노즐(249a, 249d), 버퍼실(237)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1∼13330㎩, 바람직하게는 133∼2666㎩의 범위 내의 압력으로 한다. MFC(241b)로 제어하는 H2O 가스의 공급 유량은, 예를 들어 1000∼10000sccm, 바람직하게는 10∼1000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은, 예를 들어 1∼2000sccm, 바람직하게는 10∼1000sccm의 범위 내의 유량으로 한다. MFC(241g∼241j)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100∼10000sccm의 범위 내의 유량으로 한다. H2O 가스 및 피리딘 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1∼100초, 바람직하게는 5∼60초의 범위 내의 시간으로 한다. 히터(207)의 온도는, 웨이퍼(200)의 온도가, 스텝 1a에서의 웨이퍼(200)의 온도와 동일한 온도대, 즉, 예를 들어 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 되도록 설정한다.
처리실(201) 내에 공급된 H2O 가스는 열에 의해 활성화되어, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대해 열에 의해 활성화된 H2O 가스가 공급되게 된다. 즉, 처리실(201) 내에 흘리고 있는 가스는 열적으로 활성화된 H2O 가스이며, 처리실(201) 내에는 BTCSM 가스는 흘리고 있지 않다. 따라서, H2O 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급되어, 스텝 1a에서 웨이퍼(200) 상에 형성된 제1층(C 및 Cl를 포함하는 Si 함유층)의 적어도 일부와 반응한다. 이에 의해, 제1층은, 논 플라즈마에 의해 열적으로 산화되어, Si, O 및 C를 포함하는 제2층, 즉, SiOC층으로 변화된다.
촉매 가스로서의 피리딘 가스는, H2O 가스가 갖는 O-H 결합의 결합력을 약화시켜, H2O 가스의 분해를 촉진시키고, H2O 가스와 제1층의 반응을 촉진시킨다. 즉, 도 13의 (b)에 나타내는 바와 같이, H2O 가스가 갖는 O-H 결합에 촉매로서의 피리딘 가스가 작용하여, O-H간의 결합력을 약화시킨다. 결합력이 약해진 H와, 웨이퍼(200) 상에 형성된 제1층이 갖는 Cl가 반응함으로써, HCl 가스가 생성되어 이탈되고, H를 잃은 H2O 가스의 O가, Cl가 이탈되고 적어도 C의 일부가 남은 제1층의 Si와 결합된다.
또한, H2O 가스와 피리딘 가스를 공급하는 공정에서는, 원하는 막 조성 등에 따라서, 공급하는 피리딘 가스의 공급량을 적절하게 조정할 수 있다. 피리딘 가스의 공급량을 증가시키면 피리딘 가스의 작용이 높아져 H2O 가스의 산화력이 향상되고, Si-C 결합이 절단되어 C가 이탈되기 쉬워져, 그 결과, SiOC층 중의 C 농도가 저하된다. 피리딘 가스의 공급량을 저하시키면 피리딘 가스의 작용이 약해져 H2O 가스의 산화력이 저하되고, Si-C 결합이 유지되기 쉬워져, 그 결과, SiOC층 중의 C 농도가 높아진다. 따라서, 피리딘 가스의 공급량을 적절하게 조정함으로써, SiOC층 중의, 나아가서는, SiOC층이 적층되어 이루어지는 SiOC막 내의 C 농도나, 실리콘 농도(Si 농도)나, 산소 농도(O 농도) 등을 상대적으로 변화시킬 수 있다.
또한, 산화 가스와 촉매 가스를 공급하는 공정에 있어서 공급하는 촉매 가스의 공급량의 조정은, 상술한 원료 가스와 촉매 가스를 공급하는 공정에 있어서 공급하는 촉매 가스의 공급량의 조정과는 독립 별개로 행할 수 있다. 즉, 양 공정에 있어서의 촉매 가스의 공급량이 동일해지도록 각각 조정해도 되고, 다르도록 각각 조정해도 된다.
또한, 이때, 촉매 가스의 공급량이나 유량 등을 서로 다른 수치로 설정한 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)를 미리 복수 준비해 둘 수 있다.
또한, 예를 들어 150℃ 이하의 저온 조건하에서는, 수분(H2O)을 비교적 많이 포함한 SiOC층이 형성되기 쉽다. 따라서, 이러한 SiOC층이 적층되어 이루어지는 SiOC막 중에도, 수분 등이 많이 포함되는 경우가 있다. SiOC층이나 SiOC막 중에 포함되는 수분은, 예를 들어 산화 가스로서 사용한 H2O 가스 등에 유래된다.
(잔류 가스 제거)
그 후, 밸브(243b)를 폐쇄하여, H2O 가스의 공급을 정지한다. 또한, 밸브(243c)를 폐쇄하여, 피리딘 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 혹은 반응에 기여한 후의 H2O 가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한, 밸브(243g∼243j)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 혹은 제2층의 형성에 기여한 후의 H2O 가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 1a에 있어서 악영향이 발생하는 일은 없다. 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)(처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, 스텝 1a에 있어서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
산화 가스로서는, H2O 가스 외에, 과산화수소(H2O2) 가스, 수소(H2) 가스+산소(O2) 가스, H2 가스+오존(O3) 가스 등을 사용해도 된다. 촉매 가스로서는, 피리딘 가스 외에, 상기에 언급한 각종 아민계 촉매 가스를 사용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 언급한 각종 희가스를 사용해도 된다.
(소정 횟수 실시)
상술한 스텝 1a, 2a를 1세트로 하여, 이 세트를 1회 이상, 즉, 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에 제1 박막으로서, 소정 조성 및 소정 막 두께의 SiOC막을 성막할 수 있다. 상술한 세트는, 복수회 반복하는 것이 바람직하다. 즉, 1세트당 형성하는 SiOC층의 두께를 원하는 막 두께보다도 작게 하여, 상술한 세트를 원하는 막 두께로 될 때까지 복수회 반복하는 것이 바람직하다.
이때, 각 스텝에 있어서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, SiOC층에 있어서의 각 원소 성분, 즉, Si 성분, O 성분 및 C 성분의 비율, 즉, Si 농도, O 농도 및 C 농도를 미세 조정할 수 있어, SiOC막의 조성비를 보다 치밀하게 제어할 수 있다.
세트를 복수회 행하는 경우, 적어도 2세트째 이후의 각 스텝에 있어서, 「웨이퍼(200)에 대해 소정의 가스를 공급한다」라고 기재한 부분은, 「웨이퍼(200) 상에 형성되어 있는 층에 대해, 즉, 적층체로서의 웨이퍼(200)의 최표면에 대해 소정의 가스를 공급하는」것을 의미하고 있다. 또한, 「웨이퍼(200) 상에 소정의 층을 형성한다」라고 기재한 부분은, 「웨이퍼(200) 상에 형성되어 있는 층의 위, 즉, 적층체로서의 웨이퍼(200)의 최표면 상에 소정의 층을 형성하는」것을 의미하고 있다. 이 점은, 상술한 바와 같다. 또한, 이 점은, 후술하는 변형예나 다른 실시 형태에서 세트나 사이클을 복수회 행하는 경우의 설명에 있어서도 마찬가지이다.
(SiOC막 개질 공정)
이상과 같이 형성된 SiOC막은, 예를 들어 150℃ 이하의 저온 조건하에서 형성된 막이기는 하지만, 우수한 에칭 내성이나 저유전율을 갖는다. 그러나, SiOC막은 애싱 내성이 떨어지는 경우가 있다. 따라서, 본 실시 형태에서는, 개질 가스로서의 NH3 가스에 의해 SiOC막을 SiOCN막으로 개질하는 공정을 행하여, 고 에칭 내성 및 고 애싱 내성을 갖는 박막을 형성한다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)으로 되도록, APC 밸브(244)를 피드백 제어하면서, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기한다(압력 조정). 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전 상태가 피드백 제어된다(온도 조정). 이 공정에 있어서도, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 계속해 둔다.
(NH3 가스 공급)
밸브(243d)를 개방하고, 가스 공급관(232d) 내에 NH3 가스를 흘린다. NH3 가스는, MFC(241d)에 의해 유량 조정되고, 가스 공급 구멍(250d)으로부터 버퍼실(237) 내에 공급되고, 또한 가스 공급 구멍(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 NH3 가스가 공급되게 된다(NH3 가스 공급). 이때 동시에 밸브(243j)를 개방하고, 가스 공급관(232j) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는, MFC(241j)에 의해 유량 조정되고, NH3 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 노즐(249a∼249c) 내에의 NH3 가스의 침입을 방지하기 위해, 밸브(243g∼243i)를 개방하고, 가스 공급관(232g∼232i) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(232a∼232c), 노즐(249a∼249c)을 통해 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 대기압 미만의 압력, 바람직하게는 1∼13330㎩(0.0075∼100Torr), 보다 바람직하게는 133∼2666㎩(1∼20Torr)의 범위 내의 압력으로 한다. MFC(241d)로 제어하는 NH3 가스의 공급 유량은, 예를 들어 1∼2000sccm, 바람직하게는 10∼1000sccm의 범위 내의 유량으로 한다. MFC(241g∼241j)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100∼10000sccm의 범위 내의 유량으로 한다. NH3 가스를 웨이퍼(200)에 대해 공급하는 시간은, 예를 들어 1∼120분, 바람직하게는 10∼120분의 범위 내의 시간으로 한다.
이때 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예를 들어 상술한 SiOC막을 형성하는 공정에 있어서의 웨이퍼(200)의 온도보다도 높은 온도로 되는 온도로 설정한다. 구체적으로는, 웨이퍼(200)의 온도를 200℃ 이상 900℃ 이하, 바람직하게는 200℃ 이상 700℃ 이하, 보다 바람직하게는 200℃ 이상 600℃ 이하의 범위 내의 온도로 설정한다. 이러한 온도 범위는, 예를 들어 웨이퍼(200)가 받는 열 부하나 열 이력 등을 고려한 후 결정된다. 즉, 웨이퍼(200)의 온도가 900℃를 초과하면 열 부하가 지나치게 커져, 웨이퍼(200) 상에 형성되는 반도체 디바이스의 전기 특성 등에 영향을 미칠 수도 있다. 웨이퍼(200)의 온도를 적어도 900℃ 이하로 함으로써, 이 열 부하에 의한 전기 특성 등에의 영향을 억제하는 것이 가능해진다. 구체적으로는, 열 처리 대상의 SiOC막이 형성된 웨이퍼(200)가 메모리 디바이스용인 경우에는, 900℃ 정도의 열에까지 견디어낼 수 있다. 이러한 웨이퍼(200)가 로직 디바이스용이라도, 700℃ 정도의 열에까지 견디어낼 수 있다. 웨이퍼(200)의 온도를 또한 600℃ 이하로 하면, 보다 확실하게 디바이스 구조 등의 열 손상을 회피하는 것이 용이해진다. 한편, 웨이퍼(200)의 온도가 200℃ 미만으로 되어 버리면, SiOC막의 개질의 효과가 저하되어, NH3 가스의 공급 시간, 즉, 개질 처리의 시간이 장기화되고, 생산성이 저하되어 버린다. 웨이퍼(200)의 온도를 200℃ 이상으로 함으로써 SiOC막의 개질이 적절하게 촉진되어, 개질 처리의 시간을 실용적인 처리 시간 내에 그치게 할 수 있다. 따라서, 웨이퍼(200)의 온도는 200℃ 이상 900℃ 이하, 바람직하게는 200℃ 이상 700℃ 이하, 보다 바람직하게는 200℃ 이상 600℃ 이하의 범위 내의 온도로 하는 것이 좋다.
처리실(201) 내에 공급된 NH3 가스는 열에 의해 활성화되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대해, 열에 의해 활성화된 NH3 가스가 공급되게 된다. 즉, 처리실(201) 내에 흘리고 있는 가스는 열적으로 활성화된 NH3 가스이며, 처리실(201) 내에는 BTCSM 가스나 H2O 가스나 피리딘 가스는 흘리고 있지 않다. 따라서, NH3 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급되고, 스텝 1a, 2a를 소정 횟수 행함으로써 웨이퍼(200) 상에 형성된 제1 박막(SiOC막)의 적어도 일부와 반응한다. 이에 의해, SiOC막은, 논 플라즈마에 의해 열적으로 개질되어, Si, O, C 및 N을 포함하는 제2 박막, 즉, SiOCN막으로 변화된다.
이때, 상기한 바와 같이, 웨이퍼(200)의 온도를 비교적 높은 온도로 하고 있으므로, NH3 가스와 SiOC막의 반응이 촉진되고, N 성분을 SiOC막 중에까지 들어가게 할 수 있다. 또한, 웨이퍼(200)의 온도를, 상술한 SiOC막을 형성하는 공정에 있어서의 웨이퍼(200)의 온도보다도 높은 온도로 하고 있으므로, 상술한 바와 같이, SiOC막이 수분을 많이 포함하는 경우에는, 막 중으로부터 수분이 이탈하기 쉬워진다. SiOC막의 수분이 빠진 부분에는 미소한 구멍(포어), 즉, 미소한 공간이 발생하고, SiOC막은 다공질 형상의 막으로 된다. 이러한 수분이 빠진 구멍에 N이 들어감으로써, N 성분이 한층 더 SiOC막 중에 도입되기 쉬워지고, SiOC막의 개질이 막의 대략 전체에 미치게 된다. 이때, SiOC막 중에 도입된 N의 적어도 일부가, 막 중의 성분, 예를 들어 Si 등과 Si―N 결합 등을 형성하고 있어도 된다.
또한, SiOC막의 개질 처리는, 예를 들어 상술한 온도 조정에 의해 웨이퍼(200)의 온도가 원하는 온도까지 승온된 후, 웨이퍼(200)의 온도가 이러한 원하는 온도에서 안정적으로 유지된 상태에서 행해진다. 즉, SiOC막을 개질하는 공정이라 함은, 예를 들어 웨이퍼(200)의 온도가 소정 온도로 유지된 상태에서 웨이퍼(200)에 대해 NH3 가스의 공급을 행하고 있는 기간을 가리킨다. 단, 상술한 웨이퍼(200)의 온도를 조정하는 공정에서 웨이퍼(200)를 승온시킬 때, 임의의 타이밍에 웨이퍼(200)에 대한 NH3 가스의 공급을 개시하여, SiOC막 개질 공정을 개시해도 된다. 또는, 후술하는 처리실(201) 내를 퍼지하는 공정에서 행하는 웨이퍼(200)의 강온을 NH3 가스의 공급 중에 개시하고, 웨이퍼(200)를 강온시키면서, SiOC막 개질 공정을 계속해도 된다. 이와 같이, 웨이퍼(200)의 온도를 조정(승온)하는 공정 및 웨이퍼(200)의 온도를 강온하는 공정 중 적어도 일부의 기간에 NH3 가스의 공급을 행함으로써, 이들 기간을 SiOC막을 개질하는 공정에 포함하도록 해도 된다. 단, 상술한 바와 같이 온도 조정된 원하는 온도는, SiOC막 중에 N을 도입하는 데 적합한 온도이다. 따라서, 예를 들어 웨이퍼(200)의 승온 중 또는 강온 중의 이것보다 낮은 온도에서는, SiOC막 중으로의 N의 도입이 제한되거나, 또는, 전혀 일어나지 않아, 개질 처리가 거의 진행되지 않는 경우가 있다. 따라서, 개질 처리는, 웨이퍼(200)를 상기 원하는 온도로 유지하고, 일정 온도에서 행하는 것이 보다 바람직하다. 이에 의해, N의 SiOC막 중으로의 도입 속도나 도입량도 안정되고, 보다 양질이며 안정된 특성을 갖는 박막이 얻어진다.
(잔류 가스 제거 및 퍼지)
그 후, 밸브(243d)를 폐쇄하고, NH3 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 반응에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한, 밸브(243g∼243j)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 SiOC막의 개질에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다(퍼지).
C 및 N을 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스로서는, N을 포함하는 개질 가스인 N 함유 가스로서, NH3 가스 외에, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스 및 N3H8 가스 등의 N과 H의 2 원소로 구성되는 비아민계 가스 등을 사용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 언급한 각종 희가스를 사용해도 된다.
(대기압 복귀)
처리실(201) 내가 불활성 가스로 퍼지된 후에도 밸브(243g∼243j)를 개방한 상태로 하여, 가스 공급관(232g∼232j) 각각으로부터 불활성 가스로서의 N2 가스를 처리실(201) 내에 계속하여 공급함으로써, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
또한, 웨이퍼(200)의 온도가 예를 들어 200℃ 미만, 바람직하게는 실온 정도의 온도로 되도록 웨이퍼(200)를 강온시킨다(강온 공정). 즉, 히터(207)에의 통전 상태를 조정하거나, 또는, 히터(207)에의 통전을 정지하여, 웨이퍼(200)의 온도를 저하시킨다. 이러한 웨이퍼(200)의 강온을, 상기한 퍼지 및 대기압 복귀와 병행하여 행함으로써, N2 가스 등의 퍼지 가스의 냉각 효과에 의해, 보다 단시간에 웨이퍼(200)의 온도를 소정 온도에까지 저하시킬 수 있다. 단, 상술한 바와 같이, 웨이퍼(200)의 온도를 저하시키는 강온 공정을 NH3 가스 공급 공정의 기간 중에 개시해도 된다. 이 경우에도, NH3 가스의 냉각 효과에 의해, 보다 단시간에 웨이퍼(200)의 온도를 소정 온도에까지 저하시킬 수 있다.
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 매니폴드(209)의 하단부가 개방되는 동시에, 처리가 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 매니폴드(209)의 하단부로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 그 후, 처리가 완료된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3)본 실시 형태에 관한 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태의 성막 시퀀스에 따르면, SiOC막 형성 공정의 스텝 1a에서, 원료 가스로서 BTCSM 가스를 웨이퍼(200)에 대해 공급한다. 이와 같이, Si, C, Cl을 포함하고 Si-C 결합을 갖는 원료 가스, 특히, 1 분자 중에 C, Cl 및 적어도 2개의 Si를 포함하고 Si-C 결합을 갖는 원료 가스를 사용함으로써, 고농도로 C가 함유된 막, 즉, 높은 C 농도를 갖는 SiOC막을 형성하는 것이 가능해진다. 또한, SiOC막 중의 C 농도를 고정밀도로 제어할 수 있다. 따라서, 예를 들어 에칭 내성이 높고, 유전율이 낮은 SiOC막을 얻을 수 있다.
저온 조건하에서 촉매 가스를 사용하여 SiO막 등의 박막을 형성한 경우에는, 예를 들어 1% 농도의 불산(1% HF 수용액)에 의한 습식 에칭률(이하, WER이라고도 함)이 높은 막, 즉, 에칭 내성이 낮은 막이 형성되기 쉽다. 막 중에 C를 함유시키면, 막의 에칭 내성을 높일 수 있지만, 예를 들어 150℃ 이하의 저온하에서 성막을 행할 때에는, SiO막 중에 C가 도입되기 어렵다.
따라서, 본 실시 형태에서는, BTCSM 가스와 같은 Si, C, Cl을 포함하고, Si-C 결합을 갖는 원료 가스를 사용한다. 이에 의해, 웨이퍼(200) 상에 초기층으로서 제1층을 형성하는 단계에서 제1층 중에 C를 도입할 수 있어, 충분한 C 농도를 갖는 SiOC막을 형성할 수 있다. 또한, SiOC막 중의 C 농도를 고정밀도로 제어할 수 있다.
따라서, 예를 들어 에칭 내성이 높고, 유전율이 낮은 SiOC막을 얻을 수 있다.
(b) 본 실시 형태의 성막 시퀀스에 따르면, SiOC막 개질 공정에서, 웨이퍼(200)에 대해 NH3 가스를 공급하고, SiOC막을 SiOCN막으로 개질한다. 이에 의해, 고 에칭 내성 및 고 애싱 내성을 갖는 박막을 얻는 것이 가능해진다.
막 중에 C를 포함하는 SiOC막은, 애싱 내성이나 건식 에칭 내성이 낮은 경우가 있다. 또한, 그로 인해, O2 플라즈마 등을 사용한 애싱이나 건식 에칭 등에 의해 SiOC막의 HF에 대한 에칭 내성이 저하되어 버리는 경우가 있다. 이것은, O2 플라즈마 등이 갖는 강한 산화력에 의해, SiOC막의 산화가 더욱 진행되고, 막 중에 많은 C-O 결합이 형성되기 때문이라고 생각된다. O와 결부된 C는, CO 가스나 CO2 가스로 되어 SiOC막 중으로부터 용이하게 이탈해 버린다. 따라서, 애싱 등에 의해 SiOC막 중의 C 농도가 저하되고, 저 에칭 내성의 막으로 되어 버린다고 생각된다.
따라서, 본 실시 형태에서는, NH3 가스를 개질 가스로서 사용하고, SiOC막 중에 N을 도입한다. 이와 같이, SiOC막 중에 N과 같은 새로운 원소를 도입함으로써, Si, O, C 각각의 결합 상태가 개질 전의 SiOC막과는 변화된다. 이에 의해, O2 플라즈마 등을 사용한 애싱 시 등에, 막 중에 C-O 결합이 형성되어 버리거나, 막 중으로부터 C가 이탈해 버리는 것을 억제할 수 있다. 따라서, 예를 들어 개질 전의 SiOC막에 비해, 박막의 애싱 내성, 즉, 산화 내성을 향상시킬 수 있다. 즉, 애싱 등에 의한 박막의 HF에 대한 에칭 내성의 열화를 억제하는 것이 가능해진다. 그 결과, 고 에칭 내성 및 고 애싱 내성을 갖는 박막을 얻을 수 있다.
(c) 또한, 본 실시 형태의 성막 시퀀스에 따르면, 고 에칭 내성 및 고 애싱 내성을 갖는 박막이 얻어진다. 이에 의해, 이러한 박막을 예를 들어 각종 반도체 장치에 적용한 경우, 신호 지연이 일어나기 어려워 고속으로 동작하는 반도체 장치를 고집적화한 상태에서 실현할 수 있다.
플래시 메모리, DRAM(Dynamic Random Access Memory), SRAM(Static Random Access Memory) 등의 메모리 디바이스나, 로직 디바이스 등의 반도체 장치에는, 최근, 고집적화가 요구되고 있다. 이것을 실현하기 위해서는, 패턴 폭과 패턴 간격의 합인 피치를 작게 하는 등에 의해 패턴을 미세화하고, 개개의 반도체 장치의 사이즈를 작게 형성하는 방법이 있다.
따라서, 예를 들어 트랜지스터의 미세화에 대응하기 위해, 게이트 전극의 주변 구조인 사이드 월 스페이서(SWS) 등에 저유전율의 박막을 사용하는 것이 검토되어 있다. 또한, 배선층을 다층화함으로써도 반도체 장치의 고집적화를 도모할 수 있다. 이 경우에, 미세 패턴에 있어서의 패턴간이나, 다층 배선층 등의 3차원 구조에 있어서의 소자간이나 배선 층간을 분리하는 층간 절연막으로서, 저유전율의 박막을 사용하는 경우가 많게 되어 있다. 이와 같이, SWS나 층간 절연막을 저유전율의 박막, 즉, low-k막 특성을 구비하는 박막으로 함으로써, 정전 유도 등에 의한 신호 전반의 지연(신호 지연)을 억제하는 것이 가능해진다.
본 실시 형태에서는, SiOC막을 성막한 후, 또한, SiOC막의 개질 공정을 행하여, SiOC막을 SiOCN막으로 개질하고 있다. 따라서, 고 에칭 내성 및 고 애싱 내성을 갖는 박막이 얻어지고, 고속 동작이며, 또한, 고집적화한 반도체 장치를 얻을 수 있게 된다.
(d) 본 실시 형태의 기판 처리 장치는, 원료 가스, 촉매 가스, 산화 가스, 개질 가스 등의 각 가스에 대해 복수의 가스 공급 라인을 구비하고 있어도 되고, 분자 구조가 각각 서로 다른 복수 종류의 가스 중에서 특정한 가스를 선택하여 공급 가능하게 구성되어 있어도 된다. 이러한 장치 구성으로 함으로써, 원하는 막 조성 등에 따라, 복수 종류의 가스 중에서 특정한 원료 가스나 촉매 가스나 산화 가스나 개질 가스를 선택하여 공급하는 것이 용이해진다. 따라서, 1대의 기판 처리 장치로 여러 가지 조성비, 막질의 박막을 범용적이며, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 가스종의 추가나 교체 등 시의 장치 운용의 자유도를 확보할 수 있다.
(e) 본 실시 형태의 기판 처리 장치에서는, 박막의 성막에 이용되는 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)를, 각 가스의 종류마다, 즉, 서로 다른 가스계마다 미리 복수 준비해 둘 수 있다. 또한, 본 실시 형태의 기판 처리 장치에서는, 촉매 가스 등의 각 가스의 공급량이나 유량 등을 다르게 하는 등, 서로 다른 처리 조건마다 복수의 프로세스 레시피를 준비해 둘 수 있다. 이들에 의해, 원하는 막 조성, 막질, 막 두께 등에 따라, 복수 종류의 가스 중에서 특정한 원료 가스나 촉매 가스나 산화 가스나 개질 가스를 선택하고, 또한, 그들의 유량 등을 선택하여 공급하는 것이 용이해진다. 오퍼레이터는, 복수의 프로세스 레시피 중에서 원하는 막 조성 등에 따라, 적정한 프로세스 레시피를 적절히 선택하고, 성막 처리를 실행하면 된다. 따라서, 1대의 기판 처리 장치로 여러 가지 조성비, 막질, 막 두께의 박막을 범용적이며, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 순서나 처리 조건의 입력 부담 등)을 저감할 수 있고, 조작 미스를 회피하면서, 기판 처리를 신속히 개시할 수 있게 된다.
(4) 본 실시 형태의 변형예
다음으로, 본 실시 형태의 변형예에 대해, 도 5의 (b) 및 도 6을 사용하여 설명한다.
(변형예)
상술한 SiOC막 개질 공정에서는, 공급하는 개질 가스의 종류를 선택함으로써, 예를 들어 N 이외의 원소를 SiOC막 중에 첨가하는 원소로서 선택할 수 있다.
즉, SiOC막 개질 공정에서는, 개질 가스로서, 각각 서로 다른 원소를 포함하는 복수 종류의 개질 가스, 즉, C 및 N을 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 복수 종류의 개질 가스 중에서, C를 포함하는 개질 가스인 탄소 함유 가스(C 함유 가스), N을 포함하는 개질 가스인 N 함유 가스, 또는 C 및 N을 포함하는 개질 가스 중 특정한 개질 가스를 선택하여 공급함으로써, SiOC막 중에 함유시키는 원소를 선택할 수 있다.
복수 종류의 개질 가스 중에서 특정한 개질 가스를 선택하여 공급하기 위해서는, 각각 서로 다른 원소를 포함하는 복수 종류의 개질 가스나, 분자 구조가 각각 서로 다른 복수 종류의 개질 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택함으로써, 특정한 개질 가스를 공급할 수 있다. 상술한 바와 같이, 도 4, 도 5의 (a)에 나타낸 성막 시퀀스의 예에서는, NH3 가스 공급 라인, C3H6 가스 공급 라인 중에서 NH3 가스 공급 라인을 선택함으로써, 특정한 개질 가스로서 NH3 가스를 공급하고 있다. 또한, 도 5의 (b)에 나타내는 바와 같이, 본 실시 형태의 변형예의 성막 시퀀스 예에서는, NH3 가스 공급 라인, C3H6 가스 공급 라인 중에서 C3H6 가스 공급 라인을 선택함으로써, 특정한 개질 가스로서 C3H6 가스를 공급한다. 이와 같이, 개질 가스로서 NH3 가스 대신 C3H6 가스를 사용함으로써, 상술한 실시 형태에서 얻어지는 SiOCN막과는 달리, C를 더 포함하는 SiOC막, 즉, 개질 전의 SiOC막보다도 한층 더 C 농도가 높아진 SiOC막이 얻어진다. 여기서, C를 더 포함하는 SiOC막을, C가 더 도프(첨가)된 SiOC막이라 할 수도 있고, C가 더 함유된 SiOC막 등이라 할 수도 있다.
본 변형예에 있어서는, 상술한 NH3 가스 공급 공정 대신에, 웨이퍼(200)에 대해 C3H6 가스를 공급하는 공정을 포함하는 SiOC막 개질 공정을 행한다. 웨이퍼(200)에 대한 C3H6 가스의 공급 순서에 대해 이하에 설명한다.
(C3H6 가스 공급)
상술한 스텝 1a, 2a와 마찬가지의 스텝을 소정 횟수 행하여 웨이퍼(200) 상에 SiOC막을 형성하고, 압력 조정 및 온도 조정을 행한 후에, 밸브(243f)를 개방하고, 가스 공급관(232f) 내에 C3H6 가스를 흘린다. C3H6 가스는, MFC(241f)에 의해 유량 조정되고, 가스 공급관(232c)을 거쳐 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 C3H6 가스가 공급되게 된다(C3H6 가스 공급). 이때 동시에 밸브(243i)를 개방하고, 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는, MFC(241i)에 의해 유량 조정되고, C3H6 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
처리실(201) 내에 공급된 C3H6 가스는 열에 의해 활성화되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대해, 열에 의해 활성화된 C3H6 가스가 공급되게 된다. 즉, 처리실(201) 내에 흘리고 있는 가스는 열적으로 활성화된 C3H6 가스이며, 처리실(201) 내에는 BTCSM 가스나 H2O 가스나 피리딘 가스는 흘리고 있지 않다. 따라서, C3H6 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급되고, 스텝 1a, 2a와 마찬가지의 스텝을 소정 횟수 행함으로써 웨이퍼(200) 상에 형성된 SiOC막의 적어도 일부와 반응한다. 이에 의해, SiOC막은, 논 플라즈마에 의해 열적으로 개질되어, Si, O, C를 포함하는 박막, 즉, C를 더 포함하는 SiOC막으로 변화된다.
이때, 웨이퍼(200)의 온도를 비교적 높은 온도로 함으로써, C3H6 가스와 SiOC막의 반응이 촉진되어, C3H6 가스의 C 성분을 SiOC막 중에까지 들어가게 할 수 있다. 또한, 웨이퍼(200)의 온도를, SiOC막을 형성하는 공정에 있어서의 웨이퍼(200)의 온도보다도 높은 온도로 함으로써, SiOC막이 수분을 많이 포함하는 경우에는, 막 중으로부터 수분이 이탈하기 쉬워진다. SiOC막의 수분이 빠진 부분에는 미소한 구멍(포어)이 발생하고, SiOC막은 다공질 형상의 막으로 된다. 이러한 수분이 빠진 구멍에 C가 들어감으로써, C 성분이 한층 더 SiOC막 중에 도입되기 쉬워지고, SiOC막의 개질이 막의 대략 전체에 미치게 된다. 이때, SiOC막 중에 도입된 C의 적어도 일부가, 막 중의 성분, 예를 들어 Si 등과 Si-C 결합 등을 형성하고 있어도 된다.
이와 같이, 성막 후의 SiOC막을, C를 더 포함하는 SiOC막으로 개질함으로써, 애싱 내성이 높은 박막을 얻을 수 있다. 이것은, 개질 후의 SiOC막이, 개질 전의 SiOC막보다도 고농도의 C를 함유함으로써, 예를 들어 애싱에 의해 소정량의 C가 막 중으로부터 이탈하였다고 해도, 여전히, 막 중의 C 농도를 높은 상태로 유지할 수 있기 때문이다. N 대신 C를 더 포함하는 SiOC막으로 함으로써, 핫 인산에 대한 습식 에칭률도 향상된다. N 대신 C를 더 포함하는 SiOC막으로 함으로써, 유전율도 개질 전의 SiOC막보다 저감시킬 수 있다.
성막 후의 SiOC막이 C를 더 포함하는 SiOC막으로 개질된 후, 밸브(243f)를 폐쇄하고, C3H6 가스의 공급을 정지한다.
또한, 이때의 처리실(201) 내의 압력, 개질 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 상술한 도 4, 도 5의 (a)의 시퀀스에 있어서의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. C3H6 가스를 공급할 때에는, 상술한 실시 형태와 마찬가지로, 사용하지 않는 것으로 되어 있는 노즐(249a, 249b, 249d) 내나 버퍼실(237) 내로의 C3H6 가스의 침입을 방지하는 N2 가스 공급을 행한다.
C 및 N을 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스로서는, C를 포함하는 개질 가스인 C 함유 가스로서, C3H6 가스 외에, 에틸렌(C2H4) 가스, 메탄(CH4) 가스 등의 탄화수소계 가스나, 모노메틸실란(CH3SiH3) 가스 등을 사용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 언급한 각종 희가스를 사용해도 된다.
개질 가스로서는, C 및 N을 포함하는 개질 가스를 사용할 수도 있다. 이 경우에는, C 및 N을 더 포함하는 SiOCN막을 형성할 수 있다. C 및 N을 포함하는 개질 가스는, 예를 들어 C3H6 가스 등의 C 함유 가스와, NH3 가스 등의 N 함유 가스를 포함하는 가스여도 된다. C 및 N을 포함하는 개질 가스는, 1 분자 중에 C 및 N을 함유하는 가스(C 및 N 함유 가스), 예를 들어 아민계 가스를 포함하고 있어도 된다. 아민계 가스로서는, 트리에틸아민((C2H5)3N, 약칭:TEA) 가스, 디에틸아민((C2H5)2NH, 약칭:DEA) 가스, 모노에틸아민((C2H5)NH2, 약칭:MEA) 가스, 트리메틸아민((CH3)3N, 약칭:TMA) 가스 및 모노메틸아민((CH3)NH2, 약칭:MMA) 가스 등을 들 수 있다.
이와 같이, C 및 N을 포함하는 개질 가스를 사용하고, C 및 N을 더 포함하는 SiOCN막을 형성함으로써, 개질 전의 SiOC막보다도 C 농도를 높일 수 있고, 새롭게 도입된 N에 의해 막 중의 각 원소의 결합 상태 등도 변화되어, 한층 더 애싱 내성이 높은 막이 얻어진다.
이상과 같이, 웨이퍼(200)에 대해 공급하는 가스의 종류를 변경함으로써, 막 중의 조성 등을 다르게 하는 방법은, 예를 들어 SiOC막 형성 공정에 대해서도 적용할 수 있다.
즉, 상술한 원료 가스와 촉매 가스를 공급하는 공정에서는, 공급하는 원료 가스의 종류를, 예를 들어 BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스 및 MCPMDS 가스 등 중에서 적절히 선택함으로써, SiOC층 중의, 나아가서는, SiOC층이 적층되어 이루어지는 SiOC막 중의 C 농도를 제어할 수 있다. 즉, 원료 가스와 촉매 가스를 공급하는 공정에서는, 원료 가스로서, 분자 구조가 각각 서로 다른 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급함으로써, SiOC층이나 SiOC막 중의 C 농도를 제어할 수 있다.
선택되는 원료 가스의 종류에 의해, SiOC막 중의 C 농도의 제어가 가능해지는 1 요인으로서는, 예를 들어 각 원료 가스의 분자 구조 중에 있어서의 C의 배치의 차이가 생각된다. 1 분자 중에 Si-C-Si 결합이나 Si-C-C-Si 결합을 갖는 알킬렌할로실란계 원료 가스인 BTCSM 가스나 BTCSE 가스 등은, C가 Si에 끼워 넣어진 분자 구조를 취한다. 이로 인해, 남은 Si의 결합손에 많은 Cl이 결합한 상태가 유지되어 있다. 예를 들어 BTCSM 가스나 BTCSE 가스는 모두, Si의 4개의 결합손 중 3개의 결합손에 Cl이 결합하고 있다. 분자 중에 다수 포함되는 Cl은, BTCSM 가스나 BTCSE 가스 등의 반응성을 향상시킨다고 생각된다. 이에 의해, BTCSM 가스나 BTCSE 가스 등을 사용함으로써, 예를 들어 SiOC막의 성막률이 향상된다. 성막률이 향상됨으로써, BTCSM 가스나 BTCSE 가스 등을 사용한 성막 처리의 사용 가능한 조건 범위(프로세스 윈도우)도 확장된다. 이와 같이, 광범위한 프로세스 윈도우 중에서, 예를 들어 원하는 C 농도가 얻어지는 성막 조건을 선택할 수 있으므로, 결과적으로, SiOC막 중의 C 농도를 높이는 것이 용이해진다. SiOC막 중의 C 농도의 제어성도 향상시킬 수 있다. BTCSM 가스 중에 포함되는 C의 수는, 예를 들어 TCDMDS 가스 등과 비교하여 적지만, 이 점은, SiOC막 중의 C 농도의 향상에 불리하게는 작용하지 않는다고 생각된다. 본 발명자들에 의하면, 예를 들어 BTCSM 가스를 사용한 쪽이, TCDMDS 가스를 사용한 경우보다도 C 농도의 향상이 비교적 도모되기 쉬운 것을 확인하고 있다.
메틸기 등의 알킬기가 Si에 결합한 알킬할로실란계 원료 가스인 TCDMDS 가스나 DCTMDS 가스나 MCPMDS 가스 등은, 클로로실란계 원료 가스의 일부의 클로로기가 메틸기로 치환된 분자 구조를 취한다. 이와 같이 가스 분자 중의 Cl의 수가 감소하는 만큼, 이들 TCDMDS 가스나 DCTMDS 가스나 MCPMDS 가스 등에 있어서는, 반응이 비교적 천천히 진행되고, 보다 치밀한 SiOC막이 얻어지기 쉽다. 이로 인해, 예를 들어 C 농도를 적정하게 억제한 SiOC막이라도, 높은 에칭 내성을 유지하기 쉽다. TCDMDS 가스와 DCTMDS 가스의 비교에서는, 분자 중에 메틸기, 즉 C를 다수 포함하는 DCTMDS 가스 쪽이, 막 중으로의 C의 도입량에 유리하게 작용하는 것을 확인하고 있다.
이와 마찬가지로, 산화 가스와 촉매 가스를 공급하는 공정에 있어서도, 원하는 막 조성 등에 따라, 공급하는 촉매 가스의 종류를 적절히 선택할 수 있다. 예를 들어, 각각 서로 다른 분자 구조를 갖는 촉매 가스는, 예를 들어 촉매 작용의 강도도 서로 다르다고 생각된다. 이러한 촉매 작용의 강도의 차이가, 촉매 가스의 종류의 선택에 의해 SiOC막의 막 조성 등의 제어가 가능해지는 1 요인으로서 생각된다. 예를 들어 촉매 작용의 지표로 되는 pKa값이 큰 촉매 가스를 선택함으로써, 산화 가스의 산화력이 향상되어 Si-C 결합이 절단되고, C 농도가 저하되는 경향이 강해지는 경우가 있다. 또한, 예를 들어 pKa가 작은 촉매 가스를 선택함으로써, 산화 가스의 산화력이 저하되어 Si-C 결합이 유지되고, C 농도가 높아지는 경향이 강해지는 경우가 있다. SiOC막의 막 조성 등의 제어가 가능해지는 다른 요인으로서는, 각종 촉매 가스나 생성되는 염 등의 촉매 반응에 관계되는 각종 물질의 증기압의 차이, 또는, 이들 pKa값이나 증기압의 차이 등이 합쳐진 복합 요인 등이 생각된다. 이와 같이, 분자 구조가 각각 서로 다른 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급함으로써, 예를 들어 SiOC층이나 SiOC막 중의 C 농도를 제어할 수 있다.
산화 가스와 촉매 가스를 공급하는 공정에 있어서 공급하는 촉매 가스의 종류는, 원료 가스와 촉매 가스를 공급하는 공정에 있어서 공급하는 촉매 가스의 종류와 동일하게 해도 되고, 다르게 해도 된다.
상기 원료 가스나 촉매 가스의 종류를 선택하는 경우에 있어서, SiOC막 중의 C 농도를 제어함으로써, Si 농도 및 O 농도도 상대적으로 변화시켜도 된다. 즉, SiOC막의 조성을 전체적으로 변화시켜도 되고, 또한, SiOC막의 조성을 전체적으로 제어하는 것을 목적으로 하여 상기 원료 가스나 촉매 가스의 종류를 선택해도 된다.
상술한 1a, 2a의 세트를 복수회 행하는 경우, 그 도중에, 원료 가스나 촉매 가스의 종류를 변경해도 된다. 1a, 2a의 세트를 복수회 행하는 경우, 그 도중에, 촉매 가스의 공급량을 변경해도 된다. 이에 의해, SiOC막 중의 C 농도를 막 두께 방향에서 변화시킬 수 있다.
(다른 변형예)
상술한 실시 형태에서는, SiOC막 형성 공정과 SiOC막 개질 공정을, 처리에 관한 웨이퍼(200)를 동일한 처리실(201) 내에 수용한 상태에서 행하는 예에 대해 설명하였다. 본 변형예에 있어서는, SiOC막 형성 공정과 SiOC막 개질 공정을, 처리에 관한 웨이퍼(200)를 각각 서로 다른 처리실 내에 수용하여 행한다.
즉, 도 6에 나타내는 바와 같이, 예를 들어 SiOC막 형성 공정을, 상술한 실시 형태와 마찬가지로, 도 1, 도 2에 도시하는 기판 처리 장치(이하, 제1 기판 처리부라고도 함)가 구비하는 처리실(201)(이하, 제1 처리실이라고도 함) 내에서 행한다. 제1 기판 처리부를 구성하는 각 부의 동작은 컨트롤러(121)(이하, 제1 제어부라고도 함)에 의해 제어된다. 그리고, 상술한 스텝 1a, 2a와 마찬가지의 스텝 1b, 2b를 포함하는 세트를 소정 횟수 실시한 후, 처리실(201) 내의 퍼지 및 대기압 복귀, 보트 언로드, 웨이퍼 디스차지를 순차적으로 실행한다.
계속해서, 보트(217)로부터 취출된 웨이퍼(200) 상에 형성된 SiOC막을 개질하는 공정을, 처리실(201)과는 다른 처리실 내에서 행한다. 이러한 처리실로서는, 예를 들어 상술한 실시 형태와 마찬가지의 기판 처리 장치이며, SiOC막 형성 공정을 행한 장치와는 별도의 기판 처리 장치(이하, 제2 기판 처리부라고도 함)가 구비하는 처리실(이하, 제2 처리실이라고도 함)을 사용할 수 있다. 제2 기판 처리부를 구성하는 각 부의 동작은 제2 제어부에 의해 제어된다. 제2 기판 처리부에 있어서는, 제1 기판 처리부에 있어서 상술한 실시 형태와 마찬가지로, 웨이퍼 차지, 보트 로드를 순차적으로 실행한다. 또한, 상술한 실시 형태의 SiOC막 개질 공정을 행할 때와 마찬가지로, 압력 조정, 온도 조정, NH3 가스 공급, 잔류 가스 제거를 행한다. 그 후에는, 상술한 실시 형태와 마찬가지로, 퍼지, 대기압 복귀, 보트 언로드 및 웨이퍼 디스차지를 순차적으로 실행한다.
상기한 경우에 있어서, 주로, SiOC막을 형성하는 제1 기판 처리부와 SiOC막을 개질하는 제2 기판 처리부에 의해 기판 처리 시스템이 구성되게 된다.
이상과 같이, SiOC막 형성 공정과 SiOC막 개질 공정은, 동일한 처리실(201) 내에서(In-Situ에서) 행할 수도 있고, 각각 서로 다른 처리실(제1 처리실 및 제2 처리실) 내에서(Ex-Situ에서) 행할 수도 있다. In-Situ에서 양 공정을 행하면, 도중, 웨이퍼(200)가 대기 폭로되는 일 없이, 웨이퍼(200)를 진공하에 둔 상태로, 일관적으로 처리를 행할 수 있다. 따라서, 한층 더 안정된 성막 처리를 행할 수 있다. Ex-Situ에서 양 공정을 행하면, 각각의 처리실 내의 온도를 예를 들어 각 공정에서의 처리 온도 또는 그것에 가까운 온도로 미리 설정해 둘 수 있어, 온도 조정에 필요로 하는 시간을 단축할 수 있다. 따라서, 한층 더 생산 효율을 높일 수 있다.
SiOC막을 개질 처리하는 처리실은, 상술한 실시 형태의 기판 처리 장치와는 다른 장치, 예를 들어 열 처리에 사용하는 열 처리로나 확산을 행하는 확산로 등이 구비하는 처리실이어도 된다. 기판 처리 시스템은, 제1 기판 처리부와 제2 기판 처리부가, 상기한 바와 같이 각각 독립된 장치(스탠드 얼론형 장치)군으로서 구성되어 있어도 되고, 제1 기판 처리부와 제2 기판 처리부가, 동일한 플랫폼에 탑재된 1개의 장치(클러스터형 장치)로서 구성되어 있어도 된다. 이들과 같은 기판 처리 시스템에 있어서도, 예를 들어 도 4, 도 5의 (a)의 시퀀스에 있어서의 처리 조건과 마찬가지의 범위 내의 처리 조건에서 박막을 형성할 수 있다.
<제2 실시 형태>
다음으로, 본 발명의 제2 실시 형태에 대해 설명한다.
(1) 박막 형성 공정
상술한 실시 형태에서는, 스텝 1a, 2a를 포함하는 세트를 소정 횟수 행하여 SiOC막을 형성하고, 그 SiOC막을 개질 가스에 의해 개질하는 예에 대해 설명하였다. 본 실시 형태에 있어서는, 상술한 스텝 1a, 2a와 마찬가지로 행하는 스텝 1c, 2c에 의해 형성한 SiOC층을, N을 더 포함하는 SiOCN층으로 개질하는 사이클을 소정 횟수 행하여, SiOCN막을 형성한다. 본 실시 형태에 있어서도, 상술한 실시 형태와 마찬가지로, 도 1, 도 2에 도시하는 기판 처리 장치를 사용한다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
도 7, 도 8의 (a)에 나타내는 바와 같이, 본 실시 형태에서는, 웨이퍼(200)에 대해 원료 가스로서의 BTCSM 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정과(스텝 1c), 웨이퍼(200)에 대해 산화 가스로서의 H2O 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정과(스텝 2c), 웨이퍼(200)에 대해 C 및 N을 포함하는 군으로부터 적어도 하나를 포함하는 개질 가스로서, N을 포함하는 개질 가스로서의 NH3 가스를 공급하는 공정(스텝 3c)을 이 순서대로 행하는 사이클을 소정 횟수 행함으로써, 웨이퍼(200) 상에, Si, O, C 및 N을 포함하는 박막으로서의 SiOCN막을 형성하는 예에 대해 설명한다.
이때, 웨이퍼(200)에 대해 NH3 가스를 공급하는 공정에서는, 플라즈마 상태로 여기된 NH3 가스를 웨이퍼(200)에 대해 공급한다.
또한, 본 시퀀스가 상술한 실시 형태의 성막 시퀀스와 다른 점은, NH3 가스를 플라즈마 상태로 여기하여 공급하는 스텝 3c, 및 이것을 포함하는 각 스텝의 실시순만이며, 그 밖의 개개의 스텝 1c, 2c는 상술한 실시 형태와 마찬가지이다. 이하, 본 실시 형태의 스텝 3c, 및 이것을 포함하는 각 스텝의 실시순에 대해 설명한다.
[스텝 3c]
(NH3 가스 공급)
스텝 2c가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243d)를 개방하고, 가스 공급관(232d) 내에 NH3 가스를 흘린다. NH3 가스는, MFC(241d)에 의해 유량 조정되고, 가스 공급 구멍(250d)으로부터 버퍼실(237) 내에 공급된다. 이때, 막대 형상 전극(269, 270) 사이에 고주파 전원(273)으로부터 정합기(272)를 통해 고주파(RF) 전력을 인가함으로써, 버퍼실(237) 내에 공급된 NH3 가스는 플라즈마 여기되고, 활성종으로서 가스 공급 구멍(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대해, 플라즈마 상태로 활성화(여기)된 NH3 가스가 공급되게 된다(NH3 가스 공급). 이때 동시에 밸브(243j)를 개방하고, 가스 공급관(232j) 내에 N2 가스를 흘린다. N2 가스는, MFC(241j)에 의해 유량 조정되고, NH3 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 각 가스를 공급할 때에는, 상술한 실시 형태와 마찬가지로, 그때 사용하지 않는 것으로 되어 있는 노즐(249a∼249c) 등으로의 NH3 가스의 침입을 방지하는 N2 가스 공급을 적절히 행한다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 대기압 미만의 압력, 바람직하게는 1∼13330㎩(0.0075∼100Torr), 보다 바람직하게는 133∼2666㎩(1∼20Torr)의 범위 내의 압력으로 한다. MFC(241d)로 제어하는 NH3 가스의 공급 유량은, 예를 들어 1∼2000sccm, 바람직하게는 10∼1000sccm의 범위 내의 유량으로 한다. MFC(241g∼241j)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100∼10000sccm의 범위 내의 유량으로 한다. NH3 가스를 웨이퍼(200)에 대해 공급하는 시간은, 예를 들어 1∼100초, 바람직하게는 5∼60초의 범위 내의 시간으로 한다.
히터(207)의 온도는, 웨이퍼(200)의 온도가, 스텝 1c, 2c에서의 웨이퍼(200)의 온도와 마찬가지의 온도대, 즉, 예를 들어 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 되도록 설정한다. 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에 인가하는 고주파 전력은, 예를 들어 50∼1000W의 범위 내의 전력으로 되도록 설정한다.
이때, 처리실(201) 내에 흘리고 있는 가스는 플라즈마 상태로 여기된 NH3 가스이며, 예를 들어 N 라디칼(N*) 등의 활성종을 포함하고 있다. 또한, 처리실(201) 내에는 BTCSM 가스나 H2O 가스나 피리딘 가스는 흘리고 있지 않다. 따라서, NH3 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급된다. 주로 이 활성종에 의해, 스텝 1c, 2c에서 웨이퍼(200) 상에 형성된 제2층으로서의 SiOC층에 대해 개질 처리가 행해진다. 이 활성종이 갖는 에너지는, 예를 들어 상술한 실시 형태와 같이, 열적으로 활성화된 NH3 가스가 갖는 에너지보다도 높다. 이로 인해, 활성종의 에너지를 SiOC층에 부여함으로써, SiOC층 중에 포함되는 Si-O 결합, Si-C 결합 등의 적어도 일부가 분리된다. 활성종인 N*은, O나 C와의 결합을 분리된 Si의 남은 결합손과 결부된다. 이와 같이, SiOC층 중에 도입된 N 중 적어도 일부는, Si-N 결합을 형성한다고 생각된다. 또한, N의 일부가 O나 C의 남은 결합손과 결부되어, N-O 결합이나 N-C 결합을 형성해도 된다.
이와 같이 하여, 제2층으로서의 SiOC층은, N을 포함하는 제3층, 즉, SiOCN층으로 변화된다(개질된다).
(잔류 가스 제거)
제3층으로서의 SiOCN층이 웨이퍼(200) 상에 형성된 후, 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에의 고주파 전력의 인가를 정지한다. 또한, 밸브(243d)를 폐쇄하고, NH3 가스의 공급을 정지한다. 이때, 상술한 실시 형태와 동일한 순서로, 처리실(201) 내로부터 잔류 가스의 제거를 행한다.
C 및 N을 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스로서는, N을 포함하는 개질 가스로서 NH3 가스 외에, 상기에 언급한 N 함유 가스를 사용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 언급한 각종 희가스를 사용해도 된다.
(소정 횟수 실시)
스텝 1c, 2c, 3c를 1 사이클로 하여, 이 사이클을 1회 이상, 즉, 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에, 소정 조성 및 소정 막 두께의 SiOCN막을 성막할 수 있다. 상술한 사이클은, 복수회 반복하는 것이 바람직하다. 즉, 1 사이클당 형성하는 SiOCN층의 두께를 원하는 막 두께보다도 작게 하여, 상술한 사이클을 원하는 막 두께로 될 때까지 복수회 반복하는 것이 바람직하다.
그 후, 상술한 실시 형태와 동일한 순서로, 퍼지, 대기압 복귀, 보트 언로드 및 웨이퍼 디스차지를 행하여, 본 실시 형태의 성막 처리를 종료한다.
(2)본 실시 형태에 관한 효과
본 실시 형태에 따르면, 상술한 제1 실시 형태와 마찬가지의 효과를 발휘하는 것 외에, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태의 성막 시퀀스에 따르면, 스텝 3c에서, 플라즈마 상태로 여기된 NH3 가스를 웨이퍼(200)에 대해 공급한다. 이에 의해, NH3 가스가, 열적으로 활성화된 상태보다도 한층 더 활성화된 상태로 되고, NH3 가스의 SiOC층에 대한 개질 작용을 현저하게 높일 수 있다. 활성종인 N*은, 단순히 SiOC층 중에 도입될 뿐만 아니라, 그 대부분이 Si 등과 결합하고, 보다 견고하고 안정된 상태에서, SiOC층 중에 포함되게 된다. 즉, 이러한 개질 처리에 의해 얻어지는 SiOCN층을, Si-N 결합 등을 많이 포함하는 보다 견고하고 안정된 층으로 할 수 있다. 따라서, 최종적으로 얻어지는 SiOCN막을 한층 더 양질의 박막으로 할 수 있다.
(b) 또한, 본 실시 형태의 성막 시퀀스에 따르면, 스텝 3c에서, 플라즈마 상태로 여기된 NH3 가스에 의해, SiOC층에 대한 개질 작용을 현저하게 높일 수 있다.
이에 의해, 예를 들어 150℃ 이하의 저온 조건하라도, SiOC층의 개질 처리를 충분히 행할 수 있다. 따라서, 웨이퍼(200)가 받는 열 이력을 한층 더 개선할 수 있다.
예를 들어, 트랜지스터의 게이트 주변에는, 저 융점 금속 재료를 사용하는 것이 증가하였다.
이로 인해, SWS나 층간 절연막 등에 사용되고, low-k막 특성을 구비하는 박막 등의 형성 시에는, 예를 들어 150℃ 이하, 때로는 100℃ 이하의 저온하에서의 성막이 요구되고 있다.
본 실시 형태에서는, SiOC막의 성막 공정 및 SiOC막의 개질 공정을 모두, 예를 들어 150℃ 이하의 저온하에서 행하여, SiOCN막을 형성하고 있다. 따라서, 고 에칭 내성 및 고 애싱 내성을 갖는 박막이 얻어지고, 고속 동작이며, 또한, 고집적화한 반도체 장치를 얻을 수 있다.
(c) 또한, 본 실시 형태의 성막 시퀀스에 따르면, 스텝 3c에 있어서의 웨이퍼(200)의 온도를, 스텝 1c, 2c에서의 웨이퍼(200)의 온도와 동등한 온도로 한다. 이에 의해, 예를 들어 스텝 1c, 2c, 3c를 이 순서대로 행하는 사이클을 소정 횟수 행할 때에, 도중에 온도 조정을 행하는 일 없이 각 스텝을 실시할 수 있다. 따라서, 웨이퍼(200)의 승온이나 강온에 필요로 하는 시간을 생략하여 1 사이클당 처리 시간을 단축할 수 있어, 토탈에서의 처리 시간을 단축하는 것이 가능해진다.
(3) 본 실시 형태의 변형예
다음으로, 본 실시 형태의 변형예에 대해 설명한다.
도 8의 (b)에 나타내는 바와 같이, 변형예에서는, SiOC층의 개질 처리에 있어서, N을 포함하는 개질 가스 대신에 C 및 N을 포함하는 개질 가스를 사용해도 된다. C 및 N을 포함하는 개질 가스로서는, C 함유 가스와 N 함유 가스를 포함하는 가스를 사용해도 되고, 예를 들어 C3H6 가스 및 NH3 가스를 모두 플라즈마 상태로 여기하여 웨이퍼(200)에 대해 공급해도 된다. 이 경우, C3H6 가스는, 처리실(201) 내에 공급된 NH3 가스의 플라즈마에 의해, 처리실(201) 내에 있어서 간접 여기하는 것이 바람직하다. 또는, C 및 N을 포함하는 개질 가스로서, 예를 들어 아민계 가스를 플라즈마 상태로 여기하여 웨이퍼(200)에 대해 공급해도 된다. 이 경우, 아민계 가스는, 예를 들어 버퍼실(237) 밖의 처리실(201) 내에 설치한 노즐로부터 공급하고, 버퍼실(237) 내에서 플라즈마 상태로 여기되어 처리실(201) 내에 공급된 N2 가스 등의 어시스트 가스에 의해, 처리실(201) 내에 있어서 간접 여기하는 것이 바람직하다. 이에 의해, C 및 N을 더 포함하는 SiOCN막이 얻어진다.
다른 변형예에서는, SiOC층의 개질 처리에 있어서, N을 포함하는 개질 가스 대신에 C를 포함하는 개질 가스를 사용해도 된다. C를 포함하는 개질 가스로서는, 예를 들어 C3H6 가스를, 바람직하게는 어시스트 가스에 의한 간접 여기에 의해 플라즈마 상태로 여기하여, 웨이퍼(200)에 대해 공급해도 된다. 이에 의해, C를 더 포함하는 SiOC막이 얻어진다.
또 다른 변형예에서는, SiOC층의 개질 처리에 있어서, C를 포함하는 개질 가스로서, C3H6 가스를 플라즈마 상태로 여기하여 웨이퍼(200)에 대해 공급하는 것이 아니라, C3H6 가스를 촉매 가스로서의 피리딘 가스와 함께 웨이퍼(200)에 대해 공급해도 된다. C3H6 가스를 피리딘 가스와 함께 공급함으로써도, 예를 들어 150℃ 이하의 저온 조건하에서, C3H6 가스를 활성화하고, C3H6 가스의 SiOC층에 대한 개질을 행할 수 있다. 또한, SiOC층의 개질 처리에 있어서의 웨이퍼(200)의 온도를, SiOC층의 형성 시의 웨이퍼(200)의 온도와 동등한 것으로 할 수 있고, 1 사이클당 처리 시간을 단축할 수 있다.
이들 변형예에 있어서의 처리실(201) 내의 압력, 개질 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 도 7, 도 8의 (a)의 시퀀스에 있어서의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 촉매 가스를 사용하는 경우의 촉매 가스의 공급 유량 등에 대해서는, 예를 들어 1∼2000sccm, 바람직하게는 10∼1000sccm의 범위 내의 유량으로 할 수 있다. 각 가스를 공급할 때에는, 상술한 실시 형태와 마찬가지로, 사용하지 않는 것으로 되어 있는 노즐(249a∼249d)이나 버퍼실(237) 등으로의 각 가스의 침입을 방지하는 N2 가스 공급을 행한다.
또한, 상술한 제2 실시 형태 및 그 변형예에서는, 웨이퍼(200)에 대해 각 가스를 공급하는 공정을 순차적으로 행하는 사이클을 소정 횟수 행하는 것으로 하였다. 그러나, 상술한 제1 실시 형태와 마찬가지로, 스텝 1a, 2a와 마찬가지로 행하는 스텝을 포함하는 세트를 소정 횟수 행함으로써, 우선은, 웨이퍼(200) 상에 SiOC막을 형성하는 것으로 해도 된다. 그런 후에, 개질 가스를 플라즈마 상태로 여기하거나, 또는, 개질 가스로서의 C3H6 가스를 피리딘 가스와 함께, 웨이퍼(200)에 대해 공급해도 된다. 이에 의해, 이러한 SiOC막을 개질하여 C나 N 등을 더 포함하는 SiOC막이나 SiOCN막으로 해도 된다. 이 경우에도, 예를 들어 도 7, 도 8의 (a)의 시퀀스에 있어서의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다.
단, C3H6 가스를 피리딘 가스와 함께 웨이퍼(200)에 대해 공급함으로써 SiOC막을 개질하는 경우에는, 웨이퍼(200)의 온도를, SiOC막을 형성할 때의 웨이퍼(200)의 온도보다도 높은 온도로 하는 것이 바람직하다. 구체적으로는, 웨이퍼(200)의 온도를 예를 들어 상술한 제1 실시 형태의 SiOC막 개질 공정에 있어서의 웨이퍼(200)의 온도와 마찬가지의 온도로 설정하는 것이 바람직하다. SiOC막을 개질할 때의 웨이퍼(200)의 온도가, 예를 들어 도 4, 도 5의 (a)에 나타내는 시퀀스의 SiOC막 형성 공정에 있어서의 웨이퍼(200)의 온도와 동일 정도이면, 적어도 SiOC막의 표층 부분을 개질시키는 효과는 얻어지지만, C3H6 가스에 의한 개질 작용이 막 전체에 미치지 못할 가능성이 있다. SiOC막을 개질할 때의 웨이퍼(200)의 온도를, SiOC막을 형성할 때의 웨이퍼(200)의 온도보다 높은 온도로 함으로써, C3H6 가스에 의한 개질 작용을 SiOC막의 대략 전체에 널리 퍼지게 할 수 있어, 보다 균질의 박막을 얻을 수 있다. 또한, SiOC막 중으로부터 수분이 이탈하기 쉬워져, 수분이 빠진 구멍에 C가 도입되기 쉬워진다.
개질 가스를 플라즈마 상태로 여기하여 웨이퍼(200)에 대해 공급함으로써 SiOC막을 개질하는 경우에는, 웨이퍼(200)의 온도를 예를 들어 스텝 1a, 2a와 마찬가지로 행하는 스텝에 있어서의 웨이퍼(200)의 온도와 동등한 온도로 할 수 있다. 플라즈마 상태로 여기된 개질 가스는, 보다 활성의 상태로 되어 있으므로, 이러한 저온 조건하라도, 개질 가스의 개질 작용을 SiOC막의 대략 전체에 널리 퍼지게 할 수 있다. 단, 플라즈마를 사용하는 경우라도, 웨이퍼(200)의 온도를 스텝 1a, 2a와 마찬가지로 행하는 스텝에 있어서의 웨이퍼(200)의 온도보다 높여도 되고, 웨이퍼(200)의 온도를 예를 들어 500℃ 이하의 온도로 할 수 있다. 웨이퍼(200)의 온도가 500℃까지이면, 온도를 높일수록 SiOC막 중으로부터 수분이 이탈하기 쉬워져, 수분이 빠진 구멍에 C, N 등이 도입되기 쉬워진다. 웨이퍼(200)의 온도가 500℃를 초과하면, 수분의 이탈 및 C, N 등의 도입의 효과는 그 이상으로는 높아지지 않는 경우가 있다. 따라서, 웨이퍼(200)의 온도를 500℃ 이하로 함으로써, 웨이퍼(200)의 열 이력을 불필요하게 악화시키는 일 없이, SiOC막 중으로부터의 수분의 이탈 및 SiOC막 중으로의 C, N 등의 도입의 효과를 높일 수 있다. 특히, 웨이퍼(200)의 온도를 300℃ 이상 500℃ 이하, 바람직하게는 300℃ 이상 400℃ 이하로 함으로써, 이들의 효과를 보다 높일 수 있다.
<제3 실시 형태>
다음으로, 본 발명의 제3 실시 형태에 대해 설명한다.
(1) 박막 형성 공정
상술한 실시 형태에서는, BTCSM 가스 등의 Si원 및 C원으로 되는 원료 가스를 사용하여 SiOC막을 형성하고, 그 SiOC막을 개질 가스에 의해 개질하는 예에 대해 설명하였다. 본 실시 형태에 있어서는, Si원으로 되지만 C원으로는 되지 않는 원료 가스를 사용하여 SiO막을 형성하고, 그 SiO막을 개질 가스에 의해 개질한다. 본 실시 형태에 있어서도, 상술한 실시 형태와 마찬가지로, 도 1, 도 2에 도시하는 기판 처리 장치를 사용한다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
도 9, 도 10의 (a)에 나타내는 바와 같이, 본 실시 형태에서는, 웨이퍼(200)에 대해 Si원으로 되지만 C원으로는 되지 않는 원료 가스로서, Si 및 할로겐 원소를 포함하는 원료 가스로서의 HCDS 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정과(스텝 1d), 웨이퍼(200)에 대해 산화 가스로서의 H2O 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정(스텝 2d)을 포함하는 세트를 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에, Si 및 O를 포함하는 제1 박막으로서 SiO막을 형성하는 공정과, 웨이퍼(200)에 대해 C 및 N 중 적어도 C를 포함하는 개질 가스로서, C 및 N을 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스로서의 C3H6 가스 및 NH3 가스를 공급하는 공정을 행함으로써, SiO막을, C 및 N을 더 포함하는 제2 박막으로서의 SiOCN막으로 개질하는 예에 대해 설명한다.
(SiO막 형성 공정)
상술한 실시 형태 등과 마찬가지로, 웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정을 행한 후, 다음 2개의 스텝, 즉, 스텝 1d, 2d를 순차적으로 실행한다.
[스텝 1d]
(HCDS 가스+피리딘 가스 공급)
밸브(243e)를 개방하고, 가스 공급관(232e) 내에 HCDS 가스를 흘린다. HCDS 가스는, MFC(241e)에 의해 유량 조정되고, 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 HCDS 가스가 공급되게 된다(HCDS 가스 공급). 이때 동시에 밸브(243g)를 개방하고, 가스 공급관(232g) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는, MFC(241g)에 의해 유량 조정되고, BTCSM 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 상술한 피리딘 가스의 공급과 마찬가지로 하여, 웨이퍼(200)에 대해 피리딘 가스를 공급한다. 각 가스를 공급할 때에는, 상술한 실시 형태와 마찬가지로, 사용하지 않는 것으로 되어 있는 노즐(249b, 249d) 내나 버퍼실(237) 내로의 각 가스의 침입을 방지하는 N2 가스 공급을 행한다.
이와 같이, 웨이퍼(200)에 대해 HCDS 가스를 공급함으로써, 웨이퍼(200)(표면의 기초막) 상에, 제1층으로서, 예를 들어 1 원자층 미만으로부터 수 원자층 정도의 두께의 Cl을 포함하는 Si 함유층이 형성된다. Cl을 포함하는 Si 함유층은, Cl을 포함하는 Si층이어도 되고, HCDS 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
Cl을 포함하는 Si층이라 함은, Si에 의해 구성되고 Cl을 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐 생기는 Cl을 포함하는 Si 박막도 포함하는 총칭이다. Si에 의해 구성되고 Cl을 포함하는 연속적인 층을, Cl을 포함하는 Si 박막이라 하는 경우도 있다. Cl을 포함하는 Si층을 구성하는 Si는, Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
HCDS 가스의 흡착층은, HCDS 가스의 가스 분자가 연속적인 흡착층 외에, 불연속인 흡착층도 포함한다. 즉, HCDS 가스의 흡착층은, HCDS 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 흡착층을 포함한다. HCDS 가스의 흡착층을 구성하는 HCDS(Si2Cl6) 분자는, Si와 Cl의 결합이 일부 끊어진 것도 포함한다. 즉, HCDS 가스의 흡착층은, HCDS 분자의 화학 흡착층이나, HCDS 분자의 물리 흡착층을 포함한다.
촉매 가스로서의 피리딘 가스는, 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시키고, HCDS 가스의 분해를 재촉하고, HCDS 분자의 화학 흡착에 의한 제1층의 형성을 촉진시킨다. 즉, 예를 들어 웨이퍼(200)의 표면에 존재하는 O-H 결합에, 촉매 가스로서의 피리딘 가스가 작용하여 O-H간의 결합력을 약화시킨다. 결합력이 약해진 H와 HCDS 가스의 Cl이 반응함으로써 염화수소(HCl) 가스가 생성되어 이탈하고, Cl을 상실한 HCDS 분자(할로겐화물)가 웨이퍼(200)의 표면에 화학 흡착된다. 즉, 웨이퍼(200)의 표면에, HCDS 가스의 화학 흡착층이 형성된다. 이와 같이, 촉매 가스로서의 피리딘 가스는, HCDS 가스에 대해서도, 상술한 BTCSM 가스 등의 Si원 및 C원으로 되는 원료 가스의 경우와 마찬가지의 촉매 작용을 나타낸다.
또한, 이때의 처리실(201) 내의 압력, 원료 가스, 촉매 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 상술한 도 4, 도 5의 (a)의 시퀀스에 있어서의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다.
(잔류 가스 제거)
제1층으로서의 Cl을 포함하는 Si 함유층이 웨이퍼(200) 상에 형성된 후, 밸브(243e)를 폐쇄하고, HCDS 가스의 공급을 정지한다. 또한, 상술한 실시 형태와 동일한 순서로, 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터 잔류 가스의 제거를 행한다.
Si원으로 되지만 C원으로는 되지 않는 원료 가스로서는, Si 및 할로겐 원소를 포함하는 원료 가스로서, HCDS 가스 외에, 실리콘테트라클로라이드(SiCl4, 약칭:STC) 가스, 트리클로로실란(SiHCl3, 약칭:TCS) 가스, 디클로로실란(SiH2Cl2, 약칭:DCS) 가스 및 모노클로로실란(SiH3Cl, 약칭:MCS) 가스 등의, 가스 분자 중에 C를 포함하지 않는 클로로실란계 원료 가스 등을 사용해도 된다.
촉매 가스로서는, 피리딘 가스 외에, 상기에 언급한 각종 아민계 촉매 가스를 사용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 언급한 각종 희가스를 사용해도 된다.
[스텝 2d]
(H2O 가스+피리딘 가스 공급)
스텝 1d가 종료되어 처리실(201) 내의 잔류 가스를 제거한 후, 상술한 실시 형태와 동일한 순서로, 웨이퍼(200)에 대해 H2O 가스 및 피리딘 가스를 공급한다. 또한, H2O 가스나 피리딘 가스를 공급할 때는, 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249a, 249d) 내나 버퍼실(237) 내에의 각 가스의 침입을 방지하는 N2 가스 공급을 행한다.
웨이퍼(200)에 대해 열에 의해 활성화된 H2O 가스가 공급됨으로써, 스텝 1d에서 웨이퍼(200) 상에 형성된 제1층(Cl를 포함하는 Si 함유층)의 적어도 일부와 반응하고, 제1층은, 논 플라즈마에 의해 열적으로 산화되어, Si 및 O를 포함하는 제2층, 즉, SiO층으로 변화시킬 수 있다.
촉매 가스로서의 피리딘 가스는, H2O 가스가 갖는 O-H 결합에 작용하고, O-H간의 결합력을 약화시킨다. 결합력이 약해진 H와, 웨이퍼(200) 상에 형성된 제1층이 갖는 Cl가 반응함으로써, HCl 가스가 생성되어 이탈되고, H를 상실한 H2O 가스의 O가, Cl가 이탈된 제1층의 Si와 결합된다. 이와 같이, 촉매 가스로서의 피리딘 가스는, Cl를 포함하는 Si 함유층을 개질하는 경우에 있어서도, 상술한 C 및 Cl를 포함하는 Si 함유층을 개질하는 경우와 동일한 촉매 작용을 나타낸다.
또한, 이때의 처리실(201) 내의 압력, 산화 가스, 촉매 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 상술한 도 4, 도 5의 (a)의 시퀀스에 있어서의 처리 조건과 동일한 범위 내의 처리 조건으로 할 수 있다.
예를 들어, 150℃ 이하의 저온 조건하에서는, 수분(H2O)을 비교적 많이 포함한 SiO층이나, 이와 같은 SiO층이 적층되어 이루어지는 SiO막이 형성되기 쉽다. SiO층이나 SiO막 중에 포함되는 수분은, 예를 들어 산화 가스로서 사용한 H2O 가스 등에 유래된다.
(잔류 가스 제거)
제2층이 웨이퍼(200) 상에 형성된 후, 상술한 실시 형태와 동일한 순서로, HCDS 가스 및 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터 잔류 가스의 제거를 행한다.
산화 가스로서는, H2O 가스 외에, 상기에 예로 든 각종 가스를 사용해도 된다. 촉매 가스로서는, 피리딘 가스 외에, 상기에 예로 든 각종 아민계 촉매 가스를 사용해도 된다.
불활성 가스로서는, N2 가스 외에, 상기에 예로 든 각종 희가스를 사용해도 된다.
(소정 횟수 실시)
상술한 스텝 1d, 2d를 1세트로 하여, 이 세트를 1회 이상, 즉, 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에 제1 박막으로서, 소정 조성 및 소정 막 두께의 SiO막을 성막할 수 있다. 상술한 세트는, 복수회 반복하는 것이 바람직하다. 즉, 1세트당 형성하는 SiO층의 두께를 원하는 막 두께보다도 작게 하여, 상술한 세트를 원하는 막 두께가 될 때까지 복수회 반복하는 것이 바람직하다.
이때, 각 스텝에 있어서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, SiO층에 있어서의 각 원소 성분, 즉, Si 성분 및 O 성분의 비율, 즉, Si 농도 및 O 농도를 조정할 수 있어, SiO막의 조성비를 제어할 수 있다.
(SiO막 개질 공정)
이상과 같이, 가스 분자 중에 C를 포함하지 않는 HCDS 가스를 원료 가스로서 사용함으로써 적어도 원료 가스 유래(由來)의 C가 막 중에 포함되는 일은 없고, 상기한 바와 같은 SiO막이 형성된다. SiO막 개질 공정에 있어서는, 예를 들어 C 및 N를 포함하는 개질 가스로서, C 함유 가스인 C3H6 가스 및 N 함유 가스인 NH3 가스를 사용하여 SiO막의 개질을 행한다.
(C3H6 가스+NH3 가스 공급)
상술한 제1 실시 형태와 동일한 순서로 압력 조정 및 온도 조정을 행한 후, 상술한 C3H6 가스의 공급 및 NH3 가스의 공급과 동일한 순서로 웨이퍼(200)에 대해 C3H6 가스 및 NH3 가스를 공급한다.
웨이퍼(200)에 대해 열에 의해 활성화된 C3H6 가스 및 NH3 가스를 공급함으로써, 스텝 1d, 2d를 소정 횟수 행함으로써 웨이퍼(200) 상에 형성된 제1 박막(SiO막)의 적어도 일부와, C3H6 가스 및 NH3 가스가 반응한다. 이에 의해, SiO막은, 논 플라즈마에 의해 열적으로 개질되어, Si, O, C 및 N를 포함하는 제2 박막, 즉, SiOCN막으로 변화시킬 수 있다.
이때, 웨이퍼(200)의 온도를 비교적 높은 온도로 함으로써, C3H6 가스 및 NH3 가스와 SiO막의 반응이 촉진되고, C 성분 및 N 성분을 SiO막 중에까지 인입시킬 수 있다. 또한, 웨이퍼(200)의 온도를, 상술한 SiO막을 형성하는 공정에 있어서의 웨이퍼(200)의 온도보다도 높은 온도로 함으로써, 상술한 바와 같이, SiO막이 수분을 많이 포함하는 경우에는, 막 중으로부터 수분이 이탈되기 쉬워진다. SiO막의 수분이 빠져나간 부분에는 미소한 구멍(포어), 즉, 미소한 공간이 발생하고, SiO막은 다공질 형상의 막으로 된다. 이와 같은 수분이 빠져나간 구멍에 C나 N가 인입됨으로써, C 성분 및 N 성분이 한층 더 SiO막 중에 도입되기 쉬워져, SiO막의 개질이 막의 대략 전체에 미치게 된다. 이때, SiO막 중에 도입된 C나 N의 적어도 일부가, 막 중의 성분, 예를 들어 Si 등과 Si-C 결합이나 Si-N 결합 등을 형성하고 있어도 된다.
또한, 이때의 처리실(201) 내의 압력, 개질 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 상술한 도 4, 도 5의 시퀀스에 있어서의 처리 조건과 동일한 범위 내의 처리 조건으로 할 수 있다. 또한, C3H6 가스 및 NH3 가스를 공급할 때는, 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249a, 249b) 내에의 각 가스의 침입을 방지하는 N2 가스 공급을 행한다.
(잔류 가스 제거 및 퍼지)
제2 박막으로서의 SiOCN막이 웨이퍼(200) 상에 형성된 후, 상술한 도 4, 도 5의 시퀀스와 동일한 순서로, C3H6 가스 및 NH3 가스의 공급을 정지한다. 이때, 상술한 실시 형태와 동일한 순서로, 처리실(201) 내로부터 잔류 가스의 제거 및 처리실(201) 내의 퍼지를 행한다.
그 후, 상술한 도 4, 도 5의 (a)의 시퀀스와 동일한 순서로, 대기압 복귀, 보트 언로드 및 웨이퍼 디스차지를 행하여, 본 실시 형태의 성막 처리를 종료한다.
C 및 N를 포함하는 개질 가스로서는, C3H6 가스 및 NH3 가스 외에, 상기에 예로 든 각종 C 함유 가스 및 N 함유 가스를 사용해도 된다. C 및 N를 포함하는 개질 가스로서, 상기에 예로 든 각종 아민계 가스를 사용해도 된다.
상기에 있어서는, C 및 N를 포함하는 개질 가스를 사용하여 SiOCN막을 형성하는 것으로 하였지만, 예를 들어 도 10의 (b)에 나타내는 변형예와 같이, C 및 N를 포함하는 개질 가스 대신에 C3H6 가스 등의 C를 포함하는 개질 가스를 열적으로 활성화하여 사용해도 된다. 이에 의해, SiO막을 개질하여, Si, O 및 C를 포함하는 박막으로서 SiOC막을 형성할 수 있다. 또는 C 및 N를 포함하는 개질 가스 대신에 NH3 가스 등의 N를 포함하는 개질 가스를 열적으로 활성화하여 사용해도 된다. 이와 같이, N를 포함하는 개질 가스에 의해 SiO막을 개질하여, Si, O 및 N를 포함하는 박막으로서 SiON막을 형성할 수도 있다. SiON막을, N를 포함하는 SiO막, N가 도프(첨가)된 SiO막 등이라고도 할 수 있다. 이들 경우에 있어서도, 예를 들어 도 9, 도 10의 (a)의 시퀀스에 있어서의 처리 조건과 동일한 범위 내의 처리 조건으로 할 수 있다.
(2) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 상술한 실시 형태와 동일한 효과를 발휘하는 것 외에, 이하에 나타내는 1개 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태의 성막 시퀀스에 의하면, 스텝 1d, 2d에서 SiO막을 형성한 후, C 및 N를 포함하는 개질 가스에 의해 SiO막을 개질하여 SiOCN막을 형성한다. 이에 의해, 가스 분자 중에 C를 포함하지 않는 클로로실란계 원료 가스 등을 사용해도, SiOCN막을 얻을 수 있다. 즉, 보다 심플하고 저렴한 가스계에 의해, 고 에칭 내성 및 고 애싱 내성을 갖는 박막을 얻는 것이 가능하게 된다.
(b) 본 실시 형태의 성막 시퀀스에 의하면, 스텝 1d, 2d에서 SiO막을 형성한 후, C를 포함하는 개질 가스에 의해 SiO막을 개질하여 SiOC막을 형성한다. 이때, 예를 들어 SiO막 개질 공정의 시간을 길게 해 감으로써, 상술한 Si원 및 C원으로 되는 원료 가스를 사용하여 형성되는 SiOC막보다도 C 농도가 높은 SiOC막을 얻는 것도 가능하다. 이와 같이, 막 내의 C 농도를 높여 둠으로써, 예를 들어 애싱 후에도 막 중의 C 농도를 높은 상태로 유지할 수 있고, 애싱 내성이 높은 박막으로 할 수 있다. 따라서, 보다 심플하고 저렴한 가스계에 의해, 고 에칭 내성 및 고 애싱 내성을 갖는 박막을 얻는 것이 가능하게 된다.
(3) 본 실시 형태의 변형예
이어서, 본 실시 형태의 변형예에 대해 설명한다.
도 10의 (b)에 나타내는 변형예 외에, 도 11 및 도 12의 (a)에 나타내는 바와 같이, 본 실시 형태의 다른 변형예에서는, C3H6 가스 및 NH3 가스를 플라즈마 상태로 여기하여 SiO층의 개질을 행한다.
즉, 웨이퍼(200)에 대해 원료 가스로서의 HCDS 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정과(스텝 1e), 웨이퍼(200)에 대해 산화 가스로서의 H2O 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 공정과(스텝 2e), 웨이퍼(200)에 대해 C 및 N를 포함하는 개질 가스로서 C3H6 가스 및 NH3 가스를 플라즈마 상태로 여기하여 공급하는 공정(스텝 3e)을 이 순서대로 행하는 사이클을 소정 횟수 행함으로써, 웨이퍼(200) 상에 Si, O, C 및 N를 포함하는 박막으로서의 SiOCN막을 형성해도 된다.
NH3 가스는, 그 자체가 플라즈마 여기되기 쉽고, 플라즈마 상태로 되어 활성화된 상태로 된다. C3H6 가스는, 단독으로는 플라즈마 여기되기 어려운 가스이지만, 처리실(201) 내에 공급된 NH3 가스의 플라즈마에 의해, 처리실(201) 내에 있어서 간접적으로 여기됨으로써, 플라즈마 상태로 되어 활성화된 상태로 된다. 이와 같이, 활성화된 C3H6 가스 및 NH3 가스에 의해, 스텝 1e, 2e에 의해 웨이퍼(200) 상에 형성된 SiO층을 개질하여 SiOCN층을 형성할 수 있다.
C 및 N를 포함하는 개질 가스로서는, C3H6 가스 및 NH3 가스 외에, 상기에 예로 든 각종 C 함유 가스 및 N 함유 가스를 사용해도 된다. C 및 N를 포함하는 개질 가스로서, 상기에 예로 든 각종 아민계 가스를 사용해도 된다. 선택한 아민계 가스가 단독으로 플라즈마 여기되기 어려운 가스일 때는, 어시스트 가스를 사용한 방법에 의해, 아민계 가스를 플라즈마 상태로 여기해도 된다.
또한, 도 12의 (b)에 나타내는 바와 같이, 본 실시 형태의 또 다른 변형예에서는, C3H6 가스 및 NH3 가스 대신에 C3H6 가스를 플라즈마 상태로 여기하여 SiO층을 SiOC층으로 개질해도 된다. 이때, 이하에 설명하는 바와 같이, 어시스트 가스를 사용할 수 있다. 또한, 본 실시 형태의 또 다른 변형예로서, C3H6 가스 및 NH3 가스 대신에 NH3 가스를 플라즈마 상태로 여기하여 SiO층을 SiON층으로 개질해도 된다. 이와 같이, N를 포함하는 개질 가스를 사용함으로써, Si, O 및 N를 포함하는 박막으로서 SiON막을 형성할 수도 있다.
상기한 바와 같이 C3H6 가스는, 단독으로는 플라즈마 여기되기 어려운 가스이다. 한편, 예를 들어 N2 가스는 전리 에너지가 비교적 낮고, 그 자체가 플라즈마 여기되기 쉬운 가스이다. 이 N2 가스를, 플라즈마의 착화를 어시스트하는 어시스트 가스로서 사용한다. 즉, C3H6 가스의 공급을 개시하는 동시에, 밸브(243j)를 개방하고, 가스 공급관(232j) 내에 N2 가스를 흘린다. N2 가스는, MFC(241j)에 의해 유량 조정되고, 가스 공급 구멍(250d)으로부터 버퍼실(237) 내에 공급되고, 고주파(RF) 전력이 막대 형상 전극(269, 270)에 인가됨으로써 N2 가스가 플라즈마 상태로 된다. 처리실(201) 내에 공급된 N2 가스의 플라즈마에 의해, 처리실(201) 내에 공급된 C3H6 가스가 간접 여기됨으로써, C3H6 가스도 플라즈마 상태로 되어 활성화된 상태로 된다. 이때, 예를 들어 N2 가스의 공급을 C3H6 가스의 공급보다 먼저 개시해도 된다. 즉, 우선, 플라즈마 상태로 된 N2 가스를 단독으로 처리실(201) 내에 공급하고, 거기에, C3H6 가스를 공급해도 된다. 이에 의해, 플라즈마 상태로 된 N2 가스 분위기 중에 C3H6 가스가 공급되게 되고, C3H6 가스가 한층 더 플라즈마에 의해 여기되기 쉬워진다. 또한, N2 가스는, 플라즈마 중에서의 C3H6 가스의 해리를 어시스트하는 어시스트 가스로서 작용해도 된다.
이와 같이 활성화된 C3H6 가스에 의해, SiO층을 개질하여 SiOC층을 형성할 수 있다. 플라즈마 상태로 활성화된 C3H6 가스에 의해 SiO층을 개질함으로써, 예를 들어 상술한 열적으로 활성화된 C3H6 가스에 의해 얻어지는 SiOC막보다도, 한층 더 C 농도가 높은 SiOC층이나 SiOC막을 얻을 수 있다.
플라즈마의 착화를 어시스트하는 어시스트 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
또한, 본 실시 형태의 또 다른 변형예로서, C3H6 가스를 촉매 가스로서의 피리딘 가스와 함께 웨이퍼(200)에 대해 공급하고, SiO층을 개질해도 된다. 피리딘 가스에 의해 활성화된 C3H6 가스에 의해, SiO층을 개질하여 SiOC층을 형성할 수 있다.
이들 변형예에 있어서의 처리실(201) 내의 압력, 개질 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 도 7, 도 8의 시퀀스에 있어서의 처리 조건과 동일한 범위 내의 처리 조건으로 할 수 있다. 어시스트 가스로서의 N2 가스의 공급 유량은, 예를 들어 100∼10000sccm의 범위 내의 유량으로 할 수 있다. 각 가스를 공급할 때는, 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249a∼249d)이나 버퍼실(237) 등에의 각 가스의 침입을 방지하는 N2 가스 공급을 행한다.
이들 변형예에서는, 웨이퍼(200)에 대해 각 가스를 공급하는 공정을 순차 행하는 사이클을 소정 횟수 행하는 것으로 하였다. 그러나, 상술한 도 9, 도 10의 (a)에 나타내는 시퀀스와 마찬가지로, 스텝 1d, 2d와 마찬가지로 행하는 스텝을 포함하는 세트를 소정 횟수 행함으로써, 우선은, 웨이퍼(200) 상에 SiO막을 형성하는 것으로 해도 된다. 또한, 개질 가스를 플라즈마 상태로 여기하여, 또는 개질 가스로서의 C3H6 가스를 피리딘 가스와 함께, 웨이퍼(200)에 대해 공급해도 된다. 이에 의해, 이러한 SiO막을 개질하여, C, N 등을 더 포함하는 SiOC막이나 SiOCN막으로 해도 된다. 플라즈마 상태로 여기한 개질 가스에 의해 SiO막을 개질할 때는, 온도 조건을 상술한 스텝 1d, 2d에 있어서의 온도 조건과 동등하게 할 수 있는 것 외에, 웨이퍼(200)의 온도를, 예를 들어 500℃ 이하의 온도로 할 수 있다. 촉매 가스에서 활성화한 C3H6 가스에 의해 SiO막을 개질할 때는, 온도 조건을 상술한 도 9, 도 10에 도시하는 시퀀스의 개질 공정에 있어서의 온도 조건과 동등하게 할 수 있다.
<다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였지만, 본 발명은 상술한 실시 형태나 변형예로 한정되는 것은 아니고, 그 요지를 일탈하지 않는 범위에서 다양한 변경이 가능하다.
예를 들어, 상술한 제1 실시 형태에서는, 스텝 1a, 2a를 소정 횟수 행하고, SiOC막 개질 공정을 행하는 사이클을 1회만 행하는 예에 대해 설명하였지만, 이러한 사이클을 복수회 반복해도 된다. 또한, 상술한 제2 실시 형태에서는, 스텝 1c, 2c, 3c를 이 순서대로 1회씩 행하는 사이클을 소정 횟수 행하는 예에 대해 설명하였지만, 예를 들어 스텝 1c, 2c를 복수회 반복하고, 스텝 3c를 행하는 사이클을 소정 횟수 행해도 된다. 이 점은, 상술한 제3 실시 형태에 있어서 스텝 1d, 2d를 소정 횟수 행하고, SiOC막 개질 공정을 행하는 사이클을 1회만 행하는 예나, 그 변형예에 있어서 스텝 1e, 2e, 3e를 이 순서대로 1회씩 행하는 사이클을 소정 횟수 행하는 예에도 적용 가능하다.
상술한 실시 형태 등에서는, 개질 가스를 공급하는 공정을, 원료 가스나 산화 가스를 공급하는 공정과는 별개로 행하는 예에 대해 설명하였다. 그러나, 개질 가스를 공급하는 공정을, 원료 가스와 촉매 가스를 공급하는 공정의 실시 중이나, 산화 가스와 촉매 가스를 공급하는 공정의 실시 중에 행해도 된다. 또한, 원료 가스와 촉매 가스를 공급하는 공정 및 산화 가스와 촉매 가스를 공급하는 공정의 사이에 개질 가스를 공급하는 공정을 삽입해도 된다. 이 경우, 예를 들어 도 7, 도 8의 (a)의 시퀀스에 있어서의 처리 조건과 동일한 범위 내의 처리 조건으로 할 수 있다.
상술한 실시 형태에서는, C3H6 가스를, 버퍼실(237) 외에 설치된 노즐(249c)로부터, 버퍼실(237)을 통하지 않고 처리실(201) 내에 공급하는 예에 대해 설명하였다. 그러나, C3H6 가스를, 버퍼실(237) 내에 설치된 노즐로부터, 버퍼실(237)을 통해 처리실(201) 내에 공급해도 된다. 이 경우에도, N2 가스 등의 어시스트에 의해, 버퍼실(237) 내에 공급된 C3H6 가스를 N2 가스의 플라즈마에 의해 간접적으로 여기해도 된다.
상술한 실시 형태 등에서는, Si원으로 되지만 C원으로는 되지 않는 원료 가스로서, Si 및 할로겐 원소를 포함하는 원료 가스인 HCDS 가스 등의, 가스 분자 중에 C를 포함하지 않는 클로로실란계 원료 가스를 사용하는 예에 대해 설명하였다. 그러나, Si원으로 되지만 C원으로는 되지 않는 원료 가스는 이들로 한정되지 않는다. 예를 들어, Si, C 및 N를 포함하고 Si-N 결합을 갖는 원료 가스로서, Si 및 아미노기(아민기)를 포함하는 원료 가스인 아미노실란계 원료 가스를 사용해도 된다. 아미노실란계 원료 가스라 함은, 아미노기를 포함하는 실란계 원료 가스이며, 적어도 Si와, C 및 N를 포함한 아미노기를 포함하는 유기계 원료 가스이다. 아미노실란계 원료 가스는, 가스 분자 중에 C를 포함하지만 Si-C 결합을 갖지 않고, 이 타입의 원료 가스를 사용해도, 형성되는 박막 중에 원료 가스 유래의 C가, 박막을 구성하는 성분으로서 도입되는 경우는 거의 없다. 아미노실란계 원료 가스로서는, 예를 들어 비스터셔리부틸아미노실란(SiH2[NH(C4H9)]2, 약칭:BTBAS) 가스, 테트라키스디메틸아미노실란(Si[N(CH3)2)4, 약칭:4DMAS) 가스, 트리스디메틸아미노실란(Si[N(CH3)2)3H, 약칭: 3DMAS) 가스, 비스에틸메틸아미노실란(Si[N(C2H5)(CH3)]2H2, 약칭:BEMAS) 가스 및 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭:BDEAS) 가스 등을 사용할 수 있다.
이들과 같은 아미노실란계 원료 가스를 원료 가스로서 사용함으로써 SiO층이나 SiO막을 형성하고, 그 후, 개질 공정을 행함으로써 C나 N를 더 포함하는 SiOC막이나 SiOCN막을 형성할 수 있다. 아미노실란계 원료 가스는, 예를 들어 피리딘 가스 등의 촉매 가스를 공급하지 않고 웨이퍼(200)에 대해 공급한다. 이에 의해, 제1층으로서, Si 함유층이 형성된다. 그 후, 산화 가스로서, 플라즈마 상태로 여기된 O2 가스 등의 산소 함유 가스(O 함유 가스)를 사용하여 제1층을 산화하여 제2층으로서의 SiO층을 얻는다. 이와 같이, 산화 가스로서 O 함유 가스 등을 플라즈마 상태로 여기하여 사용하는 방법은, 상술한 알킬렌할로실란계 원료 가스나 알킬할로실란계 원료 가스나 할로실란계 원료 가스(클로로실란계 가스)를 원료 가스로서 사용하는 경우에도 적용할 수 있다. 단, 알킬렌할로실란계 원료 가스나 알킬할로실란계 원료 가스를 원료 가스로서 사용한 경우에는, 예를 들어 산화 가스 공급시의 고주파 전력을 낮게 설정하는 등의 유의가 필요하다. 산화 반응을 비교적 온화하게 진행시켜, SiOC층이나 SiOC 막 중으로부터 C가 이탈되는 것을 억제하기 위해서이다. 이들 아미노실란계 원료 가스를 사용하는 경우에 있어서도, 예를 들어 상술한 실시 형태 등의 어느 하나의 시퀀스에 있어서의 처리 조건과 동일한 범위 내의 처리 조건으로 할 수 있다.
상술한 실시 형태 등에서는, 박막으로서 SiOC막, SiOCN막 등을 형성하는 예에 대해 설명하였지만, 이러한 박막 중으로부터 서로 다른 조성의 박막끼리를 적층한 적층막이나, 상기 박막과, 상기 박막과는 서로 다른 조성의 박막을 적층한 적층막을 형성해도 된다. 적층막으로서는, 예를 들어 SiOC막과 SiOCN막과의 적층막이나, SiO막과 SiOC막과의 적층막이나, SiO막과 SiOCN막과의 적층막 등을 들 수 있다. 이와 같이, 에칭 내성이나 유전율이나 애싱 내성이 서로 다른 복수의 막의 적층막을 형성함으로써, 적층막에 있어서의 이들 제반 특성의 제어성을 가일층 향상시킬 수 있다.
상술한 실시 형태 등에서는, 박막으로서 SiO막이나 SiOC막 등의 실리콘 산화막계의 막에 대해 개질 처리를 행하는 예에 대해 설명하였지만, 본 발명은 이것으로 한정되지 않고, 예를 들어 SiN막 등의 실리콘질화막계의 막에 적용해도 된다. 저온에서 성막된 SiN막은, 불순물로서 산소를 포함하고 있고, 개질 처리를 행함으로써 막질을 개선할 수 있다.
상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 박막을, SWS로서 사용함으로써, 리크 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다.
또한, 상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 박막을, 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다.
상술한 제2 실시 형태와 그 변형예, 제3 실시 형태의 변형예를 제외한 각 실시 형태나 각 변형예에 의하면, 플라즈마를 사용하지 않고 이상적 양론비의 박막을 형성할 수 있다. 또한, 플라즈마를 사용하지 않고 박막을 형성할 수 있는 점에서, 예를 들어 DPT의 SADP막 등, 플라즈마 데미지를 우려하는 공정에의 적응도 가능하게 된다.
상술한 실시 형태 등의 개질 공정은, 상술한 SiOC막이나 SiOCN막과 같은 Si계 박막을 성막하는 경우로 한정되지 않고, 예를 들어 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소와, C를 포함하는 금속 탄화막(메탈카바이드), 금속산 탄화막(메탈옥시카바이드) 등의 금속계 박막을 성막하는 경우에도 적용할 수 있다.
이들 각종 박막의 성막에 사용되는 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)는 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께 등)에 따라, 각각 개별로 준비(복수 준비)하는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리의 내용에 따라, 복수의 프로세스 레시피 중에서 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는, 기판 처리의 내용에 따라 개별로 준비된 복수의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체[외부 기억 장치(123)]를 통해, 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 저장(인스톨)해 두는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리 장치가 구비하는 CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 프로세스 레시피 중에서 기판 처리의 내용에 따라, 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다.
이와 같이 구성함으로써, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 순서나 처리 조건의 입력 부담 등)을 저감시킬 수 있고, 조작 미스를 회피하면서, 기판 처리를 신속히 개시할 수 있게 된다.
상술한 프로세스 레시피는, 새롭게 작성하는 경우로 한정되지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있었던 기존의 프로세스 레시피를 변경함으로써 준비해도 된다. 프로세스 레시피를 변경하는 경우에는, 변경 후의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체를 통해, 기판 처리 장치에 인스톨해도 된다. 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하고, 기판 처리 장치에 이미 인스톨되어 있었던 기존의 프로세스 레시피를 직접 변경하도록 해도 된다.
상술한 실시 형태 등의 성막 시퀀스에 있어서는, SiOC막이나 SiO막 등의 형성이나, 이들 막의 개질을 실온에서 행하는 예에 대해서도 설명하였다. 이 경우, 히터(207)에 의한 처리실(201) 내의 가열을 행할 필요는 없고, 기판 처리 장치에 히터를 설치하지 않아도 된다. 이에 의해, 기판 처리 장치의 가열계의 구성을 간소화할 수 있어, 기판 처리 장치를 보다 저렴하고 단순한 구조로 할 수 있다. 이 경우에 있어서, SiOC막이나 SiO막 등의 개질 공정을 고온에서 행할 때에는, SiOC막이나 SiO막 등의 형성 공정을 행하는 처리실과는 서로 다른 처리실에서, Ex-Situ에서 개질 공정을 행하는 것으로 된다.
또한, 상술한 실시 형태 등에서는, 한번에 복수매의 기판을 처리하는 뱃치식의 기판 처리 장치를 사용하여 박막을 성막하는 예에 대해 설명하였지만, 본 발명은 이것으로 한정되지 않고, 한번에 1매 또는 수매의 기판을 처리하는 매엽식의 기판 처리 장치를 사용하여 박막을 성막하는 경우에도, 적절하게 적용할 수 있다. 또한, 상술한 실시 형태에서는, 핫 월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 성막하는 예에 대해 설명하였지만, 본 발명은 이것으로 한정되지 않고, 콜드 월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 성막하는 경우에도, 적절하게 적용할 수 있다. 이들 기판 처리 장치에 있어서도, 예를 들어 상술한 실시 형태 등의 어느 하나의 시퀀스에 있어서의 처리 조건과 동일한 범위 내의 처리 조건을 사용할 수 있다.
또한, 상술한 각 실시 형태 및 각 변형예는, 적절히 조합하여 사용할 수 있다.
[실시예]
본 발명의 실시예 및 비교예로서, 상술한 실시 형태에 있어서의 기판 처리 장치를 사용하여 웨이퍼 상에 소정의 박막을 형성하고, 이들 박막의 애싱 내성을 평가하였다. 상술한 도 5의 (b)에 나타내는 성막 시퀀스에 의해 형성하고, 열적으로 개질한 박막(SiOC막)을 제1 실시예로 하였다. 상술한 도 4, 도 5의 (a)에 나타내는 성막 시퀀스에 의해 형성하고, 열적으로 개질한 박막(SiOCN막)을 제2 실시예로 하였다. 상술한 도 7, 도 8의 (a)에 나타내는 성막 시퀀스에 의해 형성하고, 플라즈마를 사용하여 개질한 박막(SiOCN막)을 제3 실시예로 하였다. 상술한 도 4, 도 5의 (a)에 나타내는 성막 시퀀스의 스텝 1a, 2a만을 소정 횟수 행하여 개질 공정을 행하지 않았던 박막(SiOC막)을 비교예로 하였다. 이들 박막에 대해, O2 플라즈마를 사용한 애싱 후에, 1% HF 수용액에 의한 습식 에칭 레이트(WER)를 측정하였다. 비교예에 대해서는, 애싱 전의 WER도 측정하였다.
도 16은, 실시예 및 비교예의 각 박막의 WER을 나타내는 그래프이다. 그래프의 종축은 WER(a.u.)을 나타내고 있다. 그래프의 횡축은 각각의 평가예를 나타내고 있고, 좌측으로부터 순서대로, 비교예(애싱 전), 비교예(애싱 후), 제1 실시예, 제2 실시예 및 제3 실시예(실시예는 모두 애싱 후)를 나타내고 있다. 도 16에 의하면, 개질 처리를 행하고 있지 않은 비교예의 박막이어도, 애싱 전이면 WER이 낮게 억제되어 있는 것을 알 수 있다. 그러나, 애싱 후의 비교예의 박막에서는, WER이 현저하게 높아져 있고, 에칭 내성의 현저한 악화가 인지된다. 한편, 어떠한 개질 처리를 행한 제1 실시예∼제3 실시예의 박막에 대해서는, WER은 낮은 상태로 억제되어 있고, 양호한 에칭 내성을 유지할 수 있는 것을 알 수 있다. 열적으로 개질을 행한 제1 실시예, 제2 실시예에서는, C를 더 포함하도록 개질된 제1 실시예의 박막 쪽이, N를 더 포함하도록 개질된 제2 실시예의 박막보다도 한층 더 WER이 낮고, 높은 에칭 내성을 나타냈다. 플라즈마에 의해 개질을 행한 제3 실시예는 열적으로 개질을 행한 제1 실시예, 제2 실시예보다도 한층 더 WER이 낮고, 높은 에칭 내성을 나타냈다. 이상으로부터, SiOC막 등의 박막을 형성하는 도중이나 형성한 후에, 박막의 개질 처리를 행하면, 고 에칭 내성 및 고 애싱 내성을 갖는 박막으로 되는 것을 알 수 있었다. 특히, 플라즈마를 사용한 개질 처리에 의해, 한층 더 고 에칭 내성 및 고 애싱 내성을 갖는 박막이 얻어지는 것을 알 수 있었다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대해 부기한다.
(부기 1)
본 발명의 일 형태에 의하면, 기판에 대해 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 상기 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
(부기 2)
부기 1의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 촉매 가스를 공급하는 공정은, 논 플라즈마의 분위기하에서 행해진다.
(부기 3)
부기 1 또는 2의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 공정에서는, 상기 기판의 온도를 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 온도로 한다.
(부기 4)
부기 1∼3 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 사이클은, 상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 상기 기판 상에, 적어도 실리콘 및 산소를 포함하는 제1 박막(실리콘, 산소 및 탄소를 포함하는 제1 박막 또는 실리콘 및 산소를 포함하는 제1 박막)을 형성하는 공정과, 상기 개질 가스를 공급하는 공정을 행함으로써, 상기 제1 박막을, 탄소를 더 포함하는 제2 박막, 질소를 더 포함하는 제2 박막 또는 탄소와 질소를 더 포함하는 제2 박막으로 개질하는 공정을 포함한다.
(부기 5)
부기 4의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 제1 박막을 형성하는 공정 및 상기 제1 박막을 개질하는 공정은, 상기 기판을 동일한 처리실 내에 수용한 상태에서 행해진다.
(부기 6)
부기 4의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 제1 박막을 형성하는 공정 및 상기 제1 박막을 개질하는 공정은, 상기 기판을 각각 서로 다른 처리실 내에 수용한 상태에서 행해진다.
(부기 7)
부기 1∼3 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 사이클은, 상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 공정과, 상기 개질 가스를 공급하는 공정을 이 순서대로 행하는 공정을 포함한다.
(부기 8)
부기 1∼7 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는, 상기 기판의 온도를, 상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 공정에 있어서의 상기 기판의 온도와 동등한 온도로 한다.
(부기 9)
부기 1∼6 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는, 상기 기판의 온도를 실온 이상 500℃ 이하의 온도로 한다.
(부기 10)
부기 1∼6 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는, 상기 기판의 온도를 200℃ 이상 900℃ 이하, 바람직하게는 200℃ 이상 700℃ 이하, 보다 바람직하게는 200℃ 이상 600℃ 이하의 온도로 한다.
(부기 11)
부기 1∼6, 10의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정은, 논 플라즈마의 분위기하에서 행해진다.
(부기 12)
부기 1∼9 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는, 플라즈마 상태로 여기된 상기 개질 가스를 상기 기판에 대해 공급한다.
(부기 13)
본 발명의 다른 형태에 의하면, 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 상기 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
(부기 14)
부기 13의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는, 알킬기 및 알킬렌기를 포함하는 군으로부터 선택되는 적어도 하나를 포함한다.
(부기 15)
부기 14의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 알킬렌기를 포함하는 상기 원료 가스는, Si-C-Si 결합 및 Si-C-C-Si 결합을 포함하는 군으로부터 선택되는 적어도 하나를 갖는다.
(부기 16)
부기 13∼15 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스는, 탄소 함유 가스, 질소 함유 가스 및 1분자 중에 탄소와 질소를 함유하는 가스를 포함하는 군으로부터 선택되는 적어도 하나를 포함한다.
(부기 17)
본 발명의 또 다른 형태에 의하면, 기판에 대해 실리콘 및 할로겐 원소를 포함하는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 상기 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
(부기 18)
부기 17의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는, 실리콘 및 염소(클로로기)를 포함하는 클로로실란계 원료 가스를 포함한다.
(부기 19)
부기 17 또는 18의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스는, 탄소 함유 가스, 탄소 함유 가스와 질소 함유 가스와의 양쪽의 가스 및 1분자 중에 탄소와 질소를 함유하는 가스를 포함하는 군으로부터 선택되는 적어도 하나를 포함한다.
(부기 20)
부기 1∼19 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스는, 탄화수소계 가스, 아민계 가스 및 비아민계 가스를 포함하는 군으로부터 선택되는 적어도 하나를 포함한다.
(부기 21)
부기 1∼20 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 촉매 가스는, 아민계 촉매 가스를 포함한다.
(부기 22)
본 발명의 또 다른 형태에 의하면, 기판에 대해 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 상기 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 공정을 갖는 기판 처리 방법이 제공된다.
(부기 23)
본 발명의 또 다른 형태에 의하면, 기판을 수용하는 처리실과, 상기 처리실 내에 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스를 공급하는 원료 가스 공급계와, 상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와, 상기 처리실 내에 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와, 상기 처리실 내에 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 개질 가스 공급계와, 상기 처리실 내의 기판에 대해 상기 원료 가스와 상기 제1 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 개질 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 개질 가스 공급계를 제어하는 제어부를 갖는 기판 처리 장치가 제공된다.
(부기 24)
본 발명의 또 다른 형태에 의하면, 기판 상에 제1 박막을 형성하는 제1 기판 처리부와, 상기 제1 박막을 개질하는 제2 기판 처리부를 갖는 기판 처리 시스템에 있어서, 상기 제1 기판 처리부는, 기판을 수용하는 제1 처리실과, 상기 제1 처리실 내에 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스 공급계와, 상기 제1 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와, 상기 제1 처리실 내에 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와, 상기 제1 처리실 내의 기판에 대해 상기 원료 가스와 상기 제1 촉매 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대해 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 제1 박막 또는 실리콘 및 산소를 포함하는 제1 박막을 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하는 제1 제어부를 갖고, 상기 제2 기판 처리부는, 상기 제1 박막이 형성된 기판을 수용하는 제2 처리실과, 상기 제2 처리실 내에 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 개질 가스 공급계와, 상기 제2 처리실 내의 상기 기판에 대해 상기 개질 가스를 공급하는 처리를 행함으로써, 상기 제1 박막을, 실리콘, 산소 및 탄소를 포함하는 제2 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 제2 박막으로 개질하는 처리를 행하도록 상기 개질 가스 공급계를 제어하는 제2 제어부를 갖는 기판 처리 시스템이 제공된다.
(부기 25)
본 발명의 또 다른 형태에 의하면, 처리실 내의 기판에 대해 실리콘원 및 탄소원으로 되는 원료 가스 또는 실리콘원으로 되지만 탄소원으로는 되지 않는 원료 가스와, 제1 촉매 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 대해 탄소 및 질소를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 개질 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하는 박막 또는 실리콘, 산소, 탄소 및 질소를 포함하는 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램 및 상기 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121 : 컨트롤러(제어부)
200 : 웨이퍼(기판)
201 : 처리실
202 : 처리로
203 : 반응관
207 : 히터
209 : 매니폴드
231 : 배기관
232a∼232j : 가스 공급관
244 : APC 밸브(압력 조정부)

Claims (15)

  1. 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고, 실리콘과 탄소와의 화학 결합 및 실리콘과 할로겐 원소와의 화학 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 제1 공정과, 상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 제2 공정을 포함하는 세트를 m회(m은 1 이상의 정수) 행하는 공정과,
    상기 기판에 대해 탄소 및 질소 중 적어도 어느 하나를 포함하는 개질 가스를 공급하는 제3 공정
    을 포함하는 사이클을 n회(n은 1 이상의 정수) 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하거나, 혹은, 실리콘, 산소, 탄소, 및 질소를 포함하는 막을 형성하는 공정을 갖는 반도체 장치의 제조 방법.
  2. 삭제
  3. 제1항에 있어서, 상기 제1 공정과 상기 제2 공정을 포함하는 세트를 m회 행함으로써, 실리콘, 산소, 및 탄소를 포함하는 제1 층을 형성하고,
    상기 제3 공정을 행함으로써, 상기 제1 층을, 탄소를 더 포함하거나, 질소를 더 포함하거나, 혹은, 탄소와 질소를 더 포함하는 제2 층으로 개질하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서, m이 2 이상의 정수인 반도체 장치의 제조 방법.
  5. 제1항에 있어서, n이 2 이상의 정수인 반도체 장치의 제조 방법.
  6. 제1항에 있어서, m이 1이고, n이 2 이상의 정수인 반도체 장치의 제조 방법.
  7. 제1항에 있어서, m이 2 이상의 정수이고, n이 1인 반도체 장치의 제조 방법.
  8. 제1항에 있어서, m이 2 이상의 정수이고, n이 2 이상의 정수인 반도체 장치의 제조 방법.
  9. 제1항에 있어서, m이 1이고, n이 1인 반도체 장치의 제조 방법.
  10. 제1항에 있어서, 상기 제1 공정, 상기 제2 공정, 및 상기 제3 공정은, 논 플라즈마의 분위기 하에서 행해지는 반도체 장치의 제조 방법.
  11. 제1항에 있어서, 상기 제1 공정 및 상기 제2 공정은, 논 플라즈마의 분위기 하에서 행해지고,
    상기 제3 공정에서는, 플라즈마 여기시킨 상기 개질 가스를 상기 기판에 대하여 공급하는 반도체 장치의 제조 방법.
  12. 제1항에 있어서, 제3 공정에서는, 상기 기판의 온도를, 상기 제1 공정 및 상기 제2 공정에 있어서의 상기 기판의 온도와 동등한 온도로 하는 반도체 장치의 제조 방법.
  13. 제1항에 있어서, 상기 제3 공정에서는, 상기 기판의 온도를, 상기 제1 공정 및 상기 제2 공정에 있어서의 상기 기판의 온도보다도 높은 온도로 하는 반도체 장치의 제조 방법.
  14. 기판을 수용하는 처리실과,
    상기 처리실 내에 실리콘, 탄소 및 할로겐 원소를 포함하고, 실리콘과 탄소와의 화학 결합 및 실리콘과 할로겐 원소와의 화학 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와,
    상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와,
    상기 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계와,
    상기 처리실 내에 탄소 및 질소 중 적어도 어느 하나를 포함하는 개질 가스를 공급하는 개질 가스 공급계와,
    상기 처리실 내에 있어서, 기판에 대해 상기 원료 가스와 촉매 가스를 공급하는 제1 처리와, 상기 기판에 대해 상기 산화 가스와 상기 촉매 가스를 공급하는 제2 처리를 포함하는 세트를 m회(m은 1 이상의 정수) 행하는 처리와, 상기 기판에 대해 상기 개질 가스를 공급하는 제3 처리를 포함하는 사이클을 n회(n은 1 이상의 정수) 행함으로써, 상기 기판 상에, 실리콘, 산소 및 탄소를 포함하거나, 혹은, 실리콘, 산소, 탄소 및 질소를 포함하는 막을 형성하는 처리를 행하도록, 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계, 및 상기 개질 가스 공급계를 제어하도록 구성되는 제어부
    를 갖는 기판 처리 장치.
  15. 기판에 대해, 실리콘, 탄소 및 할로겐 원소를 포함하고, 실리콘과 탄소와의 화학 결합 및 실리콘과 할로겐 원소와의 화학 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 제1 순서와, 상기 기판에 대해 산화 가스와 촉매 가스를 공급하는 제2 순서를 포함하는 세트를 m회(m은 1 이상의 정수) 행하는 순서와,
    상기 기판에 대해 탄소 및 질소 중 적어도 어느 하나를 포함하는 개질 가스를 공급하는 제3 순서
    를 포함하는 사이클을 n회(n은 1회 이상의 정수) 행함으로써, 상기 기판 상에, 실리콘, 산소, 및 탄소를 포함하거나, 혹은, 실리콘, 산소, 탄소, 및 질소를 포함하는 막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020150113942A 2013-09-09 2015-08-12 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 KR101670182B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2013-186482 2013-09-09
JP2013186482A JP5788448B2 (ja) 2013-09-09 2013-09-09 半導体装置の製造方法、基板処理装置及びプログラム

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020140036811A Division KR101624395B1 (ko) 2013-09-09 2014-03-28 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Publications (2)

Publication Number Publication Date
KR20150099501A KR20150099501A (ko) 2015-08-31
KR101670182B1 true KR101670182B1 (ko) 2016-10-27

Family

ID=52626022

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140036811A KR101624395B1 (ko) 2013-09-09 2014-03-28 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR1020150113942A KR101670182B1 (ko) 2013-09-09 2015-08-12 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020140036811A KR101624395B1 (ko) 2013-09-09 2014-03-28 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Country Status (5)

Country Link
US (2) US9793107B2 (ko)
JP (1) JP5788448B2 (ko)
KR (2) KR101624395B1 (ko)
CN (1) CN104425313B (ko)
TW (2) TWI610346B (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6524753B2 (ja) * 2015-03-30 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
KR102412614B1 (ko) * 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6318188B2 (ja) * 2016-03-30 2018-04-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
JP6573578B2 (ja) * 2016-05-31 2019-09-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
CN106282967B (zh) * 2016-08-23 2019-03-26 深圳市国创新能源研究院 一种制备SiO/C复合材料的设备
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
JP6842988B2 (ja) * 2017-05-19 2021-03-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2019032457A1 (en) * 2017-08-08 2019-02-14 Applied Materials, Inc. METHODS AND APPARATUSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS
JP6815529B2 (ja) * 2017-09-28 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
JP6909762B2 (ja) * 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP7189345B2 (ja) * 2019-06-27 2022-12-13 株式会社Kokusai Electric 断熱構造体、基板処理装置、半導体装置の製造方法、基板処理方法およびプログラム
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
CN117981061A (zh) * 2021-12-24 2024-05-03 株式会社国际电气 衬底处理方法、半导体器件的制造方法、衬底处理装置及程序

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050268848A1 (en) 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
KR100564609B1 (ko) * 2003-02-27 2006-03-29 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4001960B2 (ja) * 1995-11-03 2007-10-31 フリースケール セミコンダクター インコーポレイテッド 窒化酸化物誘電体層を有する半導体素子の製造方法
US6087229A (en) * 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
JP2009206312A (ja) 2008-02-28 2009-09-10 Mitsui Eng & Shipbuild Co Ltd 成膜方法および成膜装置
JP5384852B2 (ja) 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2011091362A (ja) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6049395B2 (ja) 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20150121217A (ko) 2013-03-01 2015-10-28 어플라이드 머티어리얼스, 인코포레이티드 SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
US20140273524A1 (en) 2013-03-12 2014-09-18 Victor Nguyen Plasma Doping Of Silicon-Containing Films
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100564609B1 (ko) * 2003-02-27 2006-03-29 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
US20050268848A1 (en) 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置

Also Published As

Publication number Publication date
TW201511088A (zh) 2015-03-16
JP2015053445A (ja) 2015-03-19
JP5788448B2 (ja) 2015-09-30
TWI524389B (zh) 2016-03-01
CN104425313A (zh) 2015-03-18
KR20150029517A (ko) 2015-03-18
KR20150099501A (ko) 2015-08-31
KR101624395B1 (ko) 2016-05-25
US20150332916A1 (en) 2015-11-19
TW201611100A (zh) 2016-03-16
TWI610346B (zh) 2018-01-01
CN104425313B (zh) 2017-12-05
US20150072537A1 (en) 2015-03-12
US9698007B2 (en) 2017-07-04
US9793107B2 (en) 2017-10-17

Similar Documents

Publication Publication Date Title
KR101670182B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP6155063B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP6068661B2 (ja) 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
KR101555604B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102319147B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101528719B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP6242095B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
JP5957128B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
KR20140034071A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101746282B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6111317B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191002

Year of fee payment: 4